DE10319136B4 - Verfahren zur Herstellung einer Metallisierungsschicht mit einer mit Stickstoff angereicherten Barrierenschicht mit kleinem ε - Google Patents

Verfahren zur Herstellung einer Metallisierungsschicht mit einer mit Stickstoff angereicherten Barrierenschicht mit kleinem ε Download PDF

Info

Publication number
DE10319136B4
DE10319136B4 DE10319136A DE10319136A DE10319136B4 DE 10319136 B4 DE10319136 B4 DE 10319136B4 DE 10319136 A DE10319136 A DE 10319136A DE 10319136 A DE10319136 A DE 10319136A DE 10319136 B4 DE10319136 B4 DE 10319136B4
Authority
DE
Germany
Prior art keywords
layer
barrier layer
nitrogen
low
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE10319136A
Other languages
English (en)
Other versions
DE10319136A1 (de
Inventor
Hartmut Ruelke
Joerg Hohage
Thomas Werner
Michael Kiene
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE10319136A priority Critical patent/DE10319136B4/de
Priority to US10/716,681 priority patent/US7022602B2/en
Publication of DE10319136A1 publication Critical patent/DE10319136A1/de
Application granted granted Critical
Publication of DE10319136B4 publication Critical patent/DE10319136B4/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren zur Herstellung einer Metallisierungsschicht, wobei das Verfahren umfasst:
Abscheiden einer stickstoffenthaltenden Barrierenschicht mit kleinem ε über einem Substrat, wobei die Barrierenschicht zwischen 10 und 30 Gewichtsprozent Stickstoff aufweist;
Modifizieren einer Oberfläche der stickstoffenthaltenden Barrierenschicht mit kleinem ε durch Einführen von Edelgasatomen in ein Gebiet der Barrierenschicht, indem die Barrierenschicht einer Plasmabehandlung mit Edelgas unterzogen wird;
Abscheiden einer dielektrischen Schicht mit kleinem ε auf der Barrierenschicht mit kleinem ε.
Strukturieren der dielektrischen Schicht mit kleinem ε durch einen lithographischen Prozess, wobei eine Kontaktdurchführung in der dielektrischen Schicht mit kleinem ε mittels einer ersten Lackmaske gebildet wird und nach dem Bilden der Kontaktdurchführung ein Graben in einem oberen Bereich der dielektrischen Schicht mit kleinem ε mittels einer zweiten Lackmaske gebildet wird und wobei die modifizierte Oberfläche eine Lackvergiftung in dem Lithographieprozess reduziert; und
Bilden eines Metallgebiets in der strukturierten dielektrischen Schicht mit kleinem ε.

Description

  • GEBIET DER VORLIEGENDEN ERFINDUNG
  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung integrierter Schaltungen und betrifft insbesondere die Herstellung von Metallisierungsschichten mit gut leitenden Metallen, etwa mit Kupfer, das in ein dielektrisches Material mit kleiner Permittivität eingebettet ist, um das Bauteilleistungsverhalten zu verbessern.
  • BESCHREIBUNG DES STANDS DER TECHNIK
  • In modernen integrierten Schaltungen erreichen die minimalen Bauteilgrößen, etwa die Kanallänge von Feldeffekttransistoren, den Bereich unter einem Mikrometer, wodurch die Leistung dieser Schaltungen hinsichtlich der Geschwindigkeit und/oder der Leistungsaufnahme ständig verbessert wird. Mit der deutlichen Reduzierung der Größe der einzelnen Schaltungselemente, wodurch beispielsweise die Schaltgeschwindigkeit der Transistorelemente verbessert wird, reduziert sich auch der verfügbare Platz für Verbindungsleitungen, die die einzelnen Schaltungselemente elektrisch miteinander verbinden. Folglich müssen die Abmessungen dieser Verbindungsleitungen verringert werden, um den reduzierten Anteil an verfügbarem Platz und eine erhöhte Anzahl an Schaltungselementen, die pro Chip vorgesehen sind, zu kompensieren.
  • In integrierten Schaltungen mit minimalen Abmessungen von ungefähr 0.35 Mikrometer und darunter ist ein begrenzender Faktor des Bauteilverhaltens die Signalausbreitungsverzögerung, die durch die Schaltgeschwindigkeit der Transistorelemente hervorgerufen wird. Nachdem die Kanallänge dieser Transistorelemente nunmehr 0.18 Mikrometer und weniger erreicht hat, stellt sich jedoch heraus, dass die Signalausbreitungsverzögerung nicht mehr durch die Feldeffekttransistoren beschränkt ist, sondern auf Grund der erhöhten Packungsdichte der Schaltung durch die Nachbarschaft der Verbindungsleitungen beschränkt ist, da die Kapazität von Leitung zu Leitung erhöht wird und einhergeht mit einer reduzierten Leitfähigkeit der Leitungen auf Grund ihrer reduzierten Querschnittsfläche. Die parasitären RC-Zeitkonstanten erfordern daher das Verwenden neuer Arten von Materialien zur Herstellung der Metallisierungsschicht.
  • Herkömmlicherweise werden Metallisierungsschichten mittels eines dielektrischen Schichtstapels mit beispielsweise Siliziumdioxid und/oder Siliziumnitrid gebildet, wobei Aluminium als das typische Metall verwendet wird. Da Aluminium eine deutliche Elektromigration bei höheren Stromdichten aufweist, die in integrierten Schaltungen mit äußerst größenreduzierten Strukturelementen erforderlich sind, wird Aluminium gegenwärtig durch Kupfer ersetzt, das einen deutlich geringeren elektrischen Widerstand aufweist und eine höhere Resistenz gegenüber Elektromigration zeigt. Für Bauteile mit Strukturgrößen von 0.13 Mikrometer und darunter zeigt es sich jedoch, dass das einfache Ersetzen von Aluminium durch Kupfer nicht die erforderliche Reduzierung der parasitären RC-Zeitkonstanten liefert, und daher werden die gut etablierten und gut bekannten dielektrischen Materialien Siliziumdioxid (ε ≈ 4.2) und Siliziumnitrid (ε > 5) zunehmend durch sogenannte dielektrische Materialien mit kleinem ε ersetzt. Der Übergang von der gut bekannten und gut etablierten Metallisierungsschicht mit Aluminium/Siliziumdioxid zu einer Metallisierungsschicht mit Kupfer/Dielektrikum mit kleinem ε wird jedoch von einer Vielzahl von Problemen begleitet, die es zu lösen gilt. Beispielsweise kann Kupfer nicht in relativ großen Mengen in einer effizienten Weise durch gut etablierte Abscheideverfahren, etwa chemische und physikalische Dampfabscheidung, aufgebracht werden. Ferner kann Kupfer nicht effizient durch gut etablierte anisotrope Ätzprozesse strukturiert werden. Daher wird die sogenannte Damaszenertechnik häufig bei der Herstellung von Metallisierungsschichten mit Kupferleitungen angewendet. In der Damaszenertechnik wird typischerweise die dielektrische Schicht abgeschieden und anschließend mit Gräben und Kontaktdurchführungen strukturiert, die nachfolgend mit Kupfer durch Plattierungsverfahren, etwa Elektroplattieren oder stromloses Plattieren, gefüllt werden. Obwohl die Damaszenertechnik gegenwärtig eine gut etablierte Technik zur Herstellung von Kupfermetallisierungsschichten in standardmäßigen dielektrischen Materialien, etwa Siliziumdioxid, ist, erfordert die Anwendung von Dielektrika mit kleinem ε die Entwicklung neuer dielektrischer Diffusionsbarrierenschichten, um eine Kupferkontamination benachbarter Materialschichten zu vermeiden, da Kupfer in einer Vielzahl von Dielektrika stark diffundiert. Obwohl Siliziumnitrid als eine wirksame Kupferdiffusionsbarriere bekannt ist, kann Siliziumnitrid unter Umständen nicht als Option in Schichtstapeln mit Dielektrika mit kleinem ε auf Grund der hohen Permittivität betrachtet werden. Daher wird gegenwärtig Siliziumkarbid als ein aussichtsreicher Kandidat für eine Kupferdiffusionsbarriere erachtet. Es stellt sich jedoch heraus, dass die Resistenz des Kupfer gegenüber Elektromigration stark von der Grenzfläche zwischen dem Kupfer und der benachbarten Diffusionsbarrierenschicht abhängt. Daher ist es in technisch weit entwickelten integrierten Schaltungen mit hohen Sromdichten gegenwärtig vorteilhaft, bis zu 20% Stickstoff in der Siliziumkarbidschicht zu verwenden, um damit das Elektromigrationsverhalten des Kupfers im Vergleich zu reinem Siliziumkarbid beträchtlich zu verbessern.
  • Ein weiteres Problem bei der Herstellung von Kupfermetallisierungsschichten mit kleinem ε wurde in der Vergangenheit unterschätzt und wird nunmehr als eine große Herausforderung bei der Integration von Dielektrika mit kleinem ε erachtet. Während des Strukturierens des dielektrischen Materials mit kleinem ε wird eine standardmäßige Photolithographie angewendet, um die erforderliche Struktur in den Photolack abzubilden, der im tiefen UV-Bereich lichtempfindlich ist. Beim Entwickeln des Photolacks werden jedoch unter Umständen gewisse Bereiche des Lacks, die belichtet wurden, nicht vollständig in der erforderlichen Weise entfernt und somit kann die Struktur nicht in korrekter Weise in das darunter liegende dielektrische Material mit kleinem ε während des nachfolgenden Ätzprozesses übertragen werden. Die Wirkung eines nicht ausreichend entwickelten Photolacks wird auch als Lackvergiftung bezeichnet. Mit Bezug zu den 1a bis 1e wird nun ein typischer konventioneller Prozessablauf beschrieben, um die bei der Herstellung einer Metallisierungsschicht mit Kupfer und einem Dielektrikum mit kleinem ε beteiligten Probleme detaillierter zu erläutern.
  • 1a zeigt schematisch eine Querschnittsansicht einer Halbleiterstruktur 100, in welcher ein dielektrisches Material mit kleinem ε gemäß einer Prozesssequenz, die als „Kontaktdurchführung zuerst/Graben zuletzt" -Sequenz bezeichnet wird, die gegenwärtig als das aussichtsreichste Prozessschema bei der Strukturierung von Dielektrika mit kleinem ε erachtet wird, zu strukturieren ist. Die Halbleiterstruktur 100 umfasst ein Substrat 101, das Schaltungselemente, etwa Transistoren, Widerstände, Kondensatoren und dergleichen, enthalten kann, und das eine untere Metallisierungsschicht 102 mit einem Metallgebiet 103, das in ein dielektrisches Material 104 eingebettet ist, aufweisen kann. Abhängig von der Ebene der unteren Metallisierungsschicht 102 kann das Metallgebiet 103 Kupfer aufweisen und das Dielektrikum 104 kann ein Dielektrikum mit kleinem ε sein, etwa wasserstoffenthaltendes Siliziumoxykarbid (SICOH). Eine Barrierenschicht 105, die aus stickstoffenthaltendem Siliziumkarbid (SiCN) gebildet ist, die auch als eine Ätzstoppschicht in dem folgenden Ätzvorgang zur Strukturierung einer darüber liegenden dielektrischen Schicht mit kleinem ε 106 dient. Die dielektrische Schicht mit kleinem ε 106 kann, abhängig von der angewendeten Prozesssequenz, eine zwischenliegende Ätzstoppschicht 107 aufweisen, die in vielen Anwendungen jedoch zur Erreichung einer geringeren Gesamtpermittivität häufig weggelassen wird. Das dielektrische Material mit kleinem ε in der Schicht 106 kann SICOH aufweisen. Eine Deckschicht 108, die beispielsweise aus Oxid aufgebaut ist, oder als eine antireflektierende Beschichtung (ARC) vorgesehen ist, kann optional auf der dielektrischen Schicht mit kleinem ε 106 angeordnet sein und kann dann als eine Stoppschicht beim Entfernen von Überschusskupfer in einem nachfolgenden chemisch-mechanischen Polierprozess (CMP) dienen. Eine Lackmaske 109 mit einer Öffnung 110 ist über der optionalen Deckschicht 108 gebildet.
  • Ein typischer Prozessablauf zur Herstellung der in 1a gezeigten Halbleiterstruktur 100 kann die folgenden Schritte aufweisen. Nach dem Einebnen der unteren Metallisierungsschicht 102 wird die Barrieren/Ätzstoppschicht 105 beispielsweise durch plasmaunterstützte chemische Dampfabscheidung (PECVD) aus Trimethylsilan (3MS) und Ammoniak (NH3) als Vorstufengase abgeschieden. Danach wird das wasserstoffenthaltende Siliziumoxykarbid 104 abgeschieden, wobei bei Bedarf die Siliziumkarbidschicht 107 gebildet wird, wenn eine erste ausreichende Dicke der dielektrischen Schicht 106 erreicht ist. Danach kann die restliche Schicht 106 abgeschieden werden, um die erforderliche Gesamtdicke der Schicht 106 zu erhalten. Anschließend wird bei Bedarf die Deckschicht 108 mit einer gewünschten Dicke abgeschieden. Die Deckschicht 108 kann hilfreich sein, um im Wesentlichen eine Wechselwirkung des Dielektrikums mit kleinem ε der Schicht 106 mit der darüber liegenden Photolackmaske 109 zu vermeiden und kann als eine CMP-Stoppschicht dienen. Danach wird die Lackmaske 109 gemäß gut etablierter Lithographieverfahren im tiefen UV-Bereich strukturiert, um die Öffnung 110 zu bilden, die die Abmessungen der Kontaktdurchführungen bestimmt, die in der dielektrischen Schicht 106 zu bilden sind.
  • 1b zeigt schematisch die Halbleiterstruktur 100 nach einem anisotropen Ätzprozess zur Herstellung einer Kontaktdurchführung 111 in der Deckschicht 108 und der dielektrischen Schicht 106. Während des anisotropen Ätzprozesses weist die Barrieren/Ätzstoppschicht 105 eine deutlich geringere Ätzrate auf als die umgebende dielektrische Schicht 106, so dass der Ätzprozess in oder auf der Schicht 105 angehalten wird. Danach wird der restliche Photolack, der während des anisotropen Ätzprozesses nicht verbraucht wird, durch einen Ätzschritt in einer sauerstoffenthaltenden Plasmaumgebung entfernt. Es sollte beachtet werden, dass insbesondere der in der Barrieren/Ätzstoppschicht 105 enthaltene Stickstoff leicht in das Dielektrikum mit kleinem ε der Schicht 106 auf Grund der gewünschten Porosität dieses Materials diffundieren kann. Da die Deckschicht 108 im Wesentlichen eine Diffusion von Stickstoff oder stickstoffenthaltenden Verbindungen in die darüber liegende Lackmaske 109 verhindert, wird das Strukturieren der Öffnung 110 und die anschließende Strukturierung der Kontaktdurchführung 111 im Wesentlichen nicht durch Lackvergiftungseffekte beeinflusst.
  • 1c zeigt schematisch die Halbleiterstruktur 100 in einem fortgeschrittenen Herstellungsstadium. Die Kontaktdurchführung 111 ist mit einem organischen antireflektierenden Beschichtungsmaterial gefüllt, so dass die Öffnung einen Kontaktdurchführungspfropfen 114 enthält, wohingegen das organische Material auf der verbleibenden Oberfläche der Struktur 100 vorgesehen ist, um eine antireflektierende Schicht 112 für die nachfolgende Photolithographie zu bilden. Somit dienen der Pfropfen 114 und die antireflektierende Beschichtung 112 dazu, die Topographie der Halbleiterstruktur 100 vor der Bildung einer weiteren Photolackmaske 113 einzuebnen. Wie gezeigt weist die Photolackmaske 113 eine Grabenöffnung 115 auf, an deren Unterseite Lackreste 116 zurückbleiben.
  • Der Kontaktdurchführungspfropfen 114 und die antireflektierende Beschichtung 112 können durch Aufschleuder-Verfahren und dergleichen gebildet werden, und die Photolackmaske 113 kann durch fortschrittliche Lithographieverfahren gebildet werden, wie sie im Stand der Technik bekannt sind. Anders als bei der Herstellung der Lackmaske 109 können Stickstoff oder Stickstoffverbindungen, die aus der Schicht 105 herrühren, leicht in das organische antireflektierende Beschichtungsmaterial diffundieren und können dort mit dem darüber liegenden Photolack 113 in Kontakt kommen, da die schützende Deckschicht 108 an der Kontaktdurchführung 111 offen ist. Die Wechselwirkung des Stickstoffs und dessen Verbindungen mit dem Photolack können die Lichtempfindlichkeit des Lacks beeinträchtigen. Folglich können bei Belichtung und Entwicklung des Photolacks 113 beim Bilden der Grabenöffnung 115 die Lackreste 116 zurückbleiben und deutlich den folgenden anisotropen Ätzschritt zur Herstellung eines Grabens im oberen Bereich der dielektrischen Schicht 106 beeinflussen.
  • 1d zeigt schematisch die Halbleiterstruktur 100 nach Abschluss des Schritts zum Herstellen des Grabens. Wie aus 1d ersichtlich ist, wird der Graben 117, der in der dielektrischen Schicht 106 gebildet werden sollte, im Wesentlichen nicht von der Photolackmaske 113 in die darunter liegende Deckschicht 108 und dem oberen Bereich der dielektrischen Schicht 106 übertragen. Somit weisen die Deckschicht 108 und die dielektrische Schicht 106 nach dem Entfernen der restlichen Photolackmaske 113 im Wesentlichen die Kontaktdurchführung 111 ohne einen Graben in dem oberen Bereich der Schicht 106 auf. Selbst eine deutliche Vergrößerung der Dicke der antireflektierenden Beschichtung 112 kann nicht wirksam eine Wechselwirkung der darüber liegenden Photolackschicht 113 mit den herauf diffundierenden stickstoffenthaltenden Verbindungen verhindern.
  • 1e zeigt schematisch die Halbleiterstruktur 100 nach Abschluss der Metallisierungsschicht 130 mit einer Barrierenmetallschicht 118 auf inneren Seitenwänden und auf der Unterseite der Kontaktdurchführung 111, die mit Kupfer 119 gefüllt ist. Ferner ist eine Oberfläche 120 der Metallisierungsschicht 130 eingeebnet, um die Herstellung einer weiteren Metallisierungsschicht zu ermöglichen.
  • Typischerweise kann die Barrierenmetallschicht 118 durch physikalische Dampfabscheidung, etwa durch Sputter-Abscheidung, mit einer Dicke abgeschieden werden, die einen ausreichenden Schutz gegenüber einer Kupferdiffusion sicherstellt, wobei gleichzeitig für eine erforderliche Haftung zu dem umgebenden dielektrischen Material mit kleinem ε gesorgt wird. Beispielsweise können Tantal und/oder Tantalnitrid als Material für die Barrierenmetallschicht 118 verwendet werden. Nachfolgend wird eine Kupfersaatschicht abgeschieden, um die nachfolgende Abscheidung des Hauptanteils des Kupfers durch Elektroplattieren zu fördern. Danach wird das überschüssige Kupfer durch chemisch-mechanisches Polieren entfernt, wobei die Deckschicht 108 ebenso entfernt wird und als eine Stoppschicht dient, um den CMP-Prozess zuverlässig zu steuern. Da jedoch die Gräben 117, die für die elektrische Verbindung erforderlich sind, fehlen, wie in 1d und 1e gezeigt ist, oder zumindest deutlich in ihrer Größe reduziert sind, können Bauteilfehler auftreten oder es wird zumindest eine deutlich reduzierte Bauteilzuverlässigkeit hervorgerufen. Da ferner die Elektromigration von Kupfer stark von den Eigenschaften der Grenzfläche zu dem umgebenden Material abhängt, ist es wichtig, eine erforderliche Stickstoffkonzentration in der Schicht 105 beizubehalten, insbesondere an Gebieten 121, in denen das Kupfer des Metallgebiets 103 mit der Barrieren/Ätzstoppschicht 105 in Kontakt ist, um somit das erforderliche Elektromigrationsverhalten, eine verbesserte Haftung und dergleichen im Vergleich zu reinen Siliziumkarbidschichten zu erhalten.
  • Die Patentanmeldung US 2003/77916 A1 offenbart ein Verfahren zum Eliminieren einer Photolackvergiftung in „Graben zuerst/Kontaktdurchführung zuletzt"-Damaszener-Anwendungen. Dabei wird vor dem Abscheiden einer Photolackschicht eine Stickstoffverunreinigungen aufweisende Schicht einem Plasma eines inerten Gases ausgesetzt, um die Stickstoffverunreinigungen von dem abgeschiedenen Material zu entfernen und/oder die Reaktionsfähigkeit des Stickstoffs mit dem Photolackmaterial zu begrenzen.
  • Die Patentanmeldung EP 1 061 156 A2 bezieht sich auf ein Verfahren zum Behandeln von kohlenstoffenthaltenden Schichten, um eine bessere Haftung und Oxidationsbeständigkeit zu erreichen. Ein Aspekt der Erfindung ist, die Oberfläche eines kohlenstoffenthaltenden Materials, wie stickstofffreies Siliziumkarbid, einem Plasma eines inerten Gases, z. B. einem Heliumplasma, auszusetzen. Die Siliziumkarbidschichten können als Barrieren-, Ätzstopp-, ARC-, Passivierungs- oder als dielektrische Schicht dienen. Die verschiedenen Schichten können zum Bilden einer Dual-Damaszener-Struktur, mittels eines „Kontaktöffnung zuerst/Graben zuletzt"-Prozesses, eingesetzt werden.
  • Angesichts der obigen Probleme ist es daher eine Aufgabe, eine Technik bereitzustellen, die verbesserte Barriereneigenschaften ermöglicht, ohne eine Lackvergiftung bei der Herstellung von Metallisierungsschichten mit kleinem ε unnötig zu fördern.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • Im Allgemeinen richtet sich die vorliegenden Erfindung an ein Verfahren und ein Bauteil, wobei die erforderliche Stickstoffkonzentration in einer dielektrischen Barrieren/Ätzstoppschicht bereitgestellt wird, die Siliziumkarbid aufweisen kann, wobei eine Oberfläche der Schicht durch Plasmabehandlung so modifiziert wird, um die Stickstoffwanderung durch diese Oberfläche deutlich zu reduzieren, während gleichzeitig die gewünschten Barriereneigenschaften beibehalten bleiben.
  • Die Aufgabe der vorliegenden Erfindung wird durch das Verfahren nach Anspruch 1 gelöst.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird; es zeigen:
  • 1a bis 1e schematisch Querschnittsansichten einer Halbleiterstruktur mit einer Metallisierungsschicht mit kleinem ε, wobei Gräben in dem Dielektrikum mit kleinem ε im Wesentlichen nicht auf Grund der Lackvergiftung in dem Dual-Damaszener-Prozess ausgebildet sind; und
  • 2a bis 2f schematisch Querschnittsansichten einer Halbleiterstruktur während diverser Stadien bei der Herstellung einer Metallisierungsschicht mit kleinem ε, wobei eine reduzierte Lackvergiftung entsprechend anschaulicher Ausführungsformen der vorliegenden Erfindung auftritt.
  • DETAILLLIERTE BESCHREIBUNG
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben wird, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte es selbstverständlich sein, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Erfindung auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen vielmehr lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Die vorliegende Erfindung beruht auf Untersuchungen der Erfinder, um die Gründe für die Lackvergiftung in einem typischen Dual-Damaszener-Prozess zu erhellen. Es wird angenommen, dass eine Reaktion von Aminverbindungen, d. h. von Stickstoff-Wasserstoff-Verbindung (N-H*), die durch Diffusion von Stickstoff in das darüber liegende Dielektrikum mit kleinem ε mit diesem und beim weiteren Diffundieren mit dem Photolack Wechselwirken. Die Wechselwirkung der stickstoffenthaltenden Verbindungen mit dem Photolack kann dann zu unentwickelten Lackresten in der Lackmaske für die Gräben in einem Prozess führen, wobei die Kontaktdurchführungen zuerst und der Graben zuletzt geätzt wird. Erfindungsgemäß wird der Stickstoff, der in der Barrieren/Ätzstoppschicht (der Einfachheit halber wird im Folgenden der Begriff „Barrierenschicht" verwendet) oder in anderen Materialgebieten, die unterhalb der Barrierenschicht angeordnet sind, enthalten ist, im Wesentlichen eingeschlossen, indem die Oberfläche der Barrierenschicht modifiziert wird, wobei die überlegenen Barrieren- und Hafteigenschaften zu einem darunter liegenden Metall beibehalten bleiben. Die Oberflächenmodifizierung kann durch eine Plasmabehandlung nach der Abscheidung der Barrierenschicht erreicht werden, wobei eine in-situ-Abscheidung und Plasmabehandlung in Hinblick auf die Anlagenausnutzung und den Durchsatz vorteilhaft sein kann. Der Begriff in-situ ist als eine Prozesssequenz zu verstehen, die das Erzeugen einer oder mehrerer Atmosphären in einer Vakuumumgebung, d. h. in einem Unterdruckniveau, erfordert, wobei das Vakuum im Wesentlichen während jedes Prozesses der Sequenz aufrecht erhalten wird, oder anders ausgedrückt, wobei das Substrat nicht der Umgebungsatmosphäre, die außerhalb einer Prozessanlage oder einer Prozesskammer vorherrscht, ausgesetzt wird.
  • Mit Bezug zu den 2a bis 2g werden nunmehr weitere anschauliche Ausführungsformen der vorliegenden Erfindung beschrieben.
  • In 2a umfasst eine Halbleiterstruktur 200 ein Substrat 201, über welchem eine erste Metallisierungsschicht 202 gebildet ist. Wie bereits mit Bezug zu den 1a bis 1e erläutert ist, kann das Substrat 201 beliebige Schaltungselemente, etwa Transistoren, Widerstände, Kondensatoren und dergleichen aufweisen, wobei die erste Metallisierungsschicht 202 einen elektrischen Kontakt zu mindestens einigen der Schaltungselemente herstellt. Die erste Metallisierungsschicht 202 kann die unterste Metallisierungsschicht repräsentieren oder kann eine beliebige zwischenliegende Metallisierungsschicht repräsentieren, über der eine oder mehrere weitere Metallisierungsschichten zu bilden sind. Die erste Metallisierungsschicht 202 kann eine erste dielektrische Schicht 204 mit einem ersten darin enthaltenen Metallgebiet 203 aufweisen. In dem vorliegenden Beispiel kann das erste Metallgebiet 203 aus Kupfer mit einer Barrierendiffusionsschicht (nicht gezeigt), die zwischen dem dielektrischen Material 204 und dem Kupfer des ersten Metallgebiets 203 angeordnet ist, aufgebaut sein. Eine Barrierenschicht 250 ist über der ersten Metallisierungsschicht 202 gebildet und besitzt eine erste Oberfläche 251 und eine zweite Oberfläche 252, die in Kontakt mit der ersten Metallisierungsschicht 202 ist. In einer speziellen Ausführungsform weist die Barrierenschicht 250 Siliziumkarbid auf, das eine erhöhte Konzentration an Stickstoff für die erforderlichen Barrieren- und Hafteigenschaften enthält. Beispielsweise kann die Stickstoffkonzentration im Bereich von ungefähr 20 bis 30 Gewichtsprozent liegen. Ein Oberflächengebiet, das die Oberfläche 251 der Barrierenschicht 250 mit einschließt, besitzt eine modifizierte Struktur im Vergleich zu den tieferliegenden Bereichen der Barrierenschicht 250. Beispielsweise können die Oberfläche 251 und Bereiche bis zu einer Tiefe von ungefähr 2 bis 30 Angstrom eine erhöhte Dichte im Vergleich zu der restlichen Barrierenschicht 250 aufweisen, wobei zusätzliche inerte Atome, etwa Argon, Krypton oder andere Edelgasatome in die Barrierenschicht 250 eingebaut sind. In einer speziellen Ausführungsform können Heliumatome in der Barrierenschicht 250 zusätzlich zu Silizium, Kohlenstoff und Stickstoff vorhanden sein. In dieser Hinsicht ist zu beachten, dass andere Verunreinigungen in der Barrierenschicht 250 in Abhängigkeit von der „Prozessgeschichte" zur Herstellung der Barrierenschicht 250 vorhanden sein können. Diese Verunreinigungen sind jedoch in einer deutlich geringeren Menge als Silizium, Kohlenstoff, Stickstoff und die inerte Komponente, etwa Helium, eingebaut. Obwohl einige dieser Verunreinigungen ebenso zur Lackvergiftung in einer konventionellen Struktur, wie sie etwa mit Bezug zu den 1a bis 1e beschrieben ist, beitragen können, verringert die modifizierte Oberfläche 251 die Diffusionsaktivität dieser Verunreinigungen signifikant und ebenso die Diffusion von Stickstoff, wodurch in wirksamer Weise die Menge der Stickstoffverbindungen reduziert wird, die von der Barrierenschicht 250 wegdiffundieren können und zu einer Lackvergiftung in weiteren Herstellungsprozessen führen können, wie dies später beschrieben wird.
  • Ein typischer Prozessablauf zur Herstellung der Halbleiterstruktur 200, wie sie in 2a gezeigt ist, kann die folgenden Schritte aufweisen. Zunächst wird das Substrat 201 bereitgestellt, das die darauf gebildete erste Metallisierungsschicht 202 bereits aufweist. Die Herstellung der ersten Metallisierungsschicht 202 kann im Wesentlichen die gleichen Schritte umfassen, wie sie für die Herstellung einer nachfolgenden Metallisierungsschicht angewendet werden, und wie dies detaillierter in dieser Anmeldung beschrieben ist, so dass die Beschreibung davon hier weggelassen ist. Danach wird die Barrierenschicht 250 durch beispielsweise plasmaunterstütztes CVD mit einer erforderlichen Dicke, beispielsweise in einem Bereich von ungefähr 10 bis 100 nm, abgeschieden. Während des Abscheidens kann der Anteil an stickstoffenthaltendem Vorstufengas so gesteuert werden, um eine Stickstoffkonzentration in der Abscheideatmosphäre und damit in der abgeschiedenen Schicht 250 zu erhalten, wie dies für die gewünschten Barrieren- und Hafteigenschaften erforderlich ist.
  • In einer Ausführungsform werden Vorstufengase 3MS (Trimethylsilan) und Ammoniak (NH3), 4MS (Tetramethylsilan), flüssige Vorstufenmaterialien, etwa TOMCAT, OMCTS und dergleichen in Kombination mit einem inerten Gas, etwa Helium, verwendet, um eine reaktive Atmosphäre für das Abscheiden einer stickstoffenthaltenden Siliziumkarbidschicht zu erzeugen. Das Verhältnis von 3MS und Ammoniak (NH3) bestimmt im Wesentlichen die Menge an Stickstoff, die in die Siliziumkarbidschicht 250 eingebaut wird. Das Abscheiden kann in einer beliebigen geeigneten CVD-Prozessanlage (nicht gezeigt) stattfinden, die ferner das Erzeugen einer Plasmaatmosphäre ermöglicht. Z. B. können plasmaunterstütze CVD-Abscheidesysteme verwendet werden, die von der Applied Materials erhältlich sind, etwa DXZ oder Producer. Für die oben spezifizierte Abscheideanlage können typische Prozessparameter sein wie folgt:
    • Gasdurchfluss: 3MS: ungefähr 100 bis 200, beispielsweise 160 sccm;
    • Ammoniak (NH3): ungefähr 200 bis 500, beispielsweise 325 sccm;
    • He: ungefähr 350 bis 450, beispielsweise 400 sccm;
    • HF-Leistung: ungefähr 200 bis 400 Watt, beispielsweise 300 Watt;
    • Druck: ungefähr 266 bis 532 Pa, beispielsweise 399 Pa;
    • Substrattemperatur: ungefähr 300 bis 400° C, beispielsweise 335° C.
  • Für die oben spezifizierten Parameter und mit einer Abscheidezeit von ungefähr 15 bis 20 Sekunden kann eine Dicke für die Barrierenschicht im Bereich von ungefähr 20 bis 70 Nanometer (nm) erreicht werden. Die Stickstoffkonzentration der Barrierenschicht kann im Bereich von ungefähr 20 bis 30 Gewichtsprozent liegen. Die obigen Parameterbereiche sowie die spezifizierten Vorstufenmaterialien und Trägergase liefern eine ausreichende Anleitung für den Fachmann, um den Prozess in einfacher Weise auf tatsächlich verwendete Abscheideanlagen und Abscheideschemas anzupassen.
  • In 2b ist die Halbleiterstruktur 200 nach der Abscheidung der Barrierenschicht 250 dargestellt, wenn diese der Einwirkung einer Plasmaatmosphäre 260 zum Modifizieren der Oberfläche 251 ausgesetzt ist. In einer speziellen Ausführungsform kann die Plasmaatmosphäre 260 aus einer Edelgasatmosphäre, z. B. einer Heliumgasatmosphäre erzeugt werden. Die Heliumplasmaatmosphäre 260 ermöglicht die Modifizierung der Struktur der Oberfläche 251 und eines Gebiets benachbart zu der Oberfläche 251, ohne im Wesentlichen die Eigenschaften des restlichen Bereichs der Barrierenschicht 250 auf Grund des geringen Atomgewichts der Heliumteilchen zu beeinträchtigen. Somit wird lediglich ein Gebiet von wenigen Angstrom (z. B. 3 bis 30 Angstrom) Dicke unterhalb der Oberfläche 251 durch die Plasmaatmosphäre 260 beeinflusst, wobei dennoch die Oberfläche 251 effektiv verdichtet wird, um damit deutlich die Stickstoffwanderung durch die Oberfläche hindurch zu reduzieren. D. h., durch Einwirkung der Plasmaatmosphäre auf die Oberfläche 251 der Barrierenschicht 250 wird die Konzentration an Heliumatomen (oder anderen Edelgasatomen) im Vergleich zu der Konzentration derartiger Edelgasatome im Rest der Barrierenschicht 250 erhöht. Die Tiefe des Gebiets, das eine erhöhte Konzentration an Edelgasatomen aufweist, kann entsprechend der speziellen Anwendung variieren. In einer anschaulichen Ausführungsform beträgt die Tiefe eines derartigen Gebiets ungefähr 0.3 bis 3 nm. In anderen Ausführungsformen können schwerere inerte Teilchen, etwa Argonteilchen, zur Erzeugung der Plasmaatmosphäre 260 verwendet werden, wenn eine deutlichere Wirkung auf Bereiche, die tiefer liegen, tolerierbar ist.
  • In einer speziellen Ausführungsform werden das Abscheiden der Barrierenschicht 250 und die Behandlung mit der Plasmaatmosphäre 260 als eine in-situ-Sequenz ausgeführt, wodurch die Anlagenausnutzung und der Durchsatz optimiert werden. Z. B. können die zuvor benannten Abscheideanlagen oder eine andere geeignete Abscheideanlage mit Prozessparametern für die Plasmaatmosphäre 260, wie folgt, verwendet werden.
    • Gasdurchfluss: Helium: ungefähr 1500 bis 4000 sccm, z. B. 2600 sccm;
    • HF-Leistung: ungefähr 200 bis 500 Watt, z. B. 350 Watt;
    • Druck: ungefähr 931 bis 1330 Pa, z. B. 1157 Pa
    • Substrattemperatur: ungefähr 300 bis 400° C, z. B. 335°C.
  • Eine Zeitdauer für die Plasmabehandlung kann im Bereich von ungefähr 10 bis 60 Sekunden liegen.
  • Man erkennt leicht, dass die Prozessparameter für die Plasmaatmosphäre 260 voneinander sowie von den Eigenschaften der Prozesskammer der Abscheideanlage, etwa der Geometrie der Kammer, der Konfiguration und der Ausstattung der Kammer und dergleichen abhängen können. Basierend auf den zuvor spezifizierten Werten kann jedoch ein geeigneter Satz an Parameterwerten für eine beliebige Abscheideanlage experimentell ermittelt werden.
  • In einer Ausführungsform kann der Plasmabehandlung ein Spülschritt vorausgehen, um Vorstufengase und/oder Reaktionsprodukte, die während der Abscheidung der Barrierenschicht 250 erzeugt werden, zu entfernen. Dazu kann die Zufuhr von Vorstufenmaterialien, etwa 3MS und Ammoniak (NH3) beendet werden, und ein Spülgas kann in die Prozesskammer eingeführt werden. In einer Ausführungsform kann das Spülgas das gleiche sein, wie es zur Errichtung der Plasmaatmosphäre 260 verwendet wird. Typischerweise kann die Dauer des Spülschritts im Bereich von ungefähr 3 bis 10 Sekunden liegen. In einigen Fällen kann es jedoch als angebracht erachtet werden, den Spülschritt über die oben spezifizierten Zeitintervalle hinaus zu verlängern, um Spuren von Verunreinigungen zu minimieren, wohingegen in anderen Fällen der Spülschritt weggelassen oder mit einem Stabilisierungsschritt zum Errichten einer gasförmigen Atmosphäre vor dem Zünden eines Plasmas kombiniert werden kann. Z. B. wird eine Gasatmosphäre mit einem Gas, wie es für die Plasmaatmosphäre 260, etwa Helium, verwendet wird, für eine geeignete Zeitdauer mit einem Druck erzeugt, der zum Schaffen der Plasmaatmosphäre 260 geeignet ist, wobei ein Teil des Stabilisierungsschritts benutzt wird, um ungewünschte Kontaminationsstoffe, etwa Stickstoff, zu entfernen. Typischerweise kann der Stabilisierungsschritt von ungefähr 5 bis 15 Sekunden, beispielsweise ungefähr 12 Sekunden, dauern.
  • In anderen Ausführungsformen können schwerere inerte Atome zur Erzeugung der Plasmaatomosphäre 260 verwendet werden, wenn der Einfluss der schwereren Teilchen auf die Barrierenschicht 250 tolerierbar ist. Z. B. können Argon, Krypton oder andere Edelgase verwendet werden, um die Oberfläche 251 durch Freisetzen von u. a.
  • Stickstoff und/oder durch Einbauen dieser schwereren Teilchen in die Barrierenschicht 250 zu modifizieren, wodurch das Freisetzen und damit das Diffundieren von Stickstoff in nachfolgenden Prozessen reduziert wird, wie dies im Weiteren detaillierter beschrieben ist.
  • 2c zeigt schematisch die Halbleiterstruktur 200 in einem fortgeschrittenen Herstellungsstadium, der ähnlich zu jenem in 1a gezeigten ist. Somit weist die Halbleiterstruktur 200 eine dielektrische Schicht mit kleinem ε 206 auf, die eine erste Schicht und eine zweite Schicht aufweisen kann, wobei eine Ätzstoppschicht 207, beispielsweise aus Siliziumkarbid aufgebaut, dazwischen angeordnet sein kann, wohingegen in anderen Ausführungsformen die Ätzstoppschicht 207 weggelassen werden kann. Die dielektrische Schicht mit kleinem ε 206 ist aus einem beliebigen geeigneten dielektrischen Material, etwa Siliziumoxykarbid (SiCOH) und dergleichen aufgebaut. Eine Deckschicht 208 kann optional über der dielektrischen Schicht 206 gebildet sein, und eine Lackmaske 209 mit einer darin gebildeten Öffnung 210 kann über Deckschicht 208 ausgebildet sein.
  • Hinsichtlich der Herstellung der Halbleiterstruktur 200, wie sie in 2c gezeigt ist, können die gleichen Prozesse angewendet werden, wie sie zuvor mit Bezug zu 1a erläutert sind. Es sollte jedoch beachtet werden, dass auf Grund des verringerten Freisetzens und Diffundierens von Stickstoff und Verbindungen davon an der modifizierten Oberfläche 251 das Diffundieren von Stickstoff in das darüber liegende Dielektrikum mit kleinem ε deutlich geringer ist als in dem entsprechenden konventionellen Prozessablauf. Somit enthält die dielektrische Schicht 206 lediglich eine minimale Menge an Stickstoff und Stickstoffverbindungen. Als Folge davon ist selbst bei Abwesenheit der Deckschicht 208 eine Lackvergiftung der Lackmaske 209 deutlich reduziert.
  • 2d zeigt die Halbleiterstruktur 200 nach einem anisotropen Ätzprozess zur Bildung einer Kontaktdurchführung 211 in der (optionalen) Deckschicht 208, der dielektrischen Schicht 206, der optionalen Stoppschicht 207 und teilweise in der Barrierenschicht 250, die als eine Ätzstoppschicht dient, wodurch eine Dicke 255 nach dem Ätzprozess zurückbleibt. In einer Ausführungsform kann die Modifizierung der Oberfläche 251 im Wesentlichen bis zu dem restlichen Bereich der Barrierenschicht 250 mit der Dicke 255 reichen. Auf diese Weise wird eine Freisetzung von Stickstoff während des Ätzprozesses oder in einem nachfolgenden Auffüllprozess unterdrückt. Eine entsprechende „Tiefe" des modifizierten Bereichs kann erreicht werden, indem die Bedingungen während der Behandlung mit der Plasmaatmospähre 260 geeignet eingestellt werden. Z. B. kann die Behandlungszeitdauer und/oder die Substrattemperatur und/oder der Druck und/oder die Art der Plasmateilchen entsprechend ausgewählt werden, um die gewünschte Tiefe bei der Modifizierung der Oberfläche 251 zu erreichen.
  • In anderen Ausführungsformen kann nach dem Ätzen der Kontaktdurchführung 211 ein nachfolgender Schritt zum Ausgasen von Kontaminationsstoffen aus freigelegten Bereichen der Barrierenschicht 250 durchgeführt werden – möglicherweise bei einer erhöhten Temperatur – um die Kontaminationsstoffe vor den folgenden Lithographieprozessen zu entfernen. Während des Ausgasens begrenzt die modifizierte Oberfläche 251 das Diffundieren von Stickstoff und Stickstoffverbindungen nicht freigelegter Bereiche der Barrierenschicht 250. Somit kann selbst für eine Modifizierung, die im Wesentlichen auf die Oberfläche 251 der Barrierenschicht 250 beschränkt ist, eine Stickstoffkontamination in nachfolgenden Prozessschritten minimiert werden.
  • 2e zeigt schematisch ein fortgeschrittenes Herstellungsstadium, wobei die Kontaktdurchführung 211 mit einer organischen antireflektierenden Beschichtung 214 gefüllt ist, um einen Kontaktdurchführungspfropfen und eine entsprechende antireflektierende Schicht 212 auf der dielektrischen Schicht 206 zu bilden. Eine Photolackmaske 213 mit einer Grabenöffnung 215 ist über der antireflektierenden Schicht 212 gebildet.
  • Bei der Herstellung der Öffnung 215 in der strukturierten Photolackmaske 213, wie dies in 2e gezeigt ist, wobei im Wesentlichen die gleichen Prozessschritte beteiligt sein können, wie dies mit Bezug zu 1c beschrieben ist, können die Mengen an Stickstoff und Stickstoffverbindungen von der Barrierenschicht 250, die zu der dielektrischen Schicht 206 in vorhergehenden Prozessschritten hinaufdiffundieren und letztlich die Photolackmaske 213 erreichen, deutlich reduziert sein, so dass die Lackvergiftung verringert oder eliminiert ist. Daher ist die Grabenöffnung 215 im Wesentlichen vollständig geöffnet und enthält lediglich eine unmaßgebliche Menge an Lackresten oder ist sogar vollständig frei von Lackresten.
  • In anderen Ausführungsformen kann der Anteil an Lackresten bestimmt werden, z. B. durch optische Messungen, deren Messungen dann verwendet werden können, um die „Eignung" der Lackmaske 213 für die weitere Bearbeitung zu bewerten. Auf der Grundlage dieser Eignung kann das Substrat 201 dann zurückgewiesen oder freigegeben werden. Eine Zurückweisung des Substrats 201 kann zu einem weiteren Ausgasungsschritt, wie dies zuvor beschrieben ist, führen, wobei die Lackmaske 213 vor oder nach dem Ausgasen entfernt werden kann. In einer anschaulichen Ausführungsform können die Messergebnisse verwendet werden, um einen oder mehrere Prozessparameter, etwa die Prozesszeit für die Plasmabehandlung mit der Plasmaatmosphäre 260 und/oder den Ausgasungsschritt in der oben beschriebenen Weise nachzujustieren, um den Anteil an Lackresten innerhalb gewisser Spezifikationen zu halten.
  • 2f zeigt die Halbleiterstruktur 200 nach dem anisotropen Ätzen des oberen Bereichs der dielektrischen Schicht 206, um einen Graben 217 zu bilden, der im Wesentlichen den Abmessungen der Grabenöffnung 215 in der Photolackmaske 213 entspricht. Das Ätzen des Grabens 217 und das nachfolgende Entfernen der antireflektierenden Schicht 212 und des restlichen Photolacks 213 kann so ausgeführt werden, wie dies bereits mit Bezug zu 1d beschrieben ist. Des weiteren kann die Barrierenschicht 250 vollständig geöffnet werden, um eine Verbindung zu dem darunter liegenden Metallgebiet 203 zu schaffen.
  • 2g zeigt schematisch die Halbleiterstruktur 200 mit einer zweiten Metallisierungsschicht 230, die über der ersten Metallisierungsschicht 202 gebildet und teilweise davon durch die Barrierenschicht 250 getrennt ist. Des weiteren ist eine Barrierenmetailschicht 218 innerhalb der Grabenöffnung 217 und der Kontaktdurchführungsöffnung 211 gebildet, wobei diese Öffnungen nunmehr mit Kupfer 219 gefüllt sind, so dass eine elektrische Verbindung zwischen dem ersten Teilgebiet 203 und dem Graben 217 geschaffen wird. Ferner ist die Deckschicht 208 entfernt, so dass eine im Wesentlichen ebene Oberfläche 220 zur Verfügung steht, die eine weitere Metallisierungsschicht, etwa in der Form der zweiten Metallisierungsschicht 230, aufnehmen kann.
  • Hinsichtlich der Bildung der Struktur, wie sie in 2g gezeigt ist, gelten die gleichen Kriterien, wie sie bereits mit Bezug zu 1d dargelegt sind. Insbesondere zeigen Grenzflächenbereiche 221 der Barrierenschicht 250, die mit dem ersten Metallgebiet 203 in Kontakt sind, eine ausreichend hohe Stickstoffkonzentration, um die erforderlichen Elektromigrations- und Hafteigenschaften bereitzustellen, wohingegen an der gegenüberliegenden modifizierten Oberfläche 251 die Haftung zu der dielektrischen Schicht 206 im Wesentlichen nicht negativ beeinflusst ist. Dies wird erreicht, indem die Barrierenschicht 250 mit einer Plasmabehandlung nach dem Abscheiden modifiziert wird, woraus eine erhöhte Edelgasatomkonzentration an der Oberfläche 251 im Vergleich zu der Oberfläche 252, die mit der Metallisierungsschicht 202 in Kontakt ist, resultiert.

Claims (11)

  1. Verfahren zur Herstellung einer Metallisierungsschicht, wobei das Verfahren umfasst: Abscheiden einer stickstoffenthaltenden Barrierenschicht mit kleinem ε über einem Substrat, wobei die Barrierenschicht zwischen 10 und 30 Gewichtsprozent Stickstoff aufweist; Modifizieren einer Oberfläche der stickstoffenthaltenden Barrierenschicht mit kleinem ε durch Einführen von Edelgasatomen in ein Gebiet der Barrierenschicht, indem die Barrierenschicht einer Plasmabehandlung mit Edelgas unterzogen wird; Abscheiden einer dielektrischen Schicht mit kleinem ε auf der Barrierenschicht mit kleinem ε. Strukturieren der dielektrischen Schicht mit kleinem ε durch einen lithographischen Prozess, wobei eine Kontaktdurchführung in der dielektrischen Schicht mit kleinem ε mittels einer ersten Lackmaske gebildet wird und nach dem Bilden der Kontaktdurchführung ein Graben in einem oberen Bereich der dielektrischen Schicht mit kleinem ε mittels einer zweiten Lackmaske gebildet wird und wobei die modifizierte Oberfläche eine Lackvergiftung in dem Lithographieprozess reduziert; und Bilden eines Metallgebiets in der strukturierten dielektrischen Schicht mit kleinem ε.
  2. Verfahren nach Anspruch 1, wobei die stickstoffenthaltende Barrierenschicht mit kleinem ε Siliziumkarbid aufweist.
  3. Verfahren nach Anspruch 1, wobei Abscheiden der stickstoffenthaltenden Barrierenschicht mit kleinem ε und Modifizieren einer Oberfläche davon ausgeführt wird, ohne dass das Substrat einer Umgebungsatmosphäre ausgesetzt wird.
  4. Verfahren nach Anspruch 1, wobei die Plasmabehandlung Erzeugen einer Plasmaatmosphäre auf der Grundlage eines Edelgases umfasst.
  5. Verfahren nach Anspruch 4, das ferner Stabilisieren einer Gasatmosphäre mit Helium vor dem Erzeugen der Plasmaatmosphäre umfasst.
  6. Verfahren nach Anspruch 4, das ferner Spülen des Substrats mit einem Edelgas vor dem Erzeugen der Plasmaatmosphäre umfasst.
  7. Verfahren nach Anspruch 1, das ferner vor dem Bilden des Grabens das Ausführen eines Ausgasungsschrittes zur Entfernung von Kontaminationsstoffen umfasst.
  8. Verfahren nach Anspruch 1, das ferner Bestimmen eines Grades an Lackvergiftung umfasst.
  9. Verfahren nach Anspruch 8, das ferner umfasst: Steuern auf der Grundlage des bestimmten Grades mindestens eines Prozessparameters für die Plasmabehandlung.
  10. Verfahren nach Anspruch 4, wobei die Plasmaatmosphäre der Plasmabehandlung aus Helium erzeugt wird.
  11. Verfahren nach Anspruch 1, wobei die stickstoffenthaltende Barrierenschicht durch plasmaunterstützte Dampfabscheidung gebildet wird.
DE10319136A 2003-04-28 2003-04-28 Verfahren zur Herstellung einer Metallisierungsschicht mit einer mit Stickstoff angereicherten Barrierenschicht mit kleinem ε Expired - Lifetime DE10319136B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE10319136A DE10319136B4 (de) 2003-04-28 2003-04-28 Verfahren zur Herstellung einer Metallisierungsschicht mit einer mit Stickstoff angereicherten Barrierenschicht mit kleinem ε
US10/716,681 US7022602B2 (en) 2003-04-28 2003-11-19 Nitrogen-enriched low-k barrier layer for a copper metallization layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10319136A DE10319136B4 (de) 2003-04-28 2003-04-28 Verfahren zur Herstellung einer Metallisierungsschicht mit einer mit Stickstoff angereicherten Barrierenschicht mit kleinem ε

Publications (2)

Publication Number Publication Date
DE10319136A1 DE10319136A1 (de) 2004-11-25
DE10319136B4 true DE10319136B4 (de) 2008-06-12

Family

ID=33185715

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10319136A Expired - Lifetime DE10319136B4 (de) 2003-04-28 2003-04-28 Verfahren zur Herstellung einer Metallisierungsschicht mit einer mit Stickstoff angereicherten Barrierenschicht mit kleinem ε

Country Status (2)

Country Link
US (1) US7022602B2 (de)
DE (1) DE10319136B4 (de)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
JP2005277375A (ja) * 2004-02-27 2005-10-06 Nec Electronics Corp 半導体装置の製造方法
KR100607809B1 (ko) 2004-03-30 2006-08-02 매그나칩 반도체 유한회사 반도체 소자의 금속배선 형성방법
US7352064B2 (en) * 2004-11-04 2008-04-01 International Business Machines Corporation Multiple layer resist scheme implementing etch recipe particular to each layer
DE102005004409B4 (de) * 2005-01-31 2011-01-20 Advanced Micro Devices, Inc., Sunnyvale Technik zur Erhöhung der Prozessflexibilität während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika mit kleinem ε
JP4357434B2 (ja) * 2005-02-25 2009-11-04 株式会社東芝 半導体装置の製造方法
DE102005020060B4 (de) * 2005-04-29 2012-02-23 Advanced Micro Devices, Inc. Verfahren zum Strukturieren eines Dielektrikums mit kleinem ε unter Anwendung einer Hartmaske
KR100650857B1 (ko) * 2005-12-23 2006-11-28 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조 방법
US20070278682A1 (en) * 2006-05-31 2007-12-06 Chung-Chi Ko Self-assembled mono-layer liner for cu/porous low-k interconnections
US7727885B2 (en) * 2006-08-29 2010-06-01 Texas Instruments Incorporated Reduction of punch-thru defects in damascene processing
US7632689B2 (en) * 2006-10-03 2009-12-15 Spansion Llc Methods for controlling the profile of a trench of a semiconductor structure
US7709370B2 (en) * 2007-09-20 2010-05-04 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US8618663B2 (en) * 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US7723227B1 (en) 2009-03-24 2010-05-25 Micron Technology, Inc. Methods of forming copper-comprising conductive lines in the fabrication of integrated circuitry
ES2342872B1 (es) * 2009-05-20 2011-05-30 Baolab Microsystems S.L. Chip que comprende un mems dispuesto en un circuito integrado y procedimiento de fabricacion correspondiente.
WO2012066178A2 (es) * 2010-11-19 2012-05-24 Baolab Microsystems Sl Métodos y sistemas para la fabricación de dispositivos de cmos de mems en diseños de nodo inferior
EP3809451A1 (de) * 2013-11-08 2021-04-21 Renesas Electronics Corporation Halbleiterbauelement
US10128016B2 (en) * 2016-01-12 2018-11-13 Asml Netherlands B.V. EUV element having barrier to hydrogen transport
US9899317B1 (en) 2016-09-29 2018-02-20 International Business Machines Corporation Nitridization for semiconductor structures
US11769692B2 (en) 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
US11158561B2 (en) 2019-05-01 2021-10-26 Micron Technology, Inc. Memory device with low density thermal barrier
US10964621B2 (en) * 2019-05-01 2021-03-30 Micron Technology, Inc. Memory device with high resistivity thermal barrier
US11532548B2 (en) 2020-02-19 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Nitrogen plasma treatment for improving interface between etch stop layer and copper interconnect

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1061156A2 (de) * 1999-06-18 2000-12-20 Applied Materials, Inc. Verfahren und System zur Nachbehandlung einer abgeschiedenen, kohlenstoffhaltigen Schicht auf einem Substrat
EP1077479A1 (de) * 1999-08-17 2001-02-21 Applied Materials, Inc. Behandlung nach der Abscheidung um die Eigenschaften eines niedrig-k Si-O-C Films zu verbessern
US20020119250A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5962344A (en) * 1997-12-29 1999-10-05 Vanguard International Semiconductor Corporation Plasma treatment method for PECVD silicon nitride films for improved passivation layers on semiconductor metal interconnections
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
DE10250889B4 (de) * 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US6720256B1 (en) * 2002-12-04 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of dual damascene patterning

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1061156A2 (de) * 1999-06-18 2000-12-20 Applied Materials, Inc. Verfahren und System zur Nachbehandlung einer abgeschiedenen, kohlenstoffhaltigen Schicht auf einem Substrat
EP1077479A1 (de) * 1999-08-17 2001-02-21 Applied Materials, Inc. Behandlung nach der Abscheidung um die Eigenschaften eines niedrig-k Si-O-C Films zu verbessern
US20020119250A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers

Also Published As

Publication number Publication date
DE10319136A1 (de) 2004-11-25
US20040214430A1 (en) 2004-10-28
US7022602B2 (en) 2006-04-04

Similar Documents

Publication Publication Date Title
DE10319136B4 (de) Verfahren zur Herstellung einer Metallisierungsschicht mit einer mit Stickstoff angereicherten Barrierenschicht mit kleinem ε
DE10250889B4 (de) Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102008007001B4 (de) Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
DE102005057075B4 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
DE102008021568B3 (de) Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
DE102005020060B4 (de) Verfahren zum Strukturieren eines Dielektrikums mit kleinem ε unter Anwendung einer Hartmaske
DE102007004867A1 (de) Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
DE102008016425A1 (de) Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
DE102008016424A1 (de) Verringern der Strukturierungsschwankung von Gräben in Metallisierungsschichtstapeln mit einem Material mit kleinem ε durch Verringerung der Kontamination der Grabendielektrika
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE102006056624B4 (de) Verfahren zur Herstellung einer selbstjustierten CuSiN-Deckschicht in einem Mikrostrukturbauelement
DE102009010844B4 (de) Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
DE102006056626A1 (de) Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
DE102008063417B4 (de) Lokale Silizidierung an Kontaktlochunterseiten in Metallisierungssystemen von Halbleiterbauelementen
DE10260619B4 (de) Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε
DE102010063294B4 (de) Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
DE102005063089A1 (de) Verfahren zum Reduzieren der Kontaminierung durch Vorsehen einer Ätzstoppschicht am Substratrand
DE102008054068A1 (de) Veringern von Metallhohlräumen in einem metallischen Schichtstapel eines Halbleiterbauelements durch Vorsehen einer dielektrischen Barrierenschicht
DE102004036753B4 (de) Verfahren zur Herstellung einer stickstofffreien ARC-Deckschicht
DE102006041004B4 (de) Technik zum Reduzieren plasmainduzierter Ätzschäden während der Herstellung von Kontaktdurchführungen in Zwischenschichtdielektrika
DE10214065B4 (de) Verfahren zur Herstellung eines verbesserten Metallsilizidbereichs in einem Silizium enthaltenden leitenden Gebiet in einer integrierten Schaltung
DE102005052053B4 (de) Verfahren zur Herstellung einer Ätzstoppschicht für eine Metallisierungsschicht mit verbesserter Ätzselektivität und besserem Einschlussverhalten
DE102008049720B4 (de) Verfahren zum Passivieren freigelegter Kupferoberflächen in einer Metallisierungsschicht eines Halbleiterbauelements

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R071 Expiry of right