DE102005004409B4 - Technik zur Erhöhung der Prozessflexibilität während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika mit kleinem ε - Google Patents

Technik zur Erhöhung der Prozessflexibilität während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika mit kleinem ε Download PDF

Info

Publication number
DE102005004409B4
DE102005004409B4 DE102005004409A DE102005004409A DE102005004409B4 DE 102005004409 B4 DE102005004409 B4 DE 102005004409B4 DE 102005004409 A DE102005004409 A DE 102005004409A DE 102005004409 A DE102005004409 A DE 102005004409A DE 102005004409 B4 DE102005004409 B4 DE 102005004409B4
Authority
DE
Germany
Prior art keywords
etch stop
stop layer
etch
etching
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102005004409A
Other languages
English (en)
Other versions
DE102005004409A1 (de
Inventor
Thomas Werner
Matthias Schaller
Massud Aminpur
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE102005004409A priority Critical patent/DE102005004409B4/de
Priority to US11/199,526 priority patent/US7763547B2/en
Publication of DE102005004409A1 publication Critical patent/DE102005004409A1/de
Application granted granted Critical
Publication of DE102005004409B4 publication Critical patent/DE102005004409B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren mit:
Bilden einer Lackmaske über einem dielektrischen Schichtstapel mit einer dielektrischen Schicht mit kleinem ε, die auf einer Ätzstoppschicht gebildet ist, wobei der dielektrische Schichtstapel auf einem Substrat gebildet ist;
Ätzen einer Öffnung durch das dielektrische Material mit kleinem ε in die Ätzstoppschicht hinein auf der Grundlage einer ersten Plasmaumgebung einer ersten Ätzchemie, die selektiv in Bezug auf die dielektrische Schicht mit kleinem ε und die Ätzstoppschicht ist und Fluor enthält;
Entfernen der Lackmaske auf der Grundlage einer zweiten sauerstoffenthaltenden Plasmaumgebung einer zweiten Ätzchemie, die als ein erstes Ätzmittel Sauerstoff aufweist, wobei die zweite Ätzchemie zumindest nach einer Anfangsphase als ein zweites Ätzmittel ein Ätzmittel aufweist, das Material der Ätzstoppschicht abträgt;
Beibehalten der zweiten Ätzchemie und Reduzieren einer Dicke der verbliebenen Ätzstoppschicht gemäß einer vordefinierten Solldicke auf 50% bis 90% der Anfangsdicke der Ätzstoppschicht,
wobei mindestens ein Prozessparameter beim Beibehalten der zweiten Ätzchemie so...

Description

  • GEBIET DER VORLIEGENDEN ERFINDUNG
  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung integrierter Schaltungen und betrifft insbesondere die Herstellung von Metallisierungsschichten, die äußerst leitfähige Metalle, etwa Kupfer, aufweisen, die in ein dielektrisches Material mit einer geringen Permittivität eingebettet sind, um das Bauteilleistungsverhalten zu verbessern.
  • BESCHREIBUNG DES STANDS DER TECHNIK
  • In einer integrierten Schaltung werden eine große Anzahl von Schaltungselementen, etwa Transistoren, Kondensatoren, Widerstände und dergleichen in oder auf einem geeigneten Substrat in einer im Wesentlichen üblicherweise planaren Anordnung aufgebaut. Auf Grund der großen Anzahl von Schaltungselementen und des erforderlichen komplexen Aufbaus moderner integrierter Schaltungen werden die elektrischen Verbindungen der einzelnen Schaltungselemente nicht in der gleichen Ebene verwirklicht, in der die Schaltungselemente hergestellt werden. Typischerweise werden derartige elektrische Verbindungen in einer oder mehreren zusätzlichen „Verdrahtungs-”Schichten ausgebildet, die auch als Metallisierungsschichten bezeichnet werden. Diese Metallisierungsschichten enthalten im Allgemeinen metallenthaltende Leitungen, die die elektrische Verbindung innerhalb der Schicht herstellen, und enthalten ferner mehrere Zwischenschichtverbindungen, die auch als Kontaktdurchführungen bezeichnet werden, die mit einem geeigneten Metall gefüllt sind. Die Kontaktdurchführungen liefern die elektrische Verbindung zwischen zwei benachbarten gestapelten Metallisierungsschichten, wobei die metallenthaltenden Leitungen und die Kontaktdurchführungen auch gemeinsam als Verbindungsstrukturen bezeichnet werden.
  • Auf Grund der ständigen Reduzierung der Strukturgrößen von Schaltungselementen in modernen integrierten Schaltungen steigt auch die Anzahl der Schaltungselemente bei einer gegebenen Chipfläche, d. h. also die Packungsdichte, an, wodurch eine noch höhere Anzahl an elektrischen Verbindungsstrukturen erforderlich ist, um die gewünschte Schaltungsfunktionalität bereitzustellen. Daher kann die Anzahl der gestapelten Metallisierungsschichten zunehmen und die Abmessungen der einzelnen Leitungen und Kontaktdurchführungen müssen gegebenenfalls verringert werden, wenn die Anzahl der Schaltungselemente pro Chipfläche ansteigt. Die Herstellung mehrerer Metallisierungsschichten ist mit Herausforderungen verknüpft, die es zu lösen gilt, etwa die mechanische, thermische oder elektrische Zuverlässigkeit einer Vielzahl gestapelten Metallisierungsschichten. In dem Maße, wie die Komplexität integrierter Schaltungen voranschreitet und Leitungen erforderlich macht, die moderat hohen Stromdichten widerstehen können, gehen Halbleiterhersteller vermehrt dazu über, das gut bekannte Metallisierungsmetall Aluminium durch ein Metall zu ersetzen, das höhere Stromdichten ermöglicht und damit eine Verringerung der Abmessungen von Verbindungsstrukturen und damit die Anzahl gestapelter Metallisierungsschichten ermöglicht. Beispielsweise sind Kupfer und dessen Legierungen Materialien, die zunehmend zum Ersetzen von Aluminium verwendet werden auf Grund ihrer überlegenen Eigenschaften im Hinblick auf einen höheren Widerstand gegenüber der Elektromigration und auf Grund des deutlich geringeren elektrischen Widerstands im Vergleich zu Aluminium. Trotz dieser Vorteile weisen Kupfer und Kupferlegierungen eine Reihe von Nachteilen hinsichtlich der Verarbeitung und der Handhabung in einer Halbleiterfabrik auf. Beispielsweise kann Kupfer nicht in effizienter Weise in größeren Mengen durch gut bekannte Abscheideverfahren, etwa die chemische Dampfabscheidung (CVD) auf ein Substrat aufgebracht werden und kann auch nicht in effizienter Weise durch typischerweise eingesetzte anisotrope Ätzverfahren strukturiert werden. Daher wird bei der Herstellung von Metallisierungsschichten mit Kupfer die sogenannte Damaszener-Technik (Einzel- und Doppel-Technik) vorzugsweise eingesetzt, wobei eine dielektrische Schicht zunächst aufgebracht und anschließend strukturiert wird, um Gräben und/oder Kontaktdurchführungen zu erhalten, die dann mit Kupfer und Kupferlegierungen gefüllt werden.
  • Der Prozess des Einfüllens von Kupfer oder Kupferlegierungen in Öffnungen mit äußerst geringen Abmessungen, etwa Gräben oder Kontaktdurchführungen mit Aspektverhältnissen (Tiefe/Durchmesser) von ungefähr 5 oder höher bei modernsten integrierten Schaltungen, ist eine äußerst herausfordernde Aufgabe für Prozessingenieure. Wie zuvor angemerkt ist, können Kupfer und entsprechende Legierungen nicht effizient durch chemische oder physikalische Dampfabscheideverfahren aufgebracht werden und daher werden Metalle auf Kupferbasis typischerweise durch elektrochemische Techniken, etwa das stromlose Plattieren oder Elektroplattieren, abgeschieden. Obwohl Elektroplattierungstechniken zum Abscheiden von Kupfer auf dem Gebiet der Herstellung integrierter Schaltungsplatinen gut etabliert sind, wurden vollständig neue Abscheideverfahren für das Ausbilden von Metallisierungsschichten auf Kupferbasis gemäß der Damaszener-Technik im Hinblick auf das Füllverhalten während der Kupferabscheidung entwickelt, wobei Gräben und Kontaktdurchführungen im Wesentlichen von unten nach oben mit minimaler Anzahl an Defekten, etwa Hohlräumen innerhalb der Gräben und Kontaktdurchführung, aufgefüllt werden. Nach dem Abscheiden des Kupfers oder des Metalls auf Kupferbasis ist das überschüssige Material, das auf Bereichen außerhalb der Gräben und Kontaktdurchführungen abgeschieden wird, zu entfernen, was gegenwärtig durch chemisch-mechanisches Polieren (CMP), möglicherweise in Verbindung mit elektrochemischen Ätztechniken, bewerkstelligt wird. In äußerst modernen Halbleiterbauelementen enthält das dielektrische Material, in das das Metall auf Kupferbasis eingebettet ist, typischerweise ein sogenanntes Material mit kleinem ε, d. h. ein Material mit einer relativen Permittivität, die deutlich kleiner als jene von „konventionellen” dielektrischen Materialien, etwa Siliziumdioxid, Siliziumnitrid, und dergleichen ist, so dass im Allgemeinen die relative Permittivität des Materials mit kleinem ε 3,0 oder weniger beträgt. Jedoch geht typischerweise die geringere Permittivität mit einer deutlich reduzierten mechanischen Festigkeit und Stabilität und unterschiedlichen Ätzeigenschaften im Vergleich zu den standardmäßigen Materialien einher. Daher wird in typischen Damaszener-Techniken zur Herstellung von Metallisierungsschichten mit kleinem ε bei modernen Halbleiterbauelementen eine Deckschicht vorgesehen, die die mechanische Integrität des dielektrischen Materials mit kleinem ε sicherstellt, und dadurch auch als Polierstoppschicht während des Abtrags des überschüssigen Metalls dient.
  • Es zeigt sich, dass der Prozess des Ätzens von Kontaktdurchführungen und Gräben in der dielektrischen Schicht mit kleinem ε merklich den gesamten Damaszener-Prozessablauf beeinflussen und auch einen Einfluss auf die schließlich erhaltene Verbindungsstruktur auf Kupferbasis ausübt. Mit Bezug zu den 1a bis 1c wird nunmehr ein typischer konventioneller Prozessablauf detaillierter beschrieben, um damit deutlicher die Probleme hervorzuheben, die beim Bilden von Metallleitungen mit äußerst geringen Abmessungen in einem dielektrischen Material mit kleinem ε auftreten.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 mit einem Substrat 101, das in Form eines Siliziumvollsubstrats, eines SOI-(Silizium auf Isolator)Substrats und dergleichen vorgesehen werden kann, wobei das Substrat 101 auch eine Bauteilschicht mit darin einzelnen ausgebildeten Schaltungselementen, etwa Transistoren, Kondensatoren, Leitungen, Kontaktbereiche, und dergleichen repräsentieren kann. Der Einfachheit halber sind derartige Schaltungselemente in 1a nicht gezeigt. Das Bauelement 100 umfasst ferner eine dielektrische Schicht 102, die über dem Substrat 101 ausgebildet ist, wobei die Schicht 102 ein dielektrisches Material repräsentieren kann, das die einzelnen Schaltungselemente einschließt, oder die Schicht 102 kann einen Teil einer tieferliegenden Metallisierungsschicht repräsentieren, in der metallgefüllte Kontaktdurchführungen (nicht gezeigt) eingebettet werden können. Abhängig von der spezifischen Gestaltung des Bauelements 100 oder der Funktion der Schicht 102 kann diese aus einem konventionellen dielektrischen Material, etwa Siliziumdioxid, Siliziumnitrid aufgebaut sein oder kann ein dielektrisches Material mit kleinem ε, beispielsweise mit Wasserstoff angereichertes Siliziumoxykarbid (SiCOH) aufweisen. Eine Metallleitung 103 ist über dem Substrat 101 und zumindest teilweise innerhalb der Schicht 102 ausgebildet. Die Metallleitung 103 kann aus einem kupferenthaltenden Metall aufgebaut sein, das leitende Barrierenschichten (nicht gezeigt) aufweist, um damit die Haftung der Metallleitung an dem umgebenden Material zu erhöhen und die Diffusion von Kupfer in empfindliche Bauteilgebiete zu reduzieren. Eine Ätzstoppschicht 104 ist auf der dielektrischen Schicht 102 und der Metallleitung 103 ausgebildet, wobei die Ätzstoppschicht aus einem Material aufgebaut sein kann, das eine hohe Ätzselektivität zu dem Material einer dielektrischen Schicht 105 mit kleinem ε aufweisen kann, die auf der Ätzstoppschicht 104 gebildet ist. Ferner dient die Ätzstoppschicht 104 typischerweise als eine Diffusionsbarriere zwischen der Metallleitung 103 und benachbarten Materialien, um das Herausdiffundieren von Metall, etwa von Kupfer, und das Diffundieren von dielektrischen Material in die Metallleitung 103 zu verringern. Ferner kann der Zustand einer Grenzfläche zwischen der Ätzstoppschicht 104 und der Metallleitung 103 merklich die elektrischen Eigenschaften der Metallleitung 103 hinsichtlich der Elektromigration beeinflussen. Häufig wird Siliziumnitrid als Material für die Ätzstoppschicht 104 verwendet, wenn dessen moderat hohe Permittivität als für das Bauelemente 100 geeignet erachtet wird, wohingegen Siliziumkarbid oder stickstoffangereichertes Siliziumkarbid häufig in geschwindigkeitskritischen Anwendungen eingesetzt wird, in denen eine geringe Gesamtpermittivität der Schichten 105, 104 und 102 erforderlich ist. Auf der dielektrischen Schicht mit kleinem ε 105, die aus einem beliebigen geeigneten Dielekrikum mit kleinem ε gebildet sein kann, ist eine ARC-Schicht oder Deckschicht 106 ausgebildet, die aus zwei oder mehr Teilschichten aufgebaut sein kann, um die gewünschte Funktion im Hinblick auf das optische Verhalten, die mechanische Festigkeit und die Maskierungseigenschaften zu erreichen. Beispielsweise kann die Deckschicht 106 aus einer Siliziumdioxidschicht gebildet sein, die so wirkt, dass sie der Schicht 105 mit kleinem ε eine erhöhte mechanische Festigkeit verleiht, woran sich eine Siliziumoxinitridschicht anschließt, um das optische Verhalten anzupassen, und dann eine dünne Siliziumdioxidschicht folgt, die als eine Stickstoffbarriere für eine Lackmaske 107 dient, die auf der Deckschicht 106 gebildet ist.
  • Ein typischer Prozessablauf zur Herstellung des Halbleiterbauelements 100, wie es in 1a gezeigt ist, kann die folgenden Prozesse umfassen. Nach der Fertigstellung von Schaltungselementen innerhalb des Substrats 101 wird die dielektrische Schicht 102 durch gut etablierte Abscheiderezepte auf der Grundlage plasmaunterstützter CVD abgeschieden. Beispielsweise kann die Schicht 102 aus Siliziumdioxid, fluordotiertem Siliziumdioxid oder SiCOH aufgebaut sein und daher können Abscheiderezepte auf der Basis geeigneter Vorstufenmaterialien eingesetzt werden, um die Schicht 102 herzustellen. Anschließend wird die Metallleitung 103 gemäß Prozessen gebildet, wie sie nachfolgend mit Bezug zu der Schicht 105 beschrieben werden. Danach wird die Ätzstoppschicht 104 beispielsweise durch gut etablierte plasmagestützte CVD-Techniken mit einer Dicke abgeschieden, die ausreichend ist, um zuverlässig einen Ätzprozess für die Kontaktdurchführung, der später auszuführen ist, zu stoppen. Anschließend wird die dielektrische Schicht 105 mit kleinem ε durch CVD oder Aufschleudern in Abhängigkeit des veränderten Materials gebildet. Danach wird die Deckschicht 106 durch plasmageschützte CVD-Techniken auf der Grundlage gut etablierter Rezepte so hergestellt, dass die gewünschten Eigenschaften bei der weiteren Bearbeitung des Bauelements 100 erreicht werden. Schließlich wird die Lackmaske 107 durch moderne Photolithographie gebildet, um eine entsprechende Öffnung 107a zu bilden, die die Abmessungen einer Kontaktdurchführung repräsentiert, die bis hinab zu der Metallleitung 103 zu bilden ist, oder es wird ein Graben typischerweise als Grenze eines Chipgebiets gebildet, wie dies detaillierter in 1c gezeigt ist.
  • 1b zeigt schematisch das Bauelement 100 mit einer Öffnung 105a, die in der Schicht 106, der dielektrischen Schicht 105 mit kleinem ε und teilweise in der Ätzstoppschicht 104 gebildet ist. Für diesen Zweck wird ein anisotroper Ätzprozess ausgeführt, wobei in einer Anfangsphase der exponierte Bereich der Schicht 106 entfernt und in einem nachfolgenden Prozess das dielektrische Material mit kleinem ε so entfernt wird, um die Öffnung 105a zu bilden, die in 1b eine Kontaktdurchführungsöffnung repräsentieren kann, während die Öffnung 105a am Rand einen Graben repräsentieren kann.
  • 1b zeigt ferner eine Querschnittsansicht des Halbleiterbauelements 100 an der Grenze eines Chipgebiets, wobei ein Metallgraben zu bilden ist, der ein inneres Chipgebiet von einem sogenannten offenen Bereich trennt. Somit repräsentiert eine Öffnung 105b einen Graben, der zu einer unteren Metallleitung 103a ausgerichtet ist.
  • Es sollte beachtet werden, dass der anisotrope Ätzprozess so ausgeführt wird, dass die Öffnungen 105a und 105b, die eine Kontaktdurchführungsöffnung bzw. einen Graben repräsentieren, gleichzeitig gebildet werden. Während dieses anisotropen Prozesses kann die Anfangsphase zum Ätzen durch die Schicht 106 eine andere Ätzchemie im Vergleich zum Hauptätzvorgang zum Abtragen des dielektrischen Materials mit kleinem ε der Schicht 105 auf Grund von Unterschieden in der Materialzusammensetzung, der Dichte und dergleichen erfordern. Typischerweise wird eine Ätzchemie auf der Grundlage von Kohlenstoff und Fluor während des Hauptätzschrittes angewendet, wobei typischerweise fluorenthaltende Polymere erzeugt werden, die sich an den Wänden einer Ätzkammer, auf dem Substrat und dergleichen niederschlagen können. Wenn ferner die Ätzfront die Ätzstoppschicht 104 erreicht, wird Material von dieser abgetragen, obwohl dies mit einer deutlich geringeren Abtragsrate im Vergleich zu dem Material der Schicht 105 stattfindet. Jedoch erfordern die unterschiedlichen kinetischen Bedingungen innerhalb der Kontaktdurchführungsöffnung 105a und der Grabenöffnung 105b sowie ein gewisses Maß an Ungleichförmigkeit über das gesamte Substrat 101 hinweg oder eine Ungleichförmigkeit zwischen einzelnen Substraten eine äußerst hohe Ätzselektivität zwischen der Ätzstoppschicht 104 und der dielektrischen Schicht mit kleinem ε 105, um zuverlässig den Ätzprozess zu stoppen, um nicht einige der Metallleitungen 103 und 103a der Ätzatmosphäre auszusetzen, und um nicht eine zu große Dicke der Ätzstoppschicht 104 erforderlich zu machen, die ansonsten die Gesamtpermittivität des Schichtstapels vergrößern könnte. Folglich ist es sehr schwierig, eine verbleibende Dicke 104a der Schicht 104 in der Öffnung 105a und die Dicke 104b der Schicht 104 in der Öffnung 105b während des anisotropen Ätzprozesses fein einzustellen, wodurch zu unerwünschten Prozessschwankungen in einem nachfolgenden Prozess zum Ätzen durch die verbleibende Ätzstoppschicht 104 mit der reduzierten Dicke 104a und 104b beigetragen wird. Anschließend wird die Lackmaske 107 mittels eines Sauerstoffplasmas entfernt.
  • 1c zeigt schematisch das Bauelement 100 in einem weiter fortgeschrittenen Herstellungsstadium an einer Stelle auf dem Substrat 101, die sich auf die Kontaktdurchführungsöffnung 105a und die Grabenöffnung 105b bezieht. Das Bauelement 100 umfasst nunmehr eine Lackmaske 109 mit einem darin gebildeten Graben 109a über der Öffnung 105a mit Abmessungen, die den Entwurfsabmessungen eines um die Kontaktdurchführungsöffnung 105a herum zu bildenden Grabens entsprechen. Die Lackmaske 109 umfasst ferner einen Graben 109b, der über der Grabenöffnung 105b mit deren entsprechenden Abmessungen ausgebildet ist. Ferner ist ein Füllmaterial 108 unter der Lackmaske 109 ausgebildet, wobei das Füllmaterial 108 auch in den Öffnungen 105a und 105b vorgesehen ist. Das Füllmaterial kann aus Photolackmaterial einer unterschiedlichen Art im Vergleich zur Lackmaske 109 aufgebaut sein, oder das Füllmaterial 109 kann ein anderes Polymermaterial repräsentieren, das in einem Zustand mit geringer Viskosität aufgebracht wird, um die Öffnungen 105a und 105b zu füllen, wobei gleichzeitig eine im Wesentlichen planare Oberfläche bereitgestellt wird. Das Füllmaterial 108 kann auch als eine ARC-Schicht während der Strukturierung der Lackmaske 109 dienen.
  • Die Lackmaske 109 kann gebildet werden, indem zunächst das Füllmaterial 108 durch beispielsweise Aufschleudern eines Lackes oder eines Polymermaterials aufgebracht wird, und anschließend wird ein Photolack durch Aufschleudern aufgebracht und es wird ein gut etablierter Photolithographieprozess ausgeführt und das Füllmaterial 108 wird auf der Grundlage der Lackmaske 109 geätzt. Danach wird das Bauelement 100 in eine Ätzatmosphäre 110 auf der Grundlage von Kohlenstoff und Fluor eingebracht, um durch die Schicht 106 zu ätzen und um einen Teil der Schicht 105 zu entfernen, um damit einen Graben um die Kontaktdurchführungsöffnung 105a herum zu bilden, wobei die Lackmaske 109 und das Füllmaterial 108 an der Grabenöffnung 105b einen wesentlichen Materialabtrag verhindern. Ferner schützt das Füllmaterial 108 innerhalb der Öffnungen 105a und 105b die verbleibende Ätzstoppschicht 104, obwohl das Material während des Ätzprozesses 110 teilweise abgetragen wird, so dass die Metallleitungen 103 und 103a nicht der Ätzatmosphäre 110 ausgesetzt sind. Nachdem ein Graben mit spezifizierter Tiefe um die Kontaktdurchführungsöffnung 105a herum gebildet ist, werden die Lackmaske 109 und das Füllmaterial 108 durch beispielsweise eine Plasmabehandlung auf Sauerstoffbasis entfernt.
  • 1d zeigt schematisch das Bauelement nach der obigen Prozesssequenz, wobei ein Graben 111 in der Schicht 106 und in der dielektrischen Schicht mit kleinem ε 105 um die Kontaktdurchführungsöffnung 105a herum ausgebildet ist. Ferner unterliegt das Bauelement einem weiteren Ätzprozess 112, um die verbleibende Ätzstoppschicht 104 mit der reduzierten Dicke 104a und 104b (siehe 1b) zu entfernen. Während des Ätzprozesses 112, wobei ein Ätzrezept auf der Basis von Kohlenstoff und Fluor erforderlich ist, werden obere Bereiche 111a der Gräben 111 und 105b ständig der Ätzatmosphäre 112 ausgesetzt, wodurch ein gewisses Maß an Kantenverrundung erzeugt wird, was in vielen Anwendungen unerwünscht ist auf Grund des Risikos einer „Brückenbildung” zwischen dicht angeordneten Gräben 111, wenn diese mit Metall gefüllt werden. Wie zuvor erläutert ist, besitzt die verbleibende Ätzstoppschicht 104 die reduzierten Dicken 104a und 104b, die sich aus dem Ätzprozess ergeben, der zur Bildung der Kontaktdurchführungsöffnung 105a und der Grabenöffnung 105b ausgeführt wird. Hierbei ist die Steuerbarkeit des Ätzprozesses relativ gering auf Grund der unterschiedlichen kinematischen Bedingungen in dem Graben 105b und der Öffnung 105a, wegen Ungleichförmigkeiten über das Substrat hinweg, und dergleichen. Somit müssen die reduzierten Dicken 104a und 104b ggf. ausreichend groß gewählt werden, um zuverlässig ein Ätzen durch die Ätzstoppschicht 104 zu verhindern, wodurch die darunter liegenden Metallleitungen 103 und 103a geschädigt werden könnten. Durch die moderat großen und nicht sehr gut steuerbaren Dicken 104a und 104b ist es erforderlich, dass der Ätzprozess 112 ausreichend lange durchgeführt wird, um zuverlässig die Metallleitungen 103 und 103a freizulegen, wodurch eine unerwünschte Kantenverrundung an den oberen Grabenbereichen 111a hervorgerufen wird.
  • Die Druckschrift US 2004/01270016 A1 beschreibt ein Damaszener-Verfahren zur Herstellung von Kupferleitern, die auf der Oberseite einer dielektrischen Materials mit kleinem ε ausgebildet ist, wobei die Deckschicht Siliziumkarbid und Siliziumnitrid aufweist. Im Hauptätzschritt wird zunächst eine Schicht des dielektrischen Materials mit kleinem ε beibehalten, so dass die darunter liegende Ätzstoppschicht nicht freigelegt wird. Die Lackmaske wird später durch konventionelle Verfahren abgetragen.
  • Die Schrift US 6 617 232 B2 betrifft ein Verfahren zur Herstellung einer elektrischen Verdrahtung unter Anwendung eines dualen Damaszener-Prozesses, wobei eine Ätzstoppschicht mittels einer Polymerschicht geschützt, die zunehmend während des Entfernens der Ätzmaske abgetragen wird, ohne dass dabei die Ätzstoppschicht abgetragen wird.
  • Die Druckschrift US 6 812 145 B2 betrifft ein Verfahren zur Verringerung der Schäden beim Ätzen einer Damaszener-Struktur, ohne dass auf die Problematik der Öffnung der dabei beteiligten Ätzstoppschicht eingegangen wird.
  • Die Druckschrift US 6 734 110 B1 beschreibt ein Ätzverfahren zur Strukturierung einer Damaszener-Struktur unter Verwendung einer Verbundätzstoppschicht, deren erste Teilschicht beim Hauptätzschritt abgetragen wird.
  • Die Schrift US 2004/0067635 A1 beschreibt ein Verfahren zur Herstellung eines Kontaktpfropfens auf einer Silizidstruktur, wobei die Lackmaske nach erfolgter Öffnung der Kontaktätzstoppschicht abgetragen wird.
  • Angesichts der zuvor beschriebenen Situation besteht ein Bedarf für eine verbesserte Technik, die es ermöglicht ein oder mehrere der zuvor erkannten Probleme zu lösen oder zumindest deren Wirkungen zu verringern.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • Im Allgemeinen richtet sich die vorliegende Erfindung an eine Technik, die in einigen anschaulichen Ausführungsformen es ermöglicht, Kontaktdurchführungen und Gräben in einer dielektrischen Schicht mit kleinem ε mit erhöhter Steuerbarkeit der beteiligten Ätzprozesse herzustellen, wodurch die Möglichkeit geschaffen wird, eine Kantenverrundung von oberen Bereichen von Metallgräben, die in Dielektrika mit kleinem ε gebildet sind, zu reduzieren.
  • Diese wird erfindungsgemäß durch ein Verfahren gemäß dem Anspruch 1 geleistet.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird; es zeigen:
  • 1a bis 1d schematisch ein Halbleiterbauelement während diverser Herstellungsphasen bei der Herstellung von Gräben und Kontaktdurchführungen in einer dielektrischen Schicht mit kleinem ε gemäß einem typischen konventionellen Prozessablauf; und
  • 2a bis 2d schematisch ein Halbleiterbauelement während diverser Herstellungsphasen bei der Ausbildung von Gräben und Kontaktdurchführungen in einer dielektrischen Schicht mit kleinem ε, wobei ein Ätzschritt für Kontaktdurchführungen im Wesentlichen von dem Einstellen einer verbleibenden Dicke einer Ätzstoppschicht gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung entkoppelt ist.
  • DETAILLILERTE BESCHREIBUNG
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben wird, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte es selbstverständlich sein, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Erfindung auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Im Allgemeinen basiert die vorliegende Erfindung auf der Erkenntnis der Erfinder, dass die Prozessflexibilität und Prozesstoleranzen bei der Herstellung von Kontaktdurchführungen und Gräben in Metallisierungsschichten mit kleinem ε verbessert werden können, indem entsprechende Ätzschritte zur Herstellung einer Kontaktdurchführungsöffnung und/oder von Grabenöffnungen in einem Dielektrikum mit kleinem ε bis hinunter zu einer Ätzstoppschicht im Wesentlichen unabhängig voneinander ausgeführt werden, anstatt zu versuchen, die Selektivität zwischen dem Material der Ätzstoppschicht und dem dielektrischen Material mit kleinem ε weiter zu erhöhen. Zu diesem Zweck wird der Schritt zum Lackentfernen, der nach dem Hauptätzschritt durch das Dielektrikum mit kleinem ε ausgeführt wird, geeignet so gestaltet, um damit ebenso Material der Ätzstoppschicht in äußerst steuerbarer Weise abzutragen, wodurch das Entfernen eines größeren Anteils der Ätzstoppschicht im Vergleich zu konventionellen Lösungen möglich ist, ohne eine übermäßige Schädigung des darunter liegenden Materials zu riskieren. Der äußerst steuerbare Abtrag von Material der Ätzstoppschicht kann bewerkstelligt werden, indem die Menge an Fluor in einer Plasmaatmosphäre auf Sauerstoffbasis gesteuert wird, die auch zum Entfernen der Lackmaske verwendet wird. Im Gegensatz zu der äußerst reaktiven Ätzchemie während des Hauptätzschrittes durch das Dielektrikum mit kleinem ε weist die fluorenthaltende Plasmaatmosphäre, die zur Entfernung des Lacks verwendet wird, eine geringere Ätzrate für die Ätzstoppschicht und damit eine deutlich höhere Steuerbarkeit im Vergleich zu der konventionellen Technik auf, in der ein weiteres Ätzen, nachdem im Wesentlichen das Dielektrikum mit kleinem ε entfernt ist, zu einer Schädigung des darunter liegenden Materials führen kann, d. h. zu einem unbeabsichtigt lokalen Ätzen durch die Ätzstoppschicht auf Grund des hohen Grades an Ungleichförmigkeiten, d. h. unterschiedliche Ausbreitungsgeschwindigkeiten der Ätzfront, die während des vorhergehenden Ätzens durch das dicke Dielektrikum mit kleinem ε erzeugt werden. Auf Grund der reduzierten Ätzrate und der moderat geringen Fluorkonzentration sind die kinematischen Unterschiede beim Ätzen tiefer Gräben und von Kontaktlöchern mit großem Aspektverhältnis weniger ausgeprägt, wodurch ebenso zu einer verbesserten Gesamtsteuerbarkeit des Ätzprozesses beigetragen wird. Somit kann die verbleibende Dicke der Ätzstoppschicht, die in einem abschließenden Ätzprozess zu öffnen ist, im Wesentlichen unabhängig von Prozesseigenheiten des Hauptätzschrittes festgelegt werden, um damit zu ermöglichen, dass die Kantenverrundung während des abschließenden Ätzschrittes reduziert wird.
  • Mit Bezug zu den 2a bis 2d werden weitere anschauliche Ausführungsformen der vorliegenden Erfindung nunmehr detaillierter beschrieben.
  • 2a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 200 während einer Fertigungsphase ähnlich zu jener des Bauteils 100 aus 1b. Somit umfasst das Bauelement 200 ein Substrat 201, das in Form eines Siliziumvollsubstrats, eines SOI-(Silizium auf Isolator)Substrats und dergleichen vorgesehen werden kann, wobei das Substrat 201 eine Bauteilschicht repräsentieren kann, die darauf ausgebildet einzelne Schaltungselemente, etwa Transistoren, Kondensatoren, Leitungen, Kontaktbereiche und dergleichen aufweisen kann. Der Einfachheit halber sind derartige Schaltungselemente in 2a nicht gezeigt. Das Bauelement 200 umfasst ferner eine dielektrische Schicht 202, die über dem Substrat 201 ausgebildet ist, wobei die Schicht 202 ein dielektrisches Material repräsentieren kann, das die einzelnen Schaltungselemente umschließt, oder die Schicht 202 kann einen Teil einer darunter liegenden Metallisierungsschicht repräsentieren, in der metallgefüllte Kontaktdurchführungen (nicht gezeigt) eingebettet sein können. Abhängig von der speziellen Gestaltung des Bauelements 200 oder der Funktion der Schicht 202 kann diese aus einem konventionellen dielektrischen Material, etwa Siliziumdioxid, Siliziumnitrid aufgebaut sein, oder diese kann ein dielektrisches Material mit kleinem ε aufweisen, etwa beispielsweise wasserstoffangereichertes Siliziumoxykarbid (SiCOH), poröses Siliziumdioxid, das als SILK bekannt ist, oder Polymermaterialien mit kleinem ε, etwa HSQ, MSQ und dergleichen. In dieser Beschreibung sowie in den Ansprüchen ist ein dielektrisches Material mit kleinem ε als ein dielektrisches Material zu verstehen, das eine relative Permittivität von 3,0 oder weniger aufweist.
  • Eine Leitung 203 ist über dem Substrat 201 und zumindest teilweise innerhalb der Schicht 202 ausgebildet. Die Leitung kann aus einer Reihe leitender Materialien, etwa beispielsweise einem kupferenthaltenden Metall mit leitenden Barrierenschichten (nicht gezeigt) aufgebaut sein, um damit die Haftung der Leitung 203 an dem umgebenden Material zu verbessern und um die Diffusion von Kupfer in empfindliche Bauteilgebiete zu reduzieren. Eine Ätzstoppschicht 204 ist auf der dielektrischen Schicht 202 und der Leitung 203 gebildet, wobei die Ätzstoppschicht 204 aus einem Material aufgebaut sein kann, das eine hohe Ätzselektivität in Bezug auf das Material einer dielektrischen Schicht mit kleinem ε 205 aufweist, die auf der Ätzstoppschicht 204 gebildet ist. Des weiteren dient die Ätzstoppschicht 204 typischerweise als eine Diffusionsbarriere zwischen der Leitung 203 und benachbarten Materialien, um das Herausdiffundieren von Metall, etwa von Kupfer, und das Diffundieren von dielektrischem Material in die Metallleitung 203 zu reduzieren. In einigen Ausführungsformen kann Siliziumnitrid als Material für die Ätzstoppschicht 204 verwendet werden, wenn die moderat hohe Permittivität als für das Bauelement 200 geeignet erachtet wird, wohingegen in anderen Ausführungsformen Siliziumkarbid oder stickstoffangereichertes Siliziumkarbid in geschwindigkeitskritischen Anwendungen verwendet werden kann, in denen eine geringe Gesamtpermittivität der Schichten 205, 204 und 202 erforderlich ist. Auf der dielektrischen Schicht mit kleinem ε 205, die in einer anschaulichen Ausführungsform aus SiCOH aufgebaut ist, während in anderen Ausführungsformen SILK, HSQ, MSQ und dergleichen eingesetzt werden, ist eine ARC-Schicht oder Deckschicht 206 gebildet, die aus zwei oder mehreren Teilschichten aufgebaut sein kann, um damit die gewünschten Eigenschaften im Hinblick auf das optische Verhalten, die mechanische Festigkeit und Maskierungseigenschaften zu erreichen. Beispielsweise kann die Deckschicht 206 eine Siliziumdioxidschicht aufweisen, die auf der dielektrischen Schicht mit kleinem ε 205 gebildet ist und dazu dient, dieser eine erhöhte mechanische Festigkeit zu verleihen, woran sich eine Siliziumoxynitridschicht zur Anpassung des optischen Verhaltens und eine dünne Siliziumdioxidschicht anschließt, die als eine Stickstoffbarriere für eine Lackmaske 207 dient, die auf der Deckschicht 206 gebildet ist. In anderen Ausführungsformen kann die Deckschicht 206 auf der Grundlage von Siliziumdioxid und Kohlenstoff so gestaltet sein, dass die gewünschten optischen und mechanischen Eigenschaften erreicht werden, ohne dass Stickstoff in der Schicht 206 und/oder während der Herstellung der Schicht 206 verwendet wird, wodurch das Risiko der Lackvergiftung reduziert wird.
  • Eine Kontaktdurchführungsöffnung 205a ist in der Deckschicht 206 und der dielektrischen Schicht mit kleinem ε 205 ausgebildet, wobei sich die Kontaktdurchführungsöffnung 205a bis hinab und geringfügig in die Ätzstoppschicht 204 hineinerstreckt, woraus sich eine Dicke 204a ergibt. Es sollte beachtet werden, dass die Dicke 204a größer ist als die Dicke 104a des konventionellen Bauelements 100 (siehe 1b), da ein Ätzprozess zum Ätzen durch die dielektrische Schicht mit kleinem ε 205 gestoppt werden kann, wenn die Ätzstoppschicht zuverlässig über das gesamte Substrat 201 hinweg erreicht ist, wie dies nachfolgend beschrieben ist, da kein weiteres Ätzen für einen weiteren Materialabtrag der Ätzstoppschicht 204 erforderlich ist, anders als dies in der konventionellen Technik der Fall ist.
  • Ein typischer Prozessablauf zur Herstellung des Bauelements 200, wie es in 2a gezeigt ist, kann im Wesentlichen die gleichen Prozesse aufweisen, wie sie zuvor mit Bezug zu dem Bauelement 100 in den 1a und 1b beschrieben sind. Insbesondere ein anisotroper Ätzprozess 213 zum Ätzen durch die dielektrische Schicht mit kleinem ε 205 wird auf der Grundlage gut bekannter Rezepte mit Fluor und Kohlenstoff oder Fluor-, Kohlenstoff- und Wasserstoffverbindungen ausgeführt, wobei im Gegensatz zu dem konventionellen Vorgehen der Ätzprozess 213 beim Erreichen der Ätzstoppschicht 204 oder nach der Entfernung lediglich eines geringen Teils davon angehalten wird. Ein entsprechendes gesteuertes Ende des Ätzprozesses 213 kann auf der Grundlage einer Endpunkterfassung erreicht werden, wobei spezielle flüchtige Komponenten in der Ätzatmosphäre optisch detektiert werden, wenn das Material der Ätzstoppschicht 204 entfernt wird. Es sollte beachtet werden, dass das Freilegen der Ätzstoppschicht 204 in allen Kontaktdurchführungsöffnungen 205a über das Substrat 201 hinweg, oder in tiefen Gräben in einem Chipgrenzgebiet (nicht gezeigt), etwa die Grabenöffnung 105b in 1b, weniger kritisch ist, da ein weiterer Ätzschritt danach ausgeführt wird, der so gestaltet ist, dass sowohl die Lackmaske 207 als auch weiteres Material der Ätzstoppschicht 204 abgetragen wird. Während dieses Ätzschrittes können auch Reste der Schicht 205, die noch nicht geätzt worden sind, zuverlässig in dem nachfolgenden Prozess zum Entfernen der Lackmaske 207 und zum Verringern der Ätzstoppschicht 204 abgetragen werden. Somit kann im Gegensatz zu konventionellen Prozessen der Ätzprozess 213 auf der Grundlage von Prozesserfordernissen des Prozesses 213 selbst eingestellt werden, ohne dass übermäßige Überätzzeiten als Kompromiss zwischen einer zuverlässigen Materialabtragung der Schicht 205, der Ätzstoppschichtverringerung und der Vermeidung von Schäden der darunter liegenden Metallleitung 203 erforderlich sind.
  • Wie zuvor erläutert ist, können während des Ätzprozesses 213 die flüchtigen Reaktionsprodukte fluorenthaltende Polymere bilden, die sich auf Prozesskammeroberflächen und der Rückseite des Substrats 201 abscheiden können, wobei eine Abscheidung des Polymermaterials auf der Lackmaske 207 im Wesentlichen durch den ständigen Teilchenbeschuss des Ätzprozesses 213 vermieden wird.
  • 2b zeigt schematisch das Bauelement 200 in einer weiteren Phase nach der Beendigung des Ätzprozesses 213. In einer speziellen Ausführungsformen wird das Substrat 201 in einer Ätzkammer 214 gehalten, die zuvor für den Ätzprozess 213 verwendet wurde. Somit können exponierte Kammeroberflächen 215 ein darauf ausgebildetes fluorenthaltendes Polymermaterial 216 aufweisen. Eine Plasmaumgebung 210 ist in der Kammer 214 ausgebildet, wobei die Plasmaumgebung Sauerstoff aufweist, der typischerweise für die Veraschung des Lacks verwendet wird, dessen flüchtige Reaktionsprodukte dann entfernt werden. Während des Ätzprozesses 210 wird auch das Polymermaterial 216 angegriffen und gelöst, wodurch Fluor freigesetzt wird, das in die Plasmaumgebung eintritt, um eine Ätzchemie zu erzeugen, die auch das Abtragen des Materials der Ätzstoppschicht 204 ermöglicht. Die Fluor-„erzeugungs”-Rate kann durch Prozessparameter zum Steuern der Plasmaumgebung 210 gesteuert werden. D. h. die Menge des der Kammer 214 zugeführten Sauerstoffs und/oder die Anregungsenergie zum Erzeugen eines Plasmas und/oder der Druck und/oder die Substrattemperatur und/oder die Vorspannungsleistung können so gesteuert werden, dass eine gewünschte Fluorerzeugungsrate und damit eine gewünschte Abtragsrate für die Ätzstoppschicht 204 erreicht wird. In einigen Ausführungsformen kann ein konventionelles Rezept für die Lackentfernung verwendet werden, wobei, anders als in dem konventionellen Prozess, die Plasmaumgebung 210 nach dem Entfernen der Lackmaske 207 aufrecht erhalten wird, bis eine gewünschte Menge an Material abgetragen ist, um die reduzierte Dicke 204r entsprechend einem Sollwert zu erreichen. Hierbei kann eine geeignete „Überätzungs”-Zeit auf der Grundlage von Testläufen abgeschätzt werden, in denen beispielsweise die Abtragsrate der Ätzstoppschicht für ein spezifiziertes Ätzrezept für den Prozess 210 im Voraus bestimmt wird. In diesem Falle kann in einigen Ausführungsformen die Teststruktur ähnliche oder identische Kontaktdurchführungsöffnungen und/oder Grabenöffnungen aufweisen, so dass musterabhängige Einflüsse berücksichtigt werden. In anderen Ausführungsformen kann zumindest einer der zuvor spezifizierten Prozessparameter so gesteuert werden, dass die Dauer des Abtragens der Lackmaske 207 vergleichbar ist zu der Dauer des Entfernens eines gewünschten Anteils der Ätzstoppschicht 204. Beispielsweise kann das Plasma der Umgebung 210 so eingestellt werden, dass ein ausreichender Ionenbeschuss zum Freisetzen einer moderat hohen Menge an Fluor erzeugt wird. Auf diese Weise kann die Abtragsrate für die Ätzstoppschicht 204 so erhöht werden, dass keine verlängerte Ätzzeit nach dem vollständigen Entfernen der Lackmaske erforderlich ist, wodurch die Zeitdauer der Einwirkung der fluorenthaltenden Ätzchemie auf die Schicht 206 reduziert wird, wenn die Lackmaske vollständig entfernt ist. Folglich kann eine Kantenverrundung an oberen Bereichen eines tiefen Grabens, etwa dem Graben 105b gering gehalten werden. Geeignete Prozessparameter können auch auf der Grundlage geeigneter Teststrukturen ermittelt werden, die unter variierten Bedingungen für den Ätzprozess 210 prozessiert werden. In einer Ausführungsform kann eine typische kommerziell verfügbare Plasmaätzanlage verwendet werden, wobei die folgenden Parameter verwendet werden können, um die reduzierte Dicke 204r in einem Bereich von ungefähr 5 bis 20 nm mit einer Gesamtprozesszeit des Prozesses 210 zum Entfernen des Lacks und des erforderlichen Bereichs der Ätzstoppschicht 204 zu erreichen:
    RF-Leistung: ungefähr 150 bis 500 Watt;
    Vorspannungsleistung: ungefähr 50 bis 200 Watt;
    Sauerstoffdurchflussrate: ungefähr 200 bis 800 sccm;
    Druck: ungefähr 10 Millitorr bis 100 Millitorr;
    Substrattemperatur: ungefähr 0°C bis 100°C.
  • Es sollte beachtet werden, dass die obigen Parameter deutlich von den Gegebenheiten der verwendeten Ätzanlage abhängen. Beispielsweise können spezielle „Abscheide-Oberflächen” in der Kammer 214 vorgesehen werden, um ein gewisses Maß an Steuerung des Abscheidens von fluorenthaltenden Polymeren und des Freisetzens des Fluors während des Prozesses 210 zu erreichen. Dazu können gekühlte Oberflächenbereiche an geeigneten Positionen angeordnet werden, um damit eine Polymerabscheidung darauf zu fördern, während gleichzeitig eine lokal erhöhte Fluorkonzentration in der Nähe des Substrats 201 erreicht wird. Geeignete Parameter für den Ätzprozess für eine andere Plasmaätzanlage und andere Kammerkonfigurationen können jedoch auf der Grundlage der oben spezifizierten Parameterbereiche ermittelt werden, und/oder entsprechende Testdurchläufe können ausgeführt werden, um zumindest einen Ätzparameter mit einer schließlich gewünschten reduzierten Dicke 204r in Beziehung zu setzen. Durch das Entfernen des wesentlichen Anteil, beispielsweise ungefähr 50 bis 90% an Material der Ätzstoppschicht während des Ätzprozesses 210 anstatt während des Hauptätzschrittes durch die dielektrische Schicht 205 ist das Einstellen der reduzierten Dicke 204r im Wesentlichen von dem Hauptätzprozess „entkoppelt”, so dass bewährte Rezepte angewendet werden können, ohne dass ständig Versuche erforderlich sind, um eine noch bessere Ätzselektivität zu erhalten, um damit die Gesamtsteuerbarkeit zu verbessern. Ferner kann der Hauptätzprozess zur Herstellung der Kontaktdurchführungsöffnung 205a und von Gräben an der Chipgrenze an Prozess- und Bauteilerfordernisse angepasst werden, etwa die Verwendung unterschiedlicher Materialzusammensetzungen der Schicht 205, ohne dass der Prozess 210 wesentlich beeinflusst wird.
  • 2c zeigt schematisch das Bauelement 200 während des Ätzprozesses 210 gemäß weiterer anschaulicher Ausführungsformen. Das Bauelement 200 kann in der Ätzkammer 214 positioniert werden, wobei die Ätzkammer 214 im Wesentlichen kein auf exponierten Oberflächen abgeschiedenes Polymermaterial 216 aufweist. Beispielsweise kann der Hauptätzprozess zur Herstellung der Kontaktdurchführungsöffnung 205a in einer separaten Kammer ausgeführt worden sein, oder die Kammer 214 kann vor dem Prozess 210 gereinigt werden, um damit gut definierte Prozessbedingungen in Bezug auf den Fluorgehalt während des Ätzprozesses 210 bereitzustellen. In anderen anschaulichen Ausführungsformen kann die Prozesskammer 214 in einem ähnlichen Zustand sein, wie es in 2c gezeigt ist, d. h., die fluorenthaltende Polymerschicht 216 kann auf exponierten Oberflächen ausgebildet sein. Ferner umfasst die Kammer 214 eine Gaszufuhr 217, die ausgebildet ist, eine gesteuerte Zufuhr von fluorenthaltenden Gasen, etwa CF4, CHF3, CHF2, F2, und dergleichen zu ermöglichen. Folglich kann die sauerstoffenthaltende Plasmaumgebung, die zum Entfernen der Lackmaske 207 erforderlich ist, eine präzise gesteuerte Menge an Fluor mittels der Zufuhr 217 empfangen, wobei eine höhere Flexibilität hinsichtlich des Ätzprozesses 210 erreicht wird. D. h., durch alternatives oder zusätzliches Zuführen eines fluorenthaltenden Gases mittels der Zufuhr 217 kann der Prozess 210 noch effizienter im Vergleich zu den Ausführungsformen, wie sie mit Bezug zu 2b beschrieben sind, gesteuert werden, da das Ätzverhalten im Hinblick auf die Ätzstoppschicht 204 zu einem gewissen Grade von dem Ätzverhalten für die Lackmaske 207 entkoppelt werden kann. Beispielsweise kann die Fluormenge erhöht werden im Vergleich zu dem Falle, wenn das Fluor lediglich durch das Sauerstoffplasma erzeugt wird, und/oder das Gas kann in einer zeitabhängigen Weise zugeführt werden. Wenn beispielsweise der Lackabtragsprozess gemäß gut bekannter Parameter ausgeführt wird, die eine spezifizierte Zeitdauer erfordern, wohingegen ein höherer Materialabtrag für das Material der Ätzstoppschicht 204 für eine spezifizierte gut steuerbare Durchflussrate ermittelt wurde, kann das fluorenthaltende Gas nach einer Anfangsphase des Ätzprozesses 210 so zugeführt werden, dass die reduzierte Dicke 204r innerhalb einer gewünschten Zeitdauer erreicht wird, beispielsweise ungefähr zu der Zeit, wenn die Lackmaske im Wesentlichen vollständig entfernt ist. In anderen Fällen kann die Dickenreduzierung der Ätzstoppschicht während einer ersten Periode des Prozesses 210 durchgeführt werden, und danach kann die Zufuhr an fluorenthaltendem Gas abgestellt werden, während die Lackentfernung noch weitergeht. In anderen Ausführungsformen können andere Steuerungsstrategien verwendet werden, etwa das Bereitstellen des fluorenthaltenden Gases nach einer Anfangsphase und das Beenden der Zufuhr vor einer abschließenden Phase des Prozesses 210, wiederholtes Zuführen des fluorenthaltendes Gases, um eine gewünschte gemittelte Konzentration zu erreichen, und dergleichen. In jeder der obigen Prozeduren wird zumindest ein Prozessparameter gesteuert, um die reduzierte Dicke entsprechend einem vordefinierten Sollwert zu erhalten. Hinsichtlich des Ermittelns eines geeigneten Wertes für den mindestens einen Prozessparameter gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu 2b erläutert sind. Beispielsweise kann die Abtragsrate für die Ätzstoppschicht 204 auf der Grundlage eines oder mehrerer vorbestimmter Lackabtragsrezepte experimentell für diverse Durchflussraten und Prozesszeiten für das fluorenthaltende Gas bestimmt werden. Aus diesen Ergebnissen kann eine geeignete Durchflussrate und eine entsprechende Dauer für die Zufuhr des fluorenthaltenden Gases festgelegt werden. In diesen Messungen können auch die kammerspezifischen und musterspezifischen Eigenschaften berücksichtigt werden, indem ähnliche Teststrukturen verwendet und eine Kammer eingesetzt wird, die im Wesentlichen die gleiche Prozess-„Geschichte” wie die Kammer 214 in dem tatsächlichen Ätzprozess 210 erfahren hat. In einem typischen Beispiel wird mit einer Durchflussrate von ungefähr 10 bis 50 sccm an CF4 für die oben genannte Ätzanlage mit ähnlichen Prozessparametern, wie sie zuvor gegeben sind, die Ätzstoppschicht 204, die aus Siliziumkarbid mit einer Anfangsdicke von ungefähr 50 nm aufgebaut ist, um ungefähr 5 bis 20 nm in ungefähr 5 bis 15 Sekunden an Gaszufuhr reduziert.
  • Es sollte beachtet werden, dass andere Parameterwerte bei Bedarf auf der Grundlage der obigen Parameter und der Erkenntnis, dass der Ätzprozess 210 so gestaltet werden kann, um die Lackmaske 207 zu entfernen und auch die gewünschte Dicke 204r zu erreichen, ermittelt werden können, wobei zusätzliche oder alternative Zufuhr eines fluorenthaltenden Gases ebenso eine effiziente Entkopplung der Lackentfernung und der Dickenreduzierung ermöglicht.
  • 2d zeigt schematisch das Bauelement 200 in einem weiter fortgeschrittenen Herstellungsstadium. Ein Graben 211 ist um die Kontaktdurchführungsöffnung 205a herum ausgebildet, wobei obere Bereiche 211a des Grabens 211 eine deutlich reduzierte Kantenverrundung im Vergleich zu dem konventionell hergestellten Bauelement 100 aus 1d aufweisen können. Der Prozess zur Herstellung des Grabens 211 kann in ähnlicher Weise ausgeführt werden, wie dies auch mit Bezug zu 1d beschrieben ist. Im Gegensatz zu dem konventionellen Prozess wird jedoch das Abtragen der restlichen Ätzstoppschicht 204 mit der reduzierten Dicke 204r während eines Ätzprozesses 212 ausgeführt, was zu einer deutlich reduzierten Einwirkung der Ätzumgebung auf die Schicht 206 führt auf Grund der effizient gesteuerten reduzierten Dicke 204r, die deutlich kleiner sein kann als in dem konventionellen Falle.
  • Es gilt also: die vorliegende Erfindung stellt eine Technik bereit, die eine verbesserte Prozessflexibilität und günstigere Prozesstoleranzen bei der Herstellung von Kontaktdurchführungsöffnungen und Gräben in einer dielektrischen Schicht mit kleinem ε ermöglicht, indem der Hauptätzprozess durch das Dielektrikum mit kleinem ε von einem Prozess zum Anpassen der Dicke der Ätzstoppschicht für nachfolgende Prozesse im Wesentlichen entkoppelt wird. Dazu wird der Lackabtragsprozess, der nach dem Ätzen durch das Dielektrikum mit kleinem ε ausgeführt wird, so gestaltet, um damit auch im Wesentlichen die erforderliche Materialmenge der Ätzstoppschicht zu entfernen, wodurch die Steuerbarkeit verbessert und damit das Abtragen eines größeren Bereichs der Ätzstoppschicht, d. h. ungefähr 30 bis 90%, im Vergleich zu konventionellen Prozessen möglich ist. Hierbei kann Fluor, das an Kammerwänden abgeschiedenen Polymeren enthalten ist, und/oder das extern zugeführte fluorenthaltende Gas verwendet werden, um eine Ätzchemie zum Reduzieren der Dicke der Ätzstoppschicht zu schaffen. Wenn ein externes fluorenthaltendes Gas zugeführt wird, kann ein hohes Maß an Entkopplung zwischen dem Lackabtragsprozess und dem Abtragen der Ätzstoppschicht erreicht werden, wodurch eine noch bessere Steuerbarkeit des Prozesses erreicht wird.
  • Weitere Modifizierungen und Variationen der vorliegenden Erfindung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der vorliegenden Erfindung zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen der Erfindung als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (11)

  1. Verfahren mit: Bilden einer Lackmaske über einem dielektrischen Schichtstapel mit einer dielektrischen Schicht mit kleinem ε, die auf einer Ätzstoppschicht gebildet ist, wobei der dielektrische Schichtstapel auf einem Substrat gebildet ist; Ätzen einer Öffnung durch das dielektrische Material mit kleinem ε in die Ätzstoppschicht hinein auf der Grundlage einer ersten Plasmaumgebung einer ersten Ätzchemie, die selektiv in Bezug auf die dielektrische Schicht mit kleinem ε und die Ätzstoppschicht ist und Fluor enthält; Entfernen der Lackmaske auf der Grundlage einer zweiten sauerstoffenthaltenden Plasmaumgebung einer zweiten Ätzchemie, die als ein erstes Ätzmittel Sauerstoff aufweist, wobei die zweite Ätzchemie zumindest nach einer Anfangsphase als ein zweites Ätzmittel ein Ätzmittel aufweist, das Material der Ätzstoppschicht abträgt; Beibehalten der zweiten Ätzchemie und Reduzieren einer Dicke der verbliebenen Ätzstoppschicht gemäß einer vordefinierten Solldicke auf 50% bis 90% der Anfangsdicke der Ätzstoppschicht, wobei mindestens ein Prozessparameter beim Beibehalten der zweiten Ätzchemie so gesteuert wird, dass eine Menge des Ätzmittels gesteuert wird, das von Kontaminationsstoffen freigesetzt wird, die an einer Oberfläche einer Prozesskammer abgeschieden sind, die zum Erzeugen der ersten und der zweiten Ätzchemien verwendet wird und wobei die Kontaminationsstoffe fluorenthaltende Polymere umfassen, die beim Ätzen durch die dielektrische Schicht mit kleinem ε erzeugt werden.
  2. Verfahren nach Anspruch 1, das ferner umfasst: vor dem Bilden der Lackmaske, Ermitteln einer Korrelation, die eine Abtragsrate von Material der Ätzstoppschicht, die auf einem zweiten Substrat gebildet ist, mit mindestens einem Prozessparameter in Beziehung setzt, und Steuern des mindestens einen Prozessparameters auf der Grundlage der ermittelten Korrelation, während die zweite Ätzchemie zum Reduzieren einer Dicke der Ätzstoppschicht, die auf dem Substrat ausgebildet ist, beibehalten wird.
  3. Verfahren nach Anspruch 2, wobei der mindestens eine Prozessparameter eine Zeitdauer zum Beibehalten der zweiten Plasmaumgebung umfasst.
  4. Verfahren nach Anspruch 1, das ferner Einführen, zumindest nach der Anfangsphase, eines fluorenthaltendes Gases in die zweite Plasmaumgebung umfasst.
  5. Verfahren nach Anspruch 4, das ferner Steuern mindestens eines Prozessparameters der zweiten Umgebung umfasst, um die Dicke der Ätzstoppschicht zu reduzieren.
  6. Verfahren nach Anspruch 5, das ferner umfasst: vor dem Bilden der Lackmaske, Ermitteln einer Korrelation, die eine Abtragsrate von Material der Ätzstoppschicht, die auf einem zweiten Substrat ausgebildet ist, mit mindestens einem Prozessparameter in Beziehung setzt; und Steuern des mindestens einen Prozessparameters auf der Grundlage der ermittelten Korrelation, während die zweite Ätzchemie zum Reduzieren einer Dicke der Ätzstoppschicht, die auf dem Substrat gebildet ist, beibehalten wird.
  7. Verfahren nach Anspruch 6, wobei der mindestens eine Prozessparameter eine Zeitdauer des Beibehaltens der zweiten Plasmaumgebung umfasst.
  8. Verfahren nach Anspruch 1, wobei die Öffnung eine Kontaktdurchführungsöffnung einer Metallisierungsschicht mit kleinem ε repräsentiert.
  9. Verfahren nach Anspruch 8, das ferner Ätzen eines tiefen Grabens durch die dielektrische Schicht mit kleinem ε in dem gleichen Ätzprozess wie zum Ätzen der Kontaktdurchführungsöffnung umfasst.
  10. Verfahren nach Anspruch 9, das ferner umfasst: Bilden einer zweiten Lackmaske, um einen Teil des dielektrischen Schichtstapels freizulegen, Ätzen eines flachen Grabens unter Verwendung der Lackmaske und Entfernen der Ätzstoppschicht, die die reduzierte Dicke entsprechend dem vordefinierten Sollwert aufweist.
  11. Verfahren nach Anspruch 7, wobei das Einführen des fluorenthaltenden Gases vor dem im Wesentlichen vollständigen Entfernen der Lackmaske beendet wird.
DE102005004409A 2005-01-31 2005-01-31 Technik zur Erhöhung der Prozessflexibilität während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika mit kleinem ε Expired - Fee Related DE102005004409B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102005004409A DE102005004409B4 (de) 2005-01-31 2005-01-31 Technik zur Erhöhung der Prozessflexibilität während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika mit kleinem ε
US11/199,526 US7763547B2 (en) 2005-01-31 2005-08-08 Technique for enhancing process flexibility during the formation of vias and trenches in low-k interlayer dielectrics

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102005004409A DE102005004409B4 (de) 2005-01-31 2005-01-31 Technik zur Erhöhung der Prozessflexibilität während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika mit kleinem ε

Publications (2)

Publication Number Publication Date
DE102005004409A1 DE102005004409A1 (de) 2006-08-10
DE102005004409B4 true DE102005004409B4 (de) 2011-01-20

Family

ID=36709513

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102005004409A Expired - Fee Related DE102005004409B4 (de) 2005-01-31 2005-01-31 Technik zur Erhöhung der Prozessflexibilität während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika mit kleinem ε

Country Status (2)

Country Link
US (1) US7763547B2 (de)
DE (1) DE102005004409B4 (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070202688A1 (en) * 2006-02-24 2007-08-30 Pei-Yu Chou Method for forming contact opening
DE102006030266A1 (de) * 2006-06-30 2008-01-03 Advanced Micro Devices, Inc., Sunnyvale Verringern der Kontamination von Halbleitersubstraten während der Metallisierungsbearbeitung durch Bereitstellen einer Schutzschicht am Substratrand
US7727885B2 (en) * 2006-08-29 2010-06-01 Texas Instruments Incorporated Reduction of punch-thru defects in damascene processing
GB201211922D0 (en) * 2012-07-04 2012-08-15 Spts Technologies Ltd A method of etching
US9048066B2 (en) * 2012-07-03 2015-06-02 Spts Technologies Limited Method of etching

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6617232B2 (en) * 2001-07-12 2003-09-09 Samsung Electronics Co., Ltd. Method of forming wiring using a dual damascene process
US20040067635A1 (en) * 2002-10-07 2004-04-08 Chii-Ming Wu Method of forming contact plug on silicide structure
US6734110B1 (en) * 1999-10-14 2004-05-11 Taiwan Semiconductor Manufacturing Company Damascene method employing composite etch stop layer
US20040127016A1 (en) * 2002-12-30 2004-07-01 Texas Instruments Incorporated Dual cap layer in damascene interconnection processes
US6812145B2 (en) * 2001-12-07 2004-11-02 Shawming Ma Method of reducing plasma charging damage during dielectric etch process for dual damascene interconnect structures

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2978748B2 (ja) * 1995-11-22 1999-11-15 日本電気株式会社 半導体装置の製造方法
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6576547B2 (en) * 1998-03-05 2003-06-10 Micron Technology, Inc. Residue-free contact openings and methods for fabricating same
US6232219B1 (en) * 1998-05-20 2001-05-15 Micron Technology, Inc. Self-limiting method of reducing contamination in a contact opening, method of making contacts and semiconductor devices therewith, and resulting structures
US6083822A (en) * 1999-08-12 2000-07-04 Industrial Technology Research Institute Fabrication process for copper structures
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
JP4858895B2 (ja) * 2000-07-21 2012-01-18 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2002110647A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
US6831018B2 (en) * 2001-08-21 2004-12-14 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6943120B1 (en) * 2002-01-23 2005-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve via or contact hole profile using an in-situ polymer deposition and strip procedure
US6806203B2 (en) * 2002-03-18 2004-10-19 Applied Materials Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
DE10260615B4 (de) * 2002-12-23 2009-01-29 Advanced Micro Devices, Inc., Sunnyvale Technik zum Verringern der Lackvergiftung bei der Herstellung einer Metallisierungsschicht mit einem Dielektrikum mit kleinem ε
JP2004247675A (ja) * 2003-02-17 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
DE10319136B4 (de) * 2003-04-28 2008-06-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallisierungsschicht mit einer mit Stickstoff angereicherten Barrierenschicht mit kleinem ε
US6972258B2 (en) * 2003-08-04 2005-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively controlling damascene CD bias
US20050079703A1 (en) * 2003-10-09 2005-04-14 Applied Materials, Inc. Method for planarizing an interconnect structure
US7053004B2 (en) * 2004-05-14 2006-05-30 Sharp Kabushiki Kaisha Decreasing the residue of a silicon dioxide layer after trench etching
US7172964B2 (en) * 2004-06-21 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of preventing photoresist poisoning of a low-dielectric-constant insulator
DE102004037089A1 (de) * 2004-07-30 2006-03-16 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
DE102004042169B4 (de) * 2004-08-31 2009-08-20 Advanced Micro Devices, Inc., Sunnyvale Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
US7387973B2 (en) * 2004-09-30 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving low-K dielectrics by supercritical fluid treatments
US20060105567A1 (en) * 2004-11-12 2006-05-18 Intel Corporation Method for forming a dual-damascene structure
US7268071B2 (en) * 2005-01-12 2007-09-11 Sony Corporation Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
US7344994B2 (en) * 2005-02-22 2008-03-18 Lexmark International, Inc. Multiple layer etch stop and etching method
US7402523B2 (en) * 2005-03-31 2008-07-22 Tokyo Electron Limited Etching method
DE102005030588B4 (de) * 2005-06-30 2008-10-16 Advanced Micro Devices, Inc., Sunnyvale Technik zum Reduzieren des Ätzschadens während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika
DE102005057076A1 (de) * 2005-11-30 2007-05-31 Advanced Micro Devices, Inc., Sunnyvale Technik zum Verbessern der Haftung von Metallisierungsschichten durch Vorsehen von Platzhalterkontaktdurchführungen
DE102006004430B4 (de) * 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
DE102008006962B4 (de) * 2008-01-31 2013-03-21 Advanced Micro Devices, Inc. Verfahren zur Herstellung von Halbleiterbauelementen mit einem Kondensator im Metallisierungssystem
DE102008016424B4 (de) * 2008-03-31 2011-06-01 Amd Fab 36 Limited Liability Company & Co. Kg Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε
DE102008021568B3 (de) * 2008-04-30 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734110B1 (en) * 1999-10-14 2004-05-11 Taiwan Semiconductor Manufacturing Company Damascene method employing composite etch stop layer
US6617232B2 (en) * 2001-07-12 2003-09-09 Samsung Electronics Co., Ltd. Method of forming wiring using a dual damascene process
US6812145B2 (en) * 2001-12-07 2004-11-02 Shawming Ma Method of reducing plasma charging damage during dielectric etch process for dual damascene interconnect structures
US20040067635A1 (en) * 2002-10-07 2004-04-08 Chii-Ming Wu Method of forming contact plug on silicide structure
US20040127016A1 (en) * 2002-12-30 2004-07-01 Texas Instruments Incorporated Dual cap layer in damascene interconnection processes

Also Published As

Publication number Publication date
DE102005004409A1 (de) 2006-08-10
US20060172525A1 (en) 2006-08-03
US7763547B2 (en) 2010-07-27

Similar Documents

Publication Publication Date Title
DE10250889B4 (de) Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
DE102005030588B4 (de) Technik zum Reduzieren des Ätzschadens während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika
DE102008021568B3 (de) Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102008016424B4 (de) Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε
US7169708B2 (en) Semiconductor device fabrication method
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE102008063430B4 (de) Verfahren zur Herstellung eines Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
DE102010002454A1 (de) Metallisierungssystem eines Halbleiterbauelements mit verrundeten Verbindungen, die durch Hartmaskenverrundung hergestellt sind
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE102004037089A1 (de) Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
DE102008026134A1 (de) Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102006035668B4 (de) Verfahren zum Herstellen einer Ätzindikator- und Ätzstoppschicht zur Reduzierung von Ätzungleichförmigkeiten
DE102005057075A1 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht
DE102009010844B4 (de) Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
DE102006056626A1 (de) Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
DE102011002769A1 (de) Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE102005004409B4 (de) Technik zur Erhöhung der Prozessflexibilität während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika mit kleinem ε
DE102005046976B4 (de) Verfahren zur Herstellung einer Wolframverbindungsstruktur mit verbesserter Seitenwandbedeckung der Barrierenschicht
DE102005063089A1 (de) Verfahren zum Reduzieren der Kontaminierung durch Vorsehen einer Ätzstoppschicht am Substratrand
DE102008044988A1 (de) Verwenden einer Deckschicht in Metallisierungssystemen von Halbleiterbauelementen als CMP- und Ätzstoppschicht
DE102004042168B4 (de) Halbleiterelement mit einem Metallisierungsschichtstapel mit kleinem ε mit erhöhter Widerstandsfähigkeit gegen Elektromigration und Verfahren zum Bilden des Halbleiterelements

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8327 Change in the person/name/address of the patent owner

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

8328 Change in the person/name/address of the agent

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R020 Patent grant now final

Effective date: 20110420

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20140801