ES2342872B1 - Chip que comprende un mems dispuesto en un circuito integrado y procedimiento de fabricacion correspondiente. - Google Patents

Chip que comprende un mems dispuesto en un circuito integrado y procedimiento de fabricacion correspondiente. Download PDF

Info

Publication number
ES2342872B1
ES2342872B1 ES200901282A ES200901282A ES2342872B1 ES 2342872 B1 ES2342872 B1 ES 2342872B1 ES 200901282 A ES200901282 A ES 200901282A ES 200901282 A ES200901282 A ES 200901282A ES 2342872 B1 ES2342872 B1 ES 2342872B1
Authority
ES
Spain
Prior art keywords
layer
conductive material
mems
layers
interconnection
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
ES200901282A
Other languages
English (en)
Other versions
ES2342872A1 (es
Inventor
Josep Montanya Silvestre
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Baolab Microsystems SL
Original Assignee
Baolab Microsystems SL
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Baolab Microsystems SL filed Critical Baolab Microsystems SL
Priority to ES200901282A priority Critical patent/ES2342872B1/es
Priority to EP10720919A priority patent/EP2432728A2/en
Priority to PCT/EP2010/056947 priority patent/WO2010145907A2/en
Priority to US12/784,024 priority patent/US20100295138A1/en
Priority to SG2011084241A priority patent/SG176093A1/en
Publication of ES2342872A1 publication Critical patent/ES2342872A1/es
Application granted granted Critical
Publication of ES2342872B1 publication Critical patent/ES2342872B1/es
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/02Microstructural systems; Auxiliary parts of microstructural devices or systems containing distinct electrical or optical devices of particular relevance for their function, e.g. microelectro-mechanical systems [MEMS]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00246Monolithic integration, i.e. micromechanical structure and electronic processing unit are integrated on the same substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0145Hermetically sealing an opening in the lid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/07Integrating an electronic processing unit with a micromechanical structure
    • B81C2203/0707Monolithic integration, i.e. the electronic processing unit is formed on or in the same substrate as the micromechanical structure
    • B81C2203/0714Forming the micromechanical structure with a CMOS process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/07Integrating an electronic processing unit with a micromechanical structure
    • B81C2203/0707Monolithic integration, i.e. the electronic processing unit is formed on or in the same substrate as the micromechanical structure
    • B81C2203/0757Topology for facilitating the monolithic integration
    • B81C2203/0771Stacking the electronic processing unit and the micromechanical structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress

Abstract

Chip que comprende un MEMS dispuesto en un circuito integrado y procedimiento de fabricación correspondiente. Clip que comprende un circuito integrado, con: A) unas capas que configuran unos elementos eléctricos y/o electrónicos sobre un substrato de material semiconductor, B) una estructura de capas de interconexión, con una pluralidad de capas de material conductor (7, 9) separadas por unas capas de material dieléctrico (13), C) por lo menos un MEMS dispuesto en la estructura de capas de interconexión. El MEMS comprende un espacio hueco (15) con una parte del mismo dispuesta debajo de una lámina de material conductor perteneciente a una de las capas de material conductor. El procedimiento de fabricación comprende una etapa de interconexión, en la que se genera la estructura de capas de interconexión, y un ataque posterior con HF gaseoso durante el cual se genera el espacio hueco (15) del MEMS.

Description

Chip que comprende un MEMS dispuesto en un circuito integrado y procedimiento de fabricación correspondiente.
Campo de la invención
La invención se refiere a un procedimiento de fabricación de un chip que comprende un MEMS dispuesto en un circuito integrado, donde el MEMS comprende por lo menos un espacio hueco. El procedimiento comprende:
a)
unas etapas de generación de capas que configuran unos elementos eléctricos y/o electrónicos sobre un substrato de material semiconductor, y
b)
una etapa de interconexión, en la que se genera una estructura de capas de interconexión y que comprende la deposición de por lo menos una capa inferior de material conductor y una capa superior de material conductor separadas por por lo menos una capa de material dieléctrico.
\vskip1.000000\baselineskip
La invención también se refiere a un chip que comprende un circuito integrado, donde el circuito integrado comprende:
A)
unas capas que configuran unos elementos eléctricos y/o electrónicos sobre un substrato de material semiconductor, y
B)
una estructura de capas de interconexión, con por lo menos una capa inferior de material conductor y una capa superior de material conductor separadas por por lo menos una capa de material dieléctrico.
\vskip1.000000\baselineskip
Estado de la técnica
Son perfectamente conocidos los chips que comprenden un circuito integrado. El circuito integrado es un dispositivo semiconductor que tiene un substrato de un material semiconductor y sobre el que se depositan una serie de capas, preferentemente mediante técnicas fotolitográficas, eventualmente se dopan, se polarizan y se atacan, de manera que se generan unos elementos eléctricos (como por ejemplo resistencias y condensadores, e incluso impedancias) y/o unos elementos electrónicos (como por ejemplo diodos y transistores). Posteriormente se depositan otras capas, que establecen las conexiones eléctricas necesarias, formando la estructura de capas de interconexión.
De acuerdo con la invención, el substrato es preferentemente de un material del grupo formado por Si, Ge, SiGe, GaAs, GaN y zafiro. Muy preferentemente el substrato es de Si.
De acuerdo con la invención, el chip es preferentemente de una tecnología del grupo formado por MOSFET, bipolar y BiCMOS, entendiéndose que la tecnología MOSFET incluye las variantes CMOS, PMOS, NMOS, UltraCMOS, SOI y SOS. Muy preferentemente el chip es de tecnología CMOS.
Por su parte, los microelectromecanismos o sistemas microelectromecánicos, usualmente abreviados MEMS, son pequeños dispositivos electromecánicos fabricados también por tecnologías de deposición de capas mediante técnicas fotolitográficas. Una característica básica de los MEMS es que tienen cavidades o espacios huecos en su interior, que pueden estar rellenados de líquidos o gases, mientras que los circuitos integrados convencionales son dispositivos totalmente macizos, es decir, sin ningún tipo de huecos (lógicamente, en la presente descripción y reivindicaciones se entiende por huecos a cavidades de tamaño superior que los huecos a escala atómica o subatómica). En la mayoría de los casos los MEMS tienen en su interior elementos móviles, que pueden estar unidos por alguno de sus extremos al resto de la estructura del MEMS o que pueden estar totalmente sueltos (como una pieza totalmente separada del resto, es decir, que no está unida físicamente con su entorno) en el interior de un alojamiento al menos parcialmente cerrado (para evitar que la pieza suelta se "escape" del MEMS).
Es frecuente que en un mismo chip se tenga que incluir tanto un MEMS como un circuito integrado que, entre otras cosas, tendrá la función de controlar el MEMS. Hay varias técnicas para fabricar un chip que incluya tanto un MEMS como un circuito integrado. Una primera técnica consiste en fabricar un elemento encima del otro. Otra técnica consiste en juntar los dos elementos (el MEMS y el circuito integrado) en un substrato común y unirlos entre sí por diversos medios, mediante una técnica denominada MCM (del inglés "multiple-chip-module"). Sin embargo todas estas técnicas no permiten una fabricación en masa y en paralelo como se hace en el caso de los chips incluidos en una oblea, no permiten establecer elevadas cantidades de conexiones entre el MEMS y el circuito integrado, las prestaciones de radiofrecuencia sufren una degradación importante y el rendimiento del conjunto es pobre. Adicionalmente, el coste es elevado.
Por otro lado, otro inconveniente presente en el estado de la técnica es que los MEMS solamente pueden ser diseñados con una precisión de aproximadamente 1 miera, siendo muy difícil conseguir reducir esta precisión.
Sumario de la invención
La invención tiene por objeto superar estos inconvenientes. Esta finalidad se consigue mediante un procedimiento de fabricación de un chip del tipo indicado al principio caracterizado porque tras dicha etapa b) de interconexión tiene lugar una etapa c) que comprende un ataque con HF (fluoruro de hidrógeno) gaseoso, donde durante el ataque se genera (entre otras cosas) el espacio hueco del MEMS en la estructura de capas de interconexión.
Efectivamente la presente invención tiene por objeto integrar totalmente la fabricación del MEMS en la fabricación del circuito integrado, de manera que el circuito integrado sea generado siguiendo la secuencia de pasos normal que le es propia, de manera que no se interfiera en ningún momento ni en la calidad ni en las prestaciones del procedimiento de fabricación normal del circuito integrado. Únicamente se añade una etapa adicional (o eventualmente más de una, como se verá más adelante). Para ello se tiene en cuenta que el procedimiento de fabricación del circuito integrado incluye una etapa denominada de interconexión, en la que se depositan una pluralidad de capas de material conductor (preferentemente son de aluminio, cobre o sus aleaciones (como por ejemplo AlCu, AlSi, AlCuSi, etc.), eventualmente con un recubrimiento de titanio y/o TiN) separadas entre sí por unas capas de material dieléctrico (usualmente denominadas IMD, del inglés inter metal dielectric), que preferentemente es dióxido de silicio o compuestos derivados del mismo. Esta estructura de capas de interconexión tiene por objeto establecer las interconexiones necesarias entre los diversos componentes eléctricos y/o electrónicos del circuito integrado y establecer los puntos de contacto necesarios para establecer las conexiones eléctricas con el exterior. La invención propone aprovechar esta etapa de interconexión para incluir, en la propia estructura de capas de interconexión, la estructura de capas de material conductor y capas de material dieléctrico necesarias para la obtención del MEMS. Normalmente el circuito integrado ya necesita, para su uso propio, tres o más capas de material conductor (la estructura de capas de interconexión usualmente comprende entre 2 y 10 capas de material conductor). Por ello, es probable que por el hecho de incluir el MEMS en la estructura de capas de interconexión no se necesiten más capas. En cualquier caso, aun suponiendo que, por el hecho de incluir el MEMS en la estructura de capas de interconexión, sea necesario incluir algunas capas de material conductor (y/o capas de material dieléctrico) adicionales, estas capas adicionales se aplican con la misma tecnología y durante la misma etapa que las capas de interconexión que el circuito integrado necesita para su uso propio. De esta manera, el procedimiento de fabricación del circuito integrado no se ve afectado cualitativamente por el hecho de incluirle un MEMS en su estructura de capas de interconexión. La etapa de ataque con HF gaseoso permite eliminar el material dieléctrico dispuesto entre las capas de material conductor durante la etapa de interconexión.
El HF, en particular el HF seco, ataca de una forma muy selectiva el material dieléctrico, mientras que las capas de material conductor casi no son atacadas. Además, el ataque con HF se extiende por debajo de las capas de material conductor, rodeándolo, lo que permite la creación de huecos o cavidades, e incluso la fabricación de piezas sueltas.
Normalmente los procedimientos de fabricación de chips comprenden una etapa de pasivado que, entre otras cosas, tiene por objeto aislar el circuito integrado del entorno y/o ambiente, tanto desde un punto de vista eléctrico como físico/químico. La etapa de ataque con HF gaseoso puede realizarse justo después de la etapa b) de interconexión y antes de la etapa de pasivado. Esto puede ser útil en algunos casos, ya que se reducen etapas del proceso. Sin embargo, puede ser interesante realizar la etapa de pasivado justo a continuación de la etapa b) de interconexión, siguiendo la secuencia estándar del procedimiento de fabricación. En este caso, preferentemente, entre las etapas b) de interconexión y c) de ataque con HF se realizan las siguientes etapas:
b')
una etapa de generación de una capa de pasivado (27), donde la capa de pasivado (27) está dispuesta por encima de la capa superior de material conductor (9), la capa de pasivado (27) comprendiendo una capa inferior de dióxido de silicio y una capa superior de nitruro de silicio, y
b'')
una etapa de eliminación al menos parcial de la capa de pasivado (27),
El HF llega al material dieléctrico a través de los orificios practicados en la capa de pasivado durante la etapa de eliminación al menos parcial de la capa de pasivado. Efectivamente, si bien la etapa de eliminación al menos parcial de la capa de pasivado tiene como objetivo convencional hacer accesibles aquellos puntos del material conductor necesarios para hacer las conexiones eléctricas extemas (con elementos ajenos al chip), también se puede emplear para generar unos accesos al material dieléctrico en aquellas zonas en las que será necesario que el HF ataque y elimine el material dieléctrico generando, entre otras cosas, el o los espacios huecos incluidos en la estructura geométrica del MEMS.
De hecho, preferentemente pueden realizarse dos etapas de eliminación parcial de la capa de pasivado: en una de las etapas se elimina el pasivado en aquellas zonas en las que interesa establecer un punto de conexión entre un punto de una capa de material conductor con el exterior (esta etapa se correspondería con la etapa convencional) y otra etapa en la que se elimina el pasivado de aquellas zonas en las que interesa que el HF haga un ataque sobre el material dieléctrico que hay debajo. De esta manera se puede evitar que el HF tenga acceso a zonas del chip en las que realmente no interesa que acceda. Preferentemente la etapa en la que se elimina el pasivado de aquellas zonas en las que interesa que el HF haga un ataque sobre el material dieléctrico que hay debajo tendría lugar antes de la etapa c) (la etapa de ataque con HF), mientras que la etapa en la que se elimina el pasivado en aquellas zonas en las que interesa establecer un punto de conexión entre un punto de una capa de material conductor con el exterior tiene lugar tras la etapa c).
El ataque con HF se realiza preferentemente a unas presiones de HF comprendidas entre 5 Torr y 500 Torr, y muy preferentemente entre 10 Torr y 100 Torr. Se suele añadir una pequeña cantidad de agua como iniciador de la reacción, si bien a continuación el ataque del óxido de silicio ya tiene como resultado la generación de agua en una cantidad suficiente como para poder mantener en marcha la reacción. El proceso no precisa de un estricto control de temperaturas, y suele hacerse a temperatura ambiente (entre 15ºC y 25ºC).
En general, cuando en la presente descripción y reivindicaciones se hace referencia a una capa, esta capa puede ser una capa continua y homogénea, o puede ser también una capa que forma un cierto dibujo sobre la capa inferior, es decir, que no cubre totalmente la capa inferior sino que la cubre solamente de una forma parcial, de acuerdo con un dibujo preestablecido.
La capa de pasivado suele comprender, preferentemente, una subcapa de óxido de silicio y una subcapa de nitruro de silicio, donde la subcapa de nitruro de silicio puede incluir también algunos componentes minoritarios, como oxígeno, hidrógeno y otros.
Preferentemente en la etapa b') de generación de una capa de pasivado, la capa de nitruro de silicio es una capa de nitruro rico en silicio. Efectivamente, si la capa de nitruro de silicio es rica en silicio, entonces es más resistente al ataque con HF. Sin embargo, si la capa de nitruro de silicio es rica en silicio, se generan menos residuos durante el ataque con HF, lo que puede ser ventajoso en algunos casos, como se comentará más adelante. La determinación del contenido de Si se hace preferentemente determinando el índice de refractivídad (IR) de la capa de nitruro de silicio. En este sentido, las zonas de nitruro rico en silicio tienen preferentemente un IR superior a 2'2, y muy preferentemente superior a 2'3. Con un valor de IR igual a 2'4 se consigue que el ataque sea mínimo. Esto se puede conseguir, por ejemplo, modificando la relación SiH_{4}/NH_{3} en un reactor PECVD. Convencionalmente, la capa de nitruro de silicio tiene un índice de refractividad de entre 1'9 a 2'1.
Preferentemente tras la etapa c) se somete al chip a un calentamiento, preferiblemente a una temperatura superior a la temperatura de evaporación del polímero resultante de la reacción entre la capa de pasivado y el HF. Efectivamente, en determinados casos el ataque con HF deja unos residuos sobre las superficies metálicas, que presumiblemente son unos compuestos más o menos complejos y eventualmente polimerizados, derivados de fluoruro de amonio, como por ejemplo en (NH_{4})_{2}Si(F_{6})_{8}. Si bien la caracterización de estos residuos aun no es conocida con absoluta certeza, en cualquier caso se ha observado que pueden ser eliminados al calentar el chip por encima de una determinada temperatura, preferentemente por encima de 110ºC, muy preferentemente por encima de 170ºC, y especialmente por encima de 180ºC. Así, por ejemplo, a 200ºC se realiza una eliminación prácticamente completa de este residuo. Dado que la estructura química del compuesto no es totalmente clara, en la presente descripción y reivindicaciones debe entenderse que la expresión "el polímero resultante de la reacción entre la capa de pasivado y el HF" se refiere al producto de dicha reacción, que queda al menos parcialmente depositado sobre las superficies metálicas, con independencia de que este producto se pueda considerar estrictamente un polímero o no. Por la misma razón, realmente no queda claro si lo que le ocurre al polímero (o producto de la reacción o residuo) al calentarlo es que se evapora, se sublima, se descompone o sufre algún otro tipo de transformación. En este sentido, en la presente descripción y reivindicaciones debe entenderse también que, al decir que se sube la temperatura hasta una temperatura superior a la temperatura de evaporación del polímero (o producto de la citada reacción o residuo), se refiere a que se sube la temperatura hasta una temperatura en la que el residuo se elimina.
Por otro lado, si la capa de nitruro de silicio es rica en silicio, se generan menos residuos durante el ataque con HF, por lo que puede ser una solución ventajosa de cara a minimizar la cantidad de residuos presentes tras el ataque.
Preferentemente tras la etapa c) se realiza una etapa de recubrimiento ALD (del inglés Atomic Layer Deposition, deposición de capa atómica). La técnica del recubrimiento ALD es conocida por un experto en la materia y una aplicación de la misma es descrita, por ejemplo en el documento US 7.426.067. El recubrimiento ALD permite recubrir las superficies de material conductor con unos materiales (en particular, con otros metales) que tengan unas propiedades particularmente interesantes. Se pueden depositar unas capas de espesores muy reducidos (prácticamente monoatómicos) y de gran homogeneidad. De esta manera, se pueden conseguir diversas mejoras:
-
por un lado, debe tenerse en cuenta que los materiales empleados en la estructura de capas de interconexión (tanto el material dieléctrico como el material conductor) han sido seleccionados para un resultado óptimo como circuito integrado convencional. Sin embargo, es posible que su empleo en estructuras de MEMS requiera que tuviesen unas propiedades para las que estos materiales no sean particularmente adecuados. Por ejemplo, es posible mejorar las propiedades de dureza al añadir una capa metálica de elevada dureza sobre las capas de material conductor, que suelen ser de Al o Cu o sus aleaciones. También es posible mejorar las propiedades de cara a reducir los problemas de adherencia (stiction), etc.
-
por otro lado, es posible recubrir la capa de material conductor incluso aunque queden sobre la capa de material conductor algunos residuos derivados de la reacción entre la capa de pasivado y el HF comentada anteriormente. Efectivamente, el recubrimiento ALD es capaz de recubrir el conjunto formado por la capa de material conductor y los residuos dispuestos sobre la misma, con lo que se obtiene una nueva superficie conductora (si el recubrimiento ALD es conductor) con una elevada rugosidad, lo que puede ser ventajoso para, por ejemplo, los problemas de adherencia (stiction).
A fin de evitar que el recubrimiento ALD, al depositarse sobre todas las superficies (tanto las metálicas como las dieléctricas), provoque cortocircuitos indeseados, es ventajoso que el recubrimiento ALD se haga en un tiempo menor al tiempo de percolación. Efectivamente, al iniciarse el recubrimiento ALD no se recubre instantáneamente toda la superficie tratada en su totalidad, sino que se van desarrollando unas "islas", "grumos" o núcleos de formación que se van ensanchando durante el tiempo de reacción hasta que se interconectan entre sí y, finalmente, hasta que recubren totalmente la superficie objetivo. El tiempo requerido para que tenga lugar el recubrimiento en su totalidad es el tiempo de percolación. Si se interrumpe la reacción antes de dicho tiempo de percolación, es decir, antes de que se recubra totalmente la superficie a tratar, se puede conseguir una superficie parcialmente recubierta con las citadas "islas" o "grumos". Estas "islas" o "grumos" ya son adecuados como contactos eléctricos y, sin embargo, no se provoca un cortocircuito con otros elementos del dispositivo MEMS ya que las "islas" no están intercomunicadas entre sí.
Por otro lado, en el caso que el MEMS tenga un elemento móvil, en particular si es un elemento suelto, físicamente independiente, es ventajoso someter el elemento móvil a un movimiento durante la etapa de recubrimiento ALD. Efectivamente, el elemento móvil habrá quedado liberado durante la etapa c) de ataque con el HF, por lo que estará en contacto, apoyado, sobre la capa que tenga debajo de él. Por lo tanto, la superficie inferior del elemento móvil (y la superficie superior de la capa que está debajo del MEMS) tendrá dificultades para recubrirse correctamente. Sin embargo, al moverla se permite que los reactivos del procedimiento ALD lleguen perfectamente a estas superficies y que el recubrimiento ALD se efectúe uniformemente sobre todas las superficies de interés.
En general, será ventajoso que tras la etapa c) de ataque y/o tras la etapa de recubrimiento ALD se realice una etapa de generación de una nueva capa de pasivado o, en general, una nueva capa de sellado, que puede ser igual o diferente que la etapa b'), pero que, en cualquier caso, tendrá unos objetivos similares: cerrar físicamente el chip y aislarlo y protegerlo del entorno.
En general, el HF atacará al material dieléctrico siendo este ataque en todas direcciones. Esto es lo que permite generar cavidades e incluso liberar elementos móviles hasta el extremo de que queden totalmente sueltos (y, de hecho, se depositen sobre la capa que tengan debajo). Por lo tanto, si hay una zona del chip que no interesa que sea atacada, debe ser protegida, por ejemplo cubriéndola con una capa de material conductor. Por el contrario, si interesa que una capa de material dieléctrico, que está debajo de una capa de material conductor, sea atacada, se puede incluir una pluralidad de orificios en la capa de material conductor que sean de una dimensión que deje pasar las moléculas de HF. Ventajosamente estos orificios son lo suficientemente pequeños de manera que no dejan pasar los nitruros. Preferentemente estos orificios tienen un diámetro menor o igual a 500 nanómetros y muy preferentemente menor o igual a 100 nm. Preferentemente, antes de la etapa de generación de una nueva capa de sellado, se somete a la capa de material conductor con los orificios (que, preferentemente, será la capa superior) a un recubrimiento ALD. De esta manera, el recubrimiento ALD irá cerrando los orificios lo que facilitará que la nueva capa de sellado se deposite satisfactoriamente, tapando todos los orificios. En general, los orificios no tienen por qué ser de sección transversal circular. Por ello, cuando en la presente descripción y reivindicaciones se indica que los orificios han de "tener un diámetro menor o igual que" un determinado valor, se debe entender que quiere decir que los orificios han de tener una sección transversal de área menor o igual que el área de un círculo del diámetro indicado.
Preferentemente por debajo de la capa inferior de material conductor se añade una capa específica que resista el ataque de HF, para proteger claramente la estructura de capas que configuran los elementos eléctricos y/o electrónicos del HF. En este sentido, debe tenerse en cuenta que la estructura de interconexión suele comprender varias capas de material conductor (más de dos), por lo que se puede emplear alguna de ellas (alguna de las inferiores) para incluir una capa de material conductor dispuesta debajo de los dispositivos MEMS, que tengan por función ser una barrera protectora para evitar que el HF no llegue en ningún caso a la estructura de capas que configura los elementos eléctricos y/o electrónicos. Asimismo suele ser interesante que el HF no llegue a entrar en contacto con una capa denominada ILD (del inglés Inter Level Dielectric, ver más adelante), ya que esta capa es atacada con gran rapidez por el HF y, adicionalmente, dicho ataque genera residuos.
Otra forma ventajosa de evitar que el HF ataque estas capas es depositando una capa de silicio amorfo, preferentemente muy fina, de unos nanómetros, por encima de las capas que se desea proteger.
Asimismo es ventajoso que alrededor del MEMS se añada un tabique de material resistente al HF, que se extienda perpendicularmente al substrato y que envuelva al MEMS en sentido paralelo al substrato, es decir, que el MEMS quede rodeado por un tabique de manera que el HF no pueda extenderse incontroladamente en sentido paralelo al substrato. De esta forma se puede determinar con exactitud hasta dónde llegará, como máximo, el ataque del HF en sentido paralelo al substrato. Por "material resistente al HF" debe entenderse todo material que sea resistente al HF en estado gaseoso, donde dicho HF gaseoso es seco. Como "seco" debe entenderse que no se la incluido agua expresamente, si bien puede haber agua que proviene de la propia reacción del HF. Preferentemente el ataque con HF se inicia con una cierta adición de agua, que sirve como catalizador del inicio de la reacción, y, a continuación, el resto del ataque se realiza "en seco", de manera que no se aporta más agua si bien la propia reacción genera una cierta cantidad de agua que ya es suficiente para mantener la reacción (es decir, es una reacción autosostenida). De hecho, ventajosamente la reacción es controlada (mediante el control de la presión y la temperatura) de manera que no se genere una excesiva cantidad de agua, ya que ello provocaría un ataque excesivamente enérgico y descontrolado. Asimismo, debe entenderse que la expresión "material resistente al HF" incluye también aquellos materiales que, si bien sufren un cierto ataque, el ataque experimentado es despreciable frente al ataque experimentado por el material dieléctrico. En particular, se debe
considerar que tanto el aluminio como el cobre son "un material resistente al HF" de acuerdo con la presente invención.
Preferentemente el tabique de material resistente al HF es un tabique hecho a base de unas vías alargadas de tungsteno, de una forma similar a las vías que se realizan convencionalmente para interconectar las diferentes capas de material conductor.
Preferentemente se establece por lo menos una interconexión directa entre el substrato y por lo menos una de dichas capas metálicas mediante un material resistente al HF. Efectivamente, si se establece esta conexión directa se ancla la capa de material conductor con el substrato, por lo que se evita que la estructura se colapse en el supuesto que el HF elimine todo el material dieléctrico dispuesto de la capa de material conductor.
El material de la interconexión es preferentemente un metal. Por ello, al interconectar las capas de material conductor con el substrato (que también es conductor) se corre el riesgo de establecer contactos eléctricos indeseados. Una solución ventajosa a este inconveniente se obtiene al intercalar entre la interconexión y el substrato una capa de silicio amorfo, que es aislante.
Ventajosamente en la etapa de interconexión se depositan como máximo 10 capas de material conductor y, preferentemente, como máximo 6 capas de material conductor. Efectivamente, hay muchos dispositivos MEMS que requieren 5 capas (o menos) de material conductor, incluso hay algunos MEMS que requieren únicamente 3 capas de material conductor. Por ello, si la etapa de interconexión está limitada de la forma indicada, quiere decir que se ha integrado totalmente el MEMS en la estructura de capas de interconexión propia del circuito integrado, con lo que prácticamente no se afecta al procedimiento de fabricación convencional del circuito integrado.
Como ya se ha comentado anteriormente, la capa de pasivado suele comprender una subacapa de óxido de silicio y una subcapa de nitruro de silicio. Al atacar esta capa de pasivado, en primer lugar se ataca el nitruro de silicio pero, una vez perforada este subacapa, el ataque se extiende a la subacapa de óxido de silicio. La subapa de óxido de silicio es atacada con más facilidad de la subacapa de nitruro de silicio, de manera que la subcapa de nitruro de silicio queda en voladizo alrededor de los orificios de ataque. Estas zonas en voladizo son frágiles y propensas a romperse. Para evitar esta situación, es ventajoso que las dos subcapas de la capa de pasivado se hagan con máscaras diferentes entre sí. De esta manera, la subcapa de nitruro puede presentar unas zonas en las que se extiende atravesando totalmente la subcapa de óxido, y llegando hasta la capa que hay debajo (que, preferentemente es una capa de material conductor). De esta manera, si se realiza el ataque en una de estas zonas, se puede conseguir que el orificio forme una chimenea que atraviese la subcapa de nitruro sin que el HF llegue a estar en contacto con el óxido.
La invención tiene también por objeto un chip del tipo indicado al principio caracterizado porque comprende, adicionalmente, por lo menos un MEMS dispuesto en dicha estructura de capas de interconexión, donde el MEMS comprende por lo menos un espacio hueco, donde por lo menos una parte del espacio hueco está dispuesta debajo de una lámina de material conductor perteneciente a una de las capas de material conductor. "Debajo" quiere decir en dirección hacia el substrato. Es decir, no es posible acceder directamente (en línea recta) al espacio hueco desde el exterior (a través de una abertura hecha a la capa de pasivado) ya que la lámina de material conductor se interpone. Por lo tanto, no es posible realizar el espacio hueco mediante técnicas de ataque del material dieléctrico que sean direccionales, como por ejemplo las técnicas que emplean plasma.
Preferentemente, como ya se ha dicho anteriormente, el chip comprende, adicionalmente, una capa de pasivado (27), donde la capa de pasivado (27) está dispuesta por encima de la capa superior de material conductor (9), la capa de pasivado (27) comprendiendo una capa inferior de dióxido de silicio y una capa superior de nitruro de silicio. Preferentemente, todas estas estructuras de capas deben entenderse que está superpuestas o por lo menos parcialmente superpuestas y, como ya se ha dicho anteriormente, pueden ser capas continuas y homogéneas o, preferiblemente, puede haber capas que forman un cierto dibujo sobre la capa inferior, formadas mediante lo que se suele denominar máscaras.
Un caso particular de un MEMS como el indicado en el párrafo anterior se tiene cuando una lámina de material conductor perteneciente a una de las capas de material conductor tiene por lo menos una parte de su superficie inferior, (encarada hacia el substrato) libre de material dieléctrico.
En general, el chip de acuerdo con la invención puede incluir, de forma ventajosa, cualquiera de las características que se derivan del procedimiento de acuerdo con la invención.
Preferentemente el MEMS incluido en el circuito integrado comprende un elemento conductor que es una pieza suelta. Efectivamente, tanto los procesos como los materiales (en particular los metales) usualmente empleados en la fabricación de circuitos integrados suelen presentar el inconveniente que acumulan tensiones residuales y/o gradientes de tensiones. En el caso de un circuito integrado convencional este hecho puede ser irrelevante, pero en el caso de un MEMS, si una lámina metálica en voladizo presenta estas acumulaciones de tensiones residuales y/o gradientes de tensiones puede sufrir deformaciones. Estas deformaciones pueden llegar a ser tales que inutilizan el MEMS o, al menos, dificultan su funcionamiento. Sin embargo si el MEMS funciona mediante piezas totalmente sueltas, es más fácil compensar o neutralizar los efectos debidos a estos estados de tensiones. Asimismo, durante el funcionamiento del MEMS es posible que se alcancen temperaturas lo suficientemente elevadas como para poder influir en las propiedades mecánicas de las láminas metálicas que forman parte del MEMS. En particular, si las láminas metálicas son de aluminio (o alguna de sus aleaciones) puede haber, por ejemplo, problemas de fluencia en el caso de láminas en voladizo. Este
problema también puede ser resuelto con más facilidad si el MEMS funciona mediante piezas totalmente sueltas.
Ventajosamente el MEMS comprende, adicionalmente, por lo menos dos placas de condensador aptas para generar unos campos electrostáticos sobre la pieza suelta capaces de mover la pieza suelta. Son conocidos este tipo de MEMS. En el documento WO 2004/046807 se describe una pluralidad de estos dispositivos, por ejemplo en las hojas 3 a 17 y 19 a 27. En el documento WO 2004/046807 también se describen una pluralidad de estos dispositivos, así como en los documentos WO 2005/101442, WO 2005/111759 y WO 2005/112190.
Es particularmente ventajoso que el MEMS comprenda, adicionalmente por lo menos dos puntos de contacto de un circuito eléctrico, donde la pieza suelta es apta para adoptar una posición en la que está en contacto simultáneamente con ambos puntos de contacto, de manera que se establece una conexión eléctrica entre los puntos de contacto, actuando así el MEMS como un relé, en particular como los relés descritos en el documento WO 2004/046807, en las páginas 3 a 12 y 19 a 26.
Preferentemente el circuito integrado del chip comprende un dispositivo MEMS del grupo de dispositivos MEMS formado por relés eléctricos, acelerómetros, inclinómetros, detectores de fuerzas de Coriolis, sensores de presión, micrófonos, sensores de caudal, sensores de temperatura, sensores de gas, sensores de campo magnético, dispositivos electroópticos (en especial los dispositivos electroópticos reflectores digitales denominados DMD, del inglés Digital Micromirror Device), matrices de conmutación óptica, dispositivos proyectores de imágenes, matrices de conexión analógica, dispositivos emisores y/o receptores de señales electromagnéticas, fuentes de alimentación, convertidores DC/DC, convertidores AC/DC, convertidores DC/AC, convertidores A/D, convertidores DIA, y amplificadores de potencia.
Breve descripción de los dibujos
Otras ventajas y características de la invención se aprecian a partir de la siguiente descripción, en la que, sin ningún carácter limitativo, se relata un modo preferente de realización de la invención, haciendo mención de los dibujos que se acompañan. La figura muestra:
Fig. 1, una vista esquemática de una sección transversal de una primera forma de realización de un chip de acuerdo con la invención,
Fig. 2, una vista esquemática de una sección transversal de una segunda forma de realización de un chip de acuerdo con la invención,
Fig. 3, el chip de la figura 2 tras la etapa de generación de la nueva capa de sellado.
Fig. 4, una vista esquemática de una sección transversal de una tercera forma de realización de un chip de acuerdo con la invención.
Figs. 5 y 6, una vista esquemática de una sección transversal de una cuarta forma de realización de un chip de acuerdo con la invención.
Figs. 7-10, una vista esquemática de una sección transversal de una quinta forma de realización de un chip de acuerdo con la invención.
Descripción detallada de unas formas de realización de la invención
En la Figura 1 se muestra una vista esquemática de una sección transversal de un chip de acuerdo con la invención. Lógicamente, como es habitual en estos casos, las dimensiones de los espesores de las capas han sido magnificados. La sección transversal muestra un MEMS que conforma un relé con un electrodo en voladizo 21, dos electrodos de contacto 23 y dos electrodos de actuación 25.
El chip comprende un substrato 1 sobre el que hay una pluralidad de elementos electrónicos 3, por ejemplo transistores. A continuación hay una capa de vidrio borofosfosilicatado 5 (BPSG, del inglés borophosphosilicate glass). Esta capa, que usualmente se denomina capa ILD (del inglés Inter Level Dielectric) consiste, en general, en una capa de óxido dopado (por ejemplo el ya citado BPSG o vidrio fosfosilicatado (PSG, del inglés phosphosilicate glass)) y una capa por encima de óxido no dopado. Sin embargo, en la presente descripción y reivindicaciones se tratará como si fuese una única capa ya que no es necesario distinguir entre ambas. Encima de la capa de vidrio borofosfosilicatado 5 se inicia la estructura de capas de interconexión, con una capa inferior de material conductor 7 y una capa superior de material conductor 9. Entre la capa inferior y la capa superior de material conductor 7 y 9 hay tres capas adicionales de material conductor 11 separadas entre si por unas capas de material dieléctrico 13. El material dieléctrico ha sido eliminado en su mayoría para formar la cavidad o espacio hueco 15 que permite el movimiento del electrodo en voladizo 21. En la Figura 1 se ha mostrado, esquemáticamente y a modo de ejemplo, el final de dos zonas de ataque del material dieléctrico por parte del HF.
La capa superior de material conductor 9 presenta unos orificios 17 a través de los cuales puede pasar el HF que ha realizado el ataque contra el material dieléctrico. En el caso del electrodo en voladizo 21 no se han incluido orificios ya que el HF puede bordear el electrodo en voladizo 21 de manera que puede atacar el material dieléctrico dispuesto debajo del electrodo en voladizo 21 sin necesidad de dichos orificios. Efectivamente, dado que el electrodo en voladizo 21 tiene una anchura (en sentido perpendicular al papel) relativamente estrecha, el HF puede rebordearlo en el sentido de la anchura.
A la izquierda de la Figura 1 se observan también dos vías 19 de conexión eléctrica entre capas de material conductor.
En el ejemplo de la Figura 1 se ha supuesto que la estructura del MEMS se inicia inmediatamente a partir de la capa inferior del material conductor 7. Sin embargo, podría haber algunas capas adicionales de material conductor entre el MEMS y la capa de vidrio borofosfosilicatado 5 a fin de establecer un determinado conexionado eléctrico entre los elementos electrónicos 3 que hay debajo del MEMS.
El chip está inicialmente cerrado por una capa de pasivado 27. Durante la etapa de eliminación parcial de la capa de pasivado 27 se forman las aberturas 29 por las que el HF puede atacar al material dieléctrico. Tras el ataque con HF, se realiza un nuevo pasivado, o, en general, un nuevo sellado (que puede ser también, por ejemplo, un metalizado o un recubrimiento denominado WLCSP (del inglés, Wafer Level Chip Scale Packaging)) que cierra las aberturas 29. Al ser los orificios 17 de un tamaño lo suficientemente pequeño, el nuevo sellado no entra a través de dichos orificios 17.
En general, preferentemente la eliminación de la capa de pasivado 27 es parcial y no total.
En las Figuras 2 y 3 se muestra una alternativa ventajosa de forma de realización de la invención. En este caso, la eliminación parcial de la etapa b'') genera unas aberturas 29 que están dispuestas sobre unas placas 31 de material conductor pertenecientes a la capa superior de material conductor 9. Efectivamente, las placas 31 no impiden el ataque del HF, ya que el HF puede bordearlas, tal como se muestra en la figura 2 esquemáticamente mediante unas flechas. Sin embargo, las placas 31 son útiles durante la etapa de generación de una nueva capa de sellado, ya que la nueva capa de sellado pasa a través de la abertura 29 y se deposita sobre la placa 31 hasta que llena, por lo menos parcialmente, el espacio hueco entre cada una de las aberturas 29 y su correspondiente placa 31 (ver figura 3). Por lo tanto la disposición de estas placas 31 enfrentadas ante las aberturas 29 facilita la posterior etapa de generación de una nueva capa de sellado. El hecho de incluir estas placas 31 es independiente del hecho de emplear los orificios 17. Incluso se podría plantear emplear las placas 31 eliminando completamente la capa de material conductor que incluye los orificios 17.
En la Figura 4 se muestra otra alternativa ventajosa, similar a la de las Figuras 2 y 3 pero teniendo en cuenta que, usualmente, la capa de pasivado 27 descansa directamente sobre la capa superior de material conductor 9, y las placas 31 pertenecen, por tanto, a una capa de material conductor intermedia. Efectivamente, el hecho de intercalar una capa de material dieléctrico entre la capa superior de material conductor 9 y la capa de pasivado 27 representa, de hecho, una etapa adicional de lo que es el procedimiento CMOS convencional, por lo que puede ser de interés eliminarla. Sin embargo, la generación de la nueva capa de sellado tendría lugar de una forma equivalente a lo mostrado en al
Figura 3.
Por su parte, en la Figuras 5 y 6 se muestran otra alternativa adicional, en la que se tiene en cuenta que la capa de pasivado 27 está formada, preferentemente, por una subcapa de nitruro de silicio 27a y una subcapa de óxido de silicio 27b, y teniendo en cuenta, también, que la subcapa de óxido de silicio 27b es atacada por el HF. De esta manera el HF también puede tener acceso a las capas de material dieléctrico aunque la eliminación de la capa de pasivado se haya hecho en una zona debajo de la cual hay material conductor en lugar de material dieléctrico.
En general, una solución preferente de realización de la invención se obtiene cuando la parte de dicha capa superior de material conductor (9) dispuesta sobre dicho MEMS tiene una pluralidad de orificios y porque la siguiente capa de material conductor dispuesta debajo de dicha capa superior de material conductor (9) tiene asimismo una pluralidad de orificios no alineados con los orificios de dicha capa superior de material conductor (9) de manera que dicho HF gaseoso debe realizar un recorrido en zig-zag para poder llegar a la zona de dicho MEMS. Efectivamente, de esta manera el sellado posterior del circuito integrado se puede realizar más fácilmente, por ejemplo con la deposición de otra capa metálica, con la deposición de otra capa de pasivado o de WLCSP.
En la Fig. 7 se muestra, de una forma esquemática, cómo el HF ataca de una forma más acusada a la subcapa de óxido de silicio 27b que la subcapa de nitruro de silicio 27a. Ello puede provocar que se genere un voladizo que puede doblarse y/o fracturarse de una forma incontrolada (Fig. 8). Para evitarlo, una solución preferente consiste en hacer la capa de pasivado con dos máscaras diferentes, de manera que en algunas zonas la subcapa de nitruro de silicio 27a se extiende hasta las capas inferiores (la de material conductor 9 y/o la de material dieléctrico 13), tal como se muestra en la Fig. 9. Así, cuando el HF ataca la capa de pasivado 27 en estas zonas, se forma una "chimenea" totalmente envuelta por nitruro de silicio, de manera que el HF no entra en contacto directo con el óxido de silicio (Fig. 10). En estos casos, es ventajoso hacer la subcapa de nitruro de silicio 27a de un espesor superior al habitual (que es de unos 300 nm), preferentemente comprendido entre los 500 nm y 700 nm.

Claims (26)

1. Procedimiento de fabricación de un chip que comprende un MEMS dispuesto en un circuito integrado, donde dicho MEMS comprende por lo menos un espacio hueco (15), donde dicho procedimiento comprende:
a)
unas etapas de generación de capas que configuran unos elementos eléctricos y/o electrónicos sobre un substrato de material semiconductor,
b)
una etapa de interconexión, en la que se genera una estructura de capas de interconexión y que comprende la deposición de por lo menos una capa inferior de material conductor (7) y una capa superior de material conductor (9) separadas por por lo menos una capa de material dieléctrico (13),
caracterizado porque
c)
tras dicha etapa b) de interconexión se hace un ataque con HF gaseoso, donde durante dicho ataque se genera dicho espacio hueco (15) de dicho MEMS en dicha estructura de capas de interconexión.
\vskip1.000000\baselineskip
2. Procedimiento según la reivindicación 1, caracterizado porque entre dicha etapa b) y dicha etapa c) se realizan las siguientes etapas:
b')
una etapa de generación de una capa de pasivado (27), donde dicha capa de pasivado (27) está dispuesta por encima de dicha capa superior de material conductor (9), dicha capa de pasivado (27) comprendiendo una capa inferior de dióxido de silicio y una capa superior de nitruro de silicio, y
b'')
una etapa de eliminación al menos parcial de dicha capa de pasivado (27).
\vskip1.000000\baselineskip
3. Procedimiento según la reivindicación 2, caracterizado porque en dicha etapa b') de generación de una capa de pasivado (27), dicha capa de nitruro de silicio es una capa de nitruro de silicio rico en silicio.
4. Procedimiento según la reivindicación 3, caracterizado porque dicha capa de nitruro de silicio rico en silicio tiene un índice de refractividad superior a 2'2, y preferentemente superior a 2'3.
5. Procedimiento según cualquiera de las reivindicaciones 1 a 4, caracterizado porque tras dicha etapa c) se somete a dicho chip a un calentamiento.
6. Procedimiento según la reivindicación 5, caracterizado porque se somete, a dicho chip a un calentamiento a una temperatura superior a 115ºC, preferentemente, superior a 170ºC, y muy preferentemente superior a 180ºC.
7. Procedimiento según cualquiera de las reivindicaciones 1 a 6, caracterizado porque tras dicha etapa c) se realiza una etapa de recubrimiento ALD.
8. Procedimiento según la reivindicación 7, caracterizado porque dicha etapa de recubrimiento ALD se hace en un tiempo menor al tiempo de percolación.
9. Procedimiento según una de las reivindicaciones 7 u 8, donde dicho MEMS tiene un elemento móvil (21), caracterizado porque se somete a dicho elemento móvil (21) a un movimiento durante dicha etapa de recubrimiento ALD.
10. Procedimiento según cualquiera de las reivindicaciones 1 a 9, caracterizado porque se realiza una etapa de generación de una nueva capa de sellado.
11. Procedimiento según cualquiera de las reivindicaciones 1 a 10, caracterizado porque dicha capa superior de material conductor (9) tiene una pluralidad de orificios (17) que son de una dimensión tal que dejan pasar al HF pero que, preferentemente, no dejan pasar los nitruros.
12. Procedimiento según la reivindicación 11, caracterizado porque cada uno de dichos orificios (17) tiene un diámetro menor o igual que 500 nm, y preferentemente menor o igual que 100 nm.
13. Procedimiento según una de las reivindicaciones 11 o 12, caracterizado porque antes de dicha etapa de generación de una nueva capa de sellado, se somete a dicha capa superior de material conductor (9) a un recubrimiento ALD.
14. Procedimiento según cualquiera de las reivindicaciones 1 a 13, caracterizado porque por debajo de dicha capa inferior de material conductor (7) se añade una capa específica que resista el ataque de HF.
15. Procedimiento según cualquiera de las reivindicaciones 1 a 14, caracterizado porque en dicha etapa de interconexión se depositan como máximo 10 capas de material conductor y, preferentemente, se depositan como máximo 6 capas de material conductor.
16. Procedimiento según cualquiera de las reivindicaciones 10 a 15, caracterizado porque dicha eliminación al menos parcial de dicha etapa b'') genera unas aberturas (29) que están dispuestas sobre unas placas (31) de material conductor pertenecientes a dicha capa superior de material conductor (9) y porque en dicha etapa de generación de una nueva capa de sellado dicha nueva capa de sellado llena, por lo menos parcialmente, el espacio hueco entre cada una de dichas aberturas (29) y su correspondiente placa (31).
17. Procedimiento según cualquiera de las reivindicaciones 1 a 16, caracterizado porque alrededor de dicho MEMS se añade un tabique de material resistente al HF, donde dicho tabique se extiende perpendicularmente a dicho substrato y envuelve a dicho MEMS en sentido paralelo a dicho substrato.
18. Procedimiento según cualquiera de las reivindicaciones 1 a 17, caracterizado porque se establece por lo menos una interconexión directa entre dicho substrato y por lo menos una de dichas capas de material conductor mediante un material resistente al HF.
19. Procedimiento según cualquiera de las reivindicaciones 1 a 18, caracterizado porque se establece por lo menos una interconexión entre el sustrato y por lo menos una de dichas capas de material conductor mediante un material resistente al HF, donde entre el sustrato y dicho material hay una capa de silicio amorfo.
20. Chip que comprende un circuito integrado, donde dicho circuito integrada comprende:
A)
unas capas que configuran unos elementos eléctricos y/o electrónicos sobre un substrato de material semiconductor,
B)
una estructura de capas de interconexión, con por lo menos una capa inferior de material conductor (7) y una capa superior de material conductor (9) separadas por por lo menos una capa de material dieléctrico (13),
caracterizado porque comprende, adicionalmente, por lo menos un MEMS dispuesto en dicha estructura de capas de interconexión, donde dicho MEMS comprende por lo menos un espacio hueco (15), donde por lo menos una parte de dicho espacio hueco (15) está dispuesta debajo de una lámina de material conductor perteneciente a una de dichas capas de material conductor.
21. Chip según la reivindicación 20, caracterizado porque comprende adicionalmente,
C)
una capa de pasivado (27), donde dicha capa de pasivado (27) está dispuesta por encima de dicha capa superior de material conductor (9), dicha capa de pasivado (27) comprendiendo una capa inferior de dióxido de silicio y una capa superior de nitruro de silicio.
22. Chip según una de las reivindicaciones 20 o 21, caracterizado porque dicho MEMS comprende un elemento conductor que es una pieza suelta.
23. Chip según la reivindicación 22, caracterizado porque dicho MEMS comprende, adicionalmente, por lo menos dos placas de condensador aptas para generar unos campos electrostáticos sobre dicha pieza suelta capaces de mover dicha pieza suelta.
24. Chip según la reivindicación 23, caracterizado porque dicho MEMS comprende, adicionalmente por lo menos dos puntos de contacto de un circuito eléctrico, donde dicha pieza suelta es apta para adoptar una posición en la que está en contacto simultáneamente con ambos puntos de contacto, de manera que se establece una conexión eléctrica entre dichos puntos de contacto, actuando así dicho MEMS como un relé.
25. Chip según cualquiera de las reivindicaciones 20 a 24, caracterizado porque comprende un dispositivo del grupo de dispositivos formado por relés eléctricos acelerómetros, inclinómetros, detectores de fuerzas de Coriolis, sensores de presión, micrófonos, sensores de caudal, sensores de temperatura, sensores de gas, sensores de campo magnético, dispositivos electroópticos, matrices de conmutación óptica, dispositivos proyectores de imágenes, matrices de conexión analógica, dispositivos emisores y/o receptores de señales electromagnéticas, fuentes de alimentación, convertidores DC/DC, convertidores AC/DC, convertidores DC/AC, convertidores A/D, convertidores D/A, y amplificadores de potencia.
26. Chip según cualquiera de las reivindicaciones 20 a 25, caracterizado porque la parte de dicha capa superior de material conductor (9) dispuesta sobre dicho MEMS tiene una pluralidad de orificios y porque la siguiente capa de material conductor dispuesta debajo de dicha capa superior de material conductor (9) tiene asimismo una pluralidad de orificios no alineados con los orificios de dicha capa superior de material conductor (9) de manera que dicho HF gaseoso debe realizar un recorrido en zig-zag para poder llegar a la zona de dicho MEMS.
ES200901282A 2009-05-20 2009-05-20 Chip que comprende un mems dispuesto en un circuito integrado y procedimiento de fabricacion correspondiente. Expired - Fee Related ES2342872B1 (es)

Priority Applications (5)

Application Number Priority Date Filing Date Title
ES200901282A ES2342872B1 (es) 2009-05-20 2009-05-20 Chip que comprende un mems dispuesto en un circuito integrado y procedimiento de fabricacion correspondiente.
EP10720919A EP2432728A2 (en) 2009-05-20 2010-05-20 Methods and systems for fabrication of mems cmos devices
PCT/EP2010/056947 WO2010145907A2 (en) 2009-05-20 2010-05-20 Methods and systems for fabrication of mems cmos devices
US12/784,024 US20100295138A1 (en) 2009-05-20 2010-05-20 Methods and systems for fabrication of mems cmos devices
SG2011084241A SG176093A1 (en) 2009-05-20 2010-05-20 Methods and systems for fabrication of mems cmos devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
ES200901282A ES2342872B1 (es) 2009-05-20 2009-05-20 Chip que comprende un mems dispuesto en un circuito integrado y procedimiento de fabricacion correspondiente.

Publications (2)

Publication Number Publication Date
ES2342872A1 ES2342872A1 (es) 2010-07-15
ES2342872B1 true ES2342872B1 (es) 2011-05-30

Family

ID=42290099

Family Applications (1)

Application Number Title Priority Date Filing Date
ES200901282A Expired - Fee Related ES2342872B1 (es) 2009-05-20 2009-05-20 Chip que comprende un mems dispuesto en un circuito integrado y procedimiento de fabricacion correspondiente.

Country Status (5)

Country Link
US (1) US20100295138A1 (es)
EP (1) EP2432728A2 (es)
ES (1) ES2342872B1 (es)
SG (1) SG176093A1 (es)
WO (1) WO2010145907A2 (es)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8945970B2 (en) * 2006-09-22 2015-02-03 Carnegie Mellon University Assembling and applying nano-electro-mechanical systems
US20100181847A1 (en) * 2009-01-22 2010-07-22 Shen-Yu Huang Method for reducing supply voltage drop in digital circuit block and related layout architecture
US20120090393A1 (en) 2010-06-18 2012-04-19 Baolab Microsystems Sl Unstable electrostatic spring accelerometer
US8722445B2 (en) 2010-06-25 2014-05-13 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
WO2012017117A1 (es) * 2010-07-23 2012-02-09 Baolab Microsystems Sl Antenas vibrantes de mems cmos y aplicaciones de las mismas
TW201234527A (en) * 2010-11-19 2012-08-16 Baolab Microsystems Sl Methods and systems for fabrication of MEMS CMOS devices
US20120194286A1 (en) 2011-02-01 2012-08-02 Baolab Microsystems Sl Methods and systems for mems cmos devices having arrays of elements
US8580691B2 (en) 2011-04-14 2013-11-12 Robert Bosch Gmbh Method of forming non-planar membranes using CMP
US8426289B2 (en) 2011-04-14 2013-04-23 Robert Bosch Gmbh Wafer with spacer including horizontal member
US8673756B2 (en) 2011-04-14 2014-03-18 Robert Bosch Gmbh Out-of-plane spacer defined electrode
US8647930B2 (en) 2011-04-14 2014-02-11 Robert Bosch Gmbh Wafer with recessed plug
US8906730B2 (en) 2011-04-14 2014-12-09 Robert Bosch Gmbh Method of forming membranes with modified stress characteristics
US8643140B2 (en) * 2011-07-11 2014-02-04 United Microelectronics Corp. Suspended beam for use in MEMS device
WO2013014321A2 (es) 2011-07-25 2013-01-31 Baolab Microsystems Sl Métodos y sistemas para dispositivos mems (sistemas microelectromecánicos) cmos (semiconductor complementario de óxido metálico) que incluyen una brújula de múltiples hilos
MY164500A (en) * 2011-07-25 2017-12-29 Mimos Berhad A piezoresistive accelerometer
US8581400B2 (en) * 2011-10-13 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Post-passivation interconnect structure
WO2013068633A2 (es) 2011-11-11 2013-05-16 Baolab Microsystems Sl Métodos y sistemas para filtros de radiofrecuencia basados en cmos de mems que tienen conjuntos ordenados de elementos
US20130129922A1 (en) * 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US9613914B2 (en) 2011-12-07 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Post-passivation interconnect structure
FR2988712B1 (fr) 2012-04-02 2014-04-11 St Microelectronics Rousset Circuit integre equipe d'un dispositif de detection de son orientation spatiale et/ou d'un changement de cette orientation.
DE102012213313B4 (de) 2012-07-30 2020-11-12 Robert Bosch Gmbh Mikromechanische Struktur
US9556016B2 (en) 2012-08-20 2017-01-31 Robert Bosch Gmbh Capacitive MEMS sensor and method
US10160632B2 (en) 2012-08-21 2018-12-25 Robert Bosch Gmbh System and method for forming a buried lower electrode in conjunction with an encapsulated MEMS device
US10183857B2 (en) 2012-08-21 2019-01-22 Robert Bosch Gmbh MEMS pressure sensor with multiple membrane electrodes
DE102012221509A1 (de) 2012-11-23 2014-05-28 Robert Bosch Gmbh Integriertes Bauelement und Verfahren zu dessen Herstellung
TWI537546B (zh) * 2013-03-07 2016-06-11 碩英股份有限公司 微機電結構製作方法
US9319799B2 (en) * 2013-03-14 2016-04-19 Robert Bosch Gmbh Microphone package with integrated substrate
US9469522B2 (en) 2013-03-15 2016-10-18 Robert Bosch Gmbh Epi-poly etch stop for out of plane spacer defined electrode
WO2014171896A1 (en) 2013-04-19 2014-10-23 Agency For Science, Technology And Research Electromechanical device and method of fabricating the same
FR3005045A1 (fr) * 2013-04-25 2014-10-31 Commissariat Energie Atomique Structure microelectromecanique et/ou nanoelectromecanique a facteur de qualite ajustable
US9254997B2 (en) * 2013-08-29 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS-MEMS integrated flow for making a pressure sensitive transducer
TWI508914B (zh) * 2013-10-11 2015-11-21 Pixart Imaging Inc 具有增強結構強度之微機電元件
SG10201408532SA (en) * 2013-12-19 2015-07-30 Agency Science Tech & Res Method For Thin Film Encapsulation (TFE) Of A Microelectromechanical System (MEMS) Device And The MEMS Device Encapsulated Thereof
US9449867B2 (en) 2014-06-17 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. VHF etch barrier for semiconductor integrated microsystem
US9535137B2 (en) 2014-08-22 2017-01-03 Ams International Ag Membrane based magnetometer
DE102015011596A1 (de) 2014-09-15 2016-03-17 Martin Göppl Quantenelektronisches Bauteil und System sowie Verfahren zur Herstellung mindestens eines quantenelektronischen Bauteils
US10247554B2 (en) * 2014-09-24 2019-04-02 The Regents Of The University Of California Fully balanced micro-machined inertial sensor
CN105731353A (zh) * 2014-12-12 2016-07-06 立锜科技股份有限公司 微机电装置
US10854761B1 (en) * 2015-03-30 2020-12-01 Southern Methodist University Electronic switch and active artificial dielectric
US10168391B2 (en) * 2015-06-23 2019-01-01 Infineon Technologies Ag Multi-functional interconnect module and carrier with multi-functional interconnect module attached thereto
DE102015217426A1 (de) * 2015-09-11 2017-03-16 Zf Friedrichshafen Ag Mehrfunktionale Hochstromleiterplatte
US10373884B2 (en) * 2016-03-31 2019-08-06 Samsung Electronics Co., Ltd. Fan-out semiconductor package for packaging semiconductor chip and capacitors
US9837485B2 (en) * 2016-04-05 2017-12-05 International Business Machines Corporation High-density MIM capacitors
US10436607B2 (en) 2016-09-16 2019-10-08 Apple Inc. Motion sensing using hall voltage signals
US10859620B2 (en) * 2017-04-04 2020-12-08 The Charles Stark Draper Laboratory, Inc. Miniature electric field detector
US11525870B2 (en) 2017-10-05 2022-12-13 The Charles Stark Draper Laboratory, Inc. Electromagnetic gradiometers
US10483344B1 (en) 2018-04-26 2019-11-19 International Business Machines Corporation Fabrication of a MIM capacitor structure with via etch control with integrated maskless etch tuning layers
US10998278B2 (en) * 2019-03-29 2021-05-04 Texas Instruments Incorporated Process and method for achieving high immunity to ultrafast high voltage transients across inorganic galvanic isolation barriers
CN110968975B (zh) * 2019-11-29 2022-03-04 电子科技大学 一种单粒子辐照效应仿真方法
US11279611B2 (en) * 2019-12-16 2022-03-22 Taiwan Semiconductor Manufacturing Company Limited Micro-electro mechanical system device containing a bump stopper and methods for forming the same
TW202142481A (zh) 2020-01-08 2021-11-16 西班牙商奈努勝公司 使用一固態半導體製程之後段製程金屬層建立之微機電裝置
CN113493185A (zh) * 2020-03-19 2021-10-12 研能科技股份有限公司 微流体致动器的制造方法
TWI724826B (zh) * 2020-03-19 2021-04-11 研能科技股份有限公司 微流體致動器之製造方法
JP2022127753A (ja) * 2021-02-22 2022-09-01 株式会社東芝 センサ
CN113321180B (zh) * 2021-05-31 2023-05-16 成都海威华芯科技有限公司 一种mems滤波器制作方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4900395A (en) * 1989-04-07 1990-02-13 Fsi International, Inc. HF gas etching of wafers in an acid processor
DE4418207C1 (de) * 1994-05-25 1995-06-22 Siemens Ag Thermischer Sensor/Aktuator in Halbleitermaterial
JP3863652B2 (ja) * 1997-12-19 2006-12-27 テキサス インスツルメンツ インコーポレイテツド 可変長コードの整列化装置
US6657832B2 (en) * 2001-04-26 2003-12-02 Texas Instruments Incorporated Mechanically assisted restoring force support for micromachined membranes
US7426067B1 (en) * 2001-12-17 2008-09-16 Regents Of The University Of Colorado Atomic layer deposition on micro-mechanical devices
US6624003B1 (en) * 2002-02-06 2003-09-23 Teravicta Technologies, Inc. Integrated MEMS device and package
US20030210799A1 (en) * 2002-05-10 2003-11-13 Gabriel Kaigham J. Multiple membrane structure and method of manufacture
KR20050083929A (ko) * 2002-11-19 2005-08-26 바오랍 마이크로시스템스 에스.엘. 소형화된 전기-광학 장치 및 대응하는 용도
US6943448B2 (en) * 2003-01-23 2005-09-13 Akustica, Inc. Multi-metal layer MEMS structure and process for making the same
DE10319136B4 (de) * 2003-04-28 2008-06-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallisierungsschicht mit einer mit Stickstoff angereicherten Barrierenschicht mit kleinem ε
US20040222527A1 (en) * 2003-05-06 2004-11-11 Dostalik William W. Dual damascene pattern liner
US6936491B2 (en) * 2003-06-04 2005-08-30 Robert Bosch Gmbh Method of fabricating microelectromechanical systems and devices having trench isolated contacts
US7075160B2 (en) * 2003-06-04 2006-07-11 Robert Bosch Gmbh Microelectromechanical systems and devices having thin film encapsulated mechanical structures
US20070272529A1 (en) * 2004-04-19 2007-11-29 Baolab Microsystems S.L. Integrated Circuit With Analog Connection Matrix
JP2007538434A (ja) 2004-05-18 2007-12-27 バオラブ マイクロシステムズ エス エル 電磁信号放射及び/または受信装置及びその集積回路
EP1747611A2 (en) 2004-05-19 2007-01-31 Baolab Microsystems S.L. Regulator circuit and corresponding uses
US7365016B2 (en) * 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
EP1843971B1 (en) * 2005-02-04 2016-04-13 Imec Method for encapsulating a device in a microcavtiy
JP4724488B2 (ja) * 2005-02-25 2011-07-13 日立オートモティブシステムズ株式会社 集積化マイクロエレクトロメカニカルシステム
US8071486B2 (en) * 2005-07-18 2011-12-06 Teledyne Dalsa Semiconductor Inc. Method for removing residues formed during the manufacture of MEMS devices
JP4489651B2 (ja) * 2005-07-22 2010-06-23 株式会社日立製作所 半導体装置およびその製造方法
EP1777721A1 (en) * 2005-10-18 2007-04-25 Seiko Epson Corporation Micro-electromechanical switch, method of manufacturing an integrated circuit including at least one such switch, and an integrated circuit
ES2259570B1 (es) * 2005-11-25 2007-10-01 Baolab Microsystems S.L. Dispositivo para la conexion de dos puntos de un circuito electrico.
US7518493B2 (en) * 2005-12-01 2009-04-14 Lv Sensors, Inc. Integrated tire pressure sensor system
US7382515B2 (en) * 2006-01-18 2008-06-03 Qualcomm Mems Technologies, Inc. Silicon-rich silicon nitrides as etch stops in MEMS manufacture
US7446352B2 (en) * 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7767484B2 (en) * 2006-05-31 2010-08-03 Georgia Tech Research Corporation Method for sealing and backside releasing of microelectromechanical systems
US7824098B2 (en) * 2006-06-02 2010-11-02 The Board Of Trustees Of The Leland Stanford Junior University Composite mechanical transducers and approaches therefor
US7563633B2 (en) * 2006-08-25 2009-07-21 Robert Bosch Gmbh Microelectromechanical systems encapsulation process
US8945970B2 (en) * 2006-09-22 2015-02-03 Carnegie Mellon University Assembling and applying nano-electro-mechanical systems
JP2008114354A (ja) * 2006-11-08 2008-05-22 Seiko Epson Corp 電子装置及びその製造方法
US20080119001A1 (en) * 2006-11-17 2008-05-22 Charles Grosjean Substrate contact for a mems device
US7749789B2 (en) * 2008-03-18 2010-07-06 Solid-State Research, Inc. CMOS-compatible bulk-micromachining process for single-crystal MEMS/NEMS devices
JP2010162629A (ja) * 2009-01-14 2010-07-29 Seiko Epson Corp Memsデバイスの製造方法

Also Published As

Publication number Publication date
WO2010145907A3 (en) 2011-05-19
SG176093A1 (en) 2011-12-29
ES2342872A1 (es) 2010-07-15
US20100295138A1 (en) 2010-11-25
EP2432728A2 (en) 2012-03-28
WO2010145907A2 (en) 2010-12-23

Similar Documents

Publication Publication Date Title
ES2342872B1 (es) Chip que comprende un mems dispuesto en un circuito integrado y procedimiento de fabricacion correspondiente.
US6232150B1 (en) Process for making microstructures and microstructures made thereby
ES2768223T3 (es) Sistemas y métodos microelectromecánicos para encapsular y fabricar los mismos
US20210167100A1 (en) Printable device wafers with sacrificial layers
US8319312B2 (en) Devices for fabricating tri-layer beams
ES2297805T3 (es) Sustrato con union por metalizacion.
US7939932B2 (en) Packaged chip devices with atomic layer deposition protective films
US8871641B2 (en) Low resistance through-wafer via
US8193623B2 (en) Support with integrated deposit of gas absorbing material for manufacturing microelectronic, microoptoelectronic or micromechanical devices
US10598647B2 (en) Gas sensor and manufacturing method of the same
US20080073766A1 (en) System for manufacturing microelectronic, microoptoelectronic or micromechanical devices
US20160244325A1 (en) Multi-pressure mems package
US20080188025A1 (en) Semiconductor device manufacturing method
JP2004535938A (ja) インサイチュ・キャップ及び集積回路装置用インサイチュ・キャップの製造方法
CN100565849C (zh) 用于装纳干燥剂的结构体、其制造方法及应用
JP2006024937A (ja) 半導体ヒータおよびその製造方法
WO2012066178A2 (es) Métodos y sistemas para la fabricación de dispositivos de cmos de mems en diseños de nodo inferior
US9725301B2 (en) Structures and formation methods of micro-electro mechanical system device
US7846815B2 (en) Eutectic flow containment in a semiconductor fabrication process
JP2014086447A (ja) 電子装置及びその製造方法
US20190119105A1 (en) MEMS Method and Structure
CN110709350A (zh) 利用ALGe的共晶键合
JP2009072845A (ja) 半導体デバイスの製造方法
US20060110842A1 (en) Method and apparatus for preventing metal/silicon spiking in MEMS devices
ES2326812T3 (es) Procedimiento para la fabricacion de dispositivos que requieren un material desgasificador para su operacion.

Legal Events

Date Code Title Description
EC2A Search report published

Date of ref document: 20100715

Kind code of ref document: A1

FG2A Definitive protection

Ref document number: 2342872

Country of ref document: ES

Kind code of ref document: B1

Effective date: 20110530

FD2A Announcement of lapse in spain

Effective date: 20180924