DE10392412T5 - Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung - Google Patents

Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung Download PDF

Info

Publication number
DE10392412T5
DE10392412T5 DE10392412T DE10392412T DE10392412T5 DE 10392412 T5 DE10392412 T5 DE 10392412T5 DE 10392412 T DE10392412 T DE 10392412T DE 10392412 T DE10392412 T DE 10392412T DE 10392412 T5 DE10392412 T5 DE 10392412T5
Authority
DE
Germany
Prior art keywords
interlayer insulating
film
organic
semiconductor device
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE10392412T
Other languages
English (en)
Inventor
Koichi Takeuchi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Publication of DE10392412T5 publication Critical patent/DE10392412T5/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Abstract

Verfahren zur Herstellung einer Halbleitervorrichtung, enthaltend:
einen Schritt zum Niederschlagen von organisch-basierten Zwischenschicht-Isolationsfilmen (4, 6);
einen Schritt zur Bildung eines Öffnungsbereiches in den organisch-basierten Zwischenschicht-Isolationsfilmen (4, 6) und einen Schritt zur Ausführung einer Silylierung für eine Umgestaltung eines Wandoberflächenbereiches der organisch-basierten Zwischenschicht-Isolationsfilme (4, 6), die in dem genannten Öffnungsbereich freigelegt sind.

Description

  • Technisches Gebiet
  • Die vorliegende Erfindung bezieht sich auf ein Verfahren zur Herstellung einer Halbleitervorrichtung, enthaltend einen Schritt zur Bildung eines Öffnungsteiles in bzw. auf einem organisch-basierten Zwischenschicht-Isolationsfilm, in welchem die relative dielektrische Leitfähigkeit geringer gemacht werden kann als jene im Falle eines anorganischen Isolationsmaterials, sowie auf eine Halbleitervorrichtung mit einer Verdrahtungs- bzw. Leitungsstruktur einer sogenannten Damaszenenstruktur.
  • Hintergrund-Technik
  • Aufgrund der Anforderungen an eine Halbleiterschaltung bei einer höheren Geschwindigkeit mit geringerem Leistungsverbrauch ist Kupfer als Verdrahtungs- bzw. Leitungsmaterial verwendet worden. Da es schwierig ist, eine Ätzung auf Kupfer auszuführen, sind das Doppel-Damaszener- bzw. Doppel-Damaszenen-Verfahren zur Bildung von Leitergräben und Durchgangslöcher in einem Zwischenschicht-Isolationsfilm, sodann das Vergraben von Kupfer darin zu einer Zeit in weitem Umfang angewandt worden. Das Doppel-Damaszenen-Verfahren wird grob in einen ersten Durchgangstyp zum Gravieren bzw. Einätzen eines Durchgangsstiftes zuerst und eines ersten Grabentyps zum Gravieren bzw. Einätzen eines Leitungsgrabens zuerst unterteilt.
  • Unten wird ein Verfahren zur Bildung eines ersten Durchgangstyps der Doppel-Damaszenenstruktur erläutert werden.
  • 1 bis 8 sind Schnittansichten, die ein Verfahren zur Bildung einer konventionellen Doppel-Damaszenenstruktur vom ersten Durchgangstyp zeigen. Es sei darauf hingewiesen, dass in diesen Zeichnungen der Fall der weiteren Bildung eines Durchgangslochs und einer Leiterschicht zusammen auf einer Leitungs- bzw. Leiterschicht, jedoch der Grundprozess derselbe ist wie im Falle der Bildung eines Durchgangslochs und einer Leiter- bzw. Verdrahtungsschicht auf einem Halbleitersubstrat.
  • Wie in 1 gezeigt, sind auf einem ersten Zwischenschicht-Isolationsfilm 101, der bereits mit einer Leiterschicht 102 gebildet ist, ein Ätz-Stoppfilm 103, ein zweiter Zwischenschicht-Isolationsfilm 104, ein Ätz-Stoppfilm 105, ein dritter Zwischenschicht-Isolationsfilm 106 und ein harter Maskenfilm 107 in der Reihenfolge gestapelt.
  • Wie in 2 dargestellt, sind durch Anwendung einer Lithographietechnik und einer Trockenätztechnik der harte Maskenfilm 107, der dritte Zwischenschicht-Isolationsfilm 106, der Ätz-Stoppfilm 105 und der zweite Zwischenschicht-Isolationsfilm 104 teilweise geätzt, bis der Ätz-Stoppfilm 103 als unterste Schicht freigelegt ist, so dass ein Durchgangsloch VH gebildet ist.
  • Wie in 3 gezeigt, überzieht ein Ätz-Stoppharz 108 die gesamte Oberfläche und ist in dem Durchgangsloch VH versenkt bzw. vergraben. Zu diesem Zeitpunkt sind die Seitenwände des Durchgangslochs VH gänzlich mit dem Harz 108 überzogen.
  • Wie in 4 gezeigt, ist eine Abdeckung bzw. ein Abdeckmittel R aufgebracht, und ein grabenförmiges Leitungsmuster RP wird darauf durch Anwendung einer Lithographietechnik übertragen.
  • Wie in 5 gezeigt, werden durch Verwendung des Abdeckmittels R als Maske das auf die obere Fläche und die Seitenwände des Durchgangslochs VH dünn aufgebrachte Harz 108, der harte Maskenfilm 107 und der dritte Zwischenschicht-Isolationsfilm 106 einer Trockenätzung unterzogen, so dass ein Leitungsmustergraben CG eingeätzt ist.
  • Zu diesem Zeitpunkt verbleibt ein Harz 108b am Boden des Durchgangslochs VH und wirkt als Stopper, wenn eine Ätzung in bzw. auf der harten Maske 107 und dem dritten Zwischenschicht-Isolationsfilm 106 ausgeführt wird, um so zu verhindern, dass eine untere Leitungsschicht (oder ein Substrat) des Durchgangslochs VH infolgedessen beschädigt wird, dass die Ätz-Stoppschicht 103 vergraben ist. Die Ätz-Stoppschicht 103 ist normalerweise dünn. Deshalb ist die Ätz-Stoppschicht 103 unzureichend als Stopper, wenn ein Ätzen auf bzw. in der harten Maskenschicht 107 und der dritten Zwischenschicht-Isolationsschicht 106 ausgeführt wird, und ein Ätzstopper, bestehend aus dem Harz 108b, ist erforderlich.
  • Anschließend werden, wie in 6 gezeigt, das Abdeckmittel R und die Harze 108a und 108b durch Sauerstoff-Verascherung entfernt.
  • Wie in 7 dargestellt, wird eine Gesamt-Trockenätzung ausgeführt, um freigelegte Bereiche der Ätz-Stoppbereiche 103 und 105 zu entfernen. Zu diesem Zeitpunkt wird ein Teil einer oberen Fläche der harten Maskenschicht 107 abrasiert bzw. abgetragen, und eine dünnere harte Maskenschicht 107' bleibt zurück.
  • An den Innenwänden des Durchgangslochs VH und des Leitergrabens CG sind eine Schutz-Metallschicht 109 und eine Kupferüberzugs-Keimschicht dünn gebildet, und Kupfer 110 ist durch ein Überzugsverfahren vergraben. Danach wird überschüssiges Kupfer auf der oberen Fläche durch Anwendung des CMP- (chemisch-mechanischen Polier-)-Verfahrens entfernt. Zu dieser Zeit wirkt die harte Maskenschicht 107' als Polierstopper beim CMP-Schritt des Kupfers. Die harte Maskenschicht 107' wird schließlich in einem CMP-Schritt unter einer Bedingung bzw. einem Zustand entfernt, die bzw. der verschieden ist von jenem im Falle von Kupfer.
  • Aus obigem ist, wie in 8 dargestellt, eine Doppel-Damaszenenstruktur einer Kupferverdrahtung bzw. -leitung, bestehend aus der Schutz-Metallschicht 109 und Kupfer 110, abgeschlossen.
  • Zum Zwecke der Verringerung einer Leitungsverzögerung ist eine organisch-basierte Schicht relativ niedriger Dielektrizitätskonstante für einen Zwischenschicht-Isolationsfilm vorgeschlagen worden.
  • Wenn eine organisch-basierte Schicht für bzw, als die zweiten und dritten Zwischenschicht-Isolationsfilme 104 und 106 verwendet wird, dann ändern sich jedoch mit Rücksicht darauf, dass das vergrabene Harz 108 und das Abdeckmittel bzw. die Abdeckschicht R ebenfalls organisch basierte Schichten sind, ein Durchgangsloch-Innenwandbereich der organisch-basierten zweiten und dritten Zwischenschicht-Isolationsfilme 104 und 106 in der Qualität oder korrodieren in Schritten des Abschälens des vergrabenen Harzes 108 und der Abdeckschicht R in 5 und 6. Daher kann beim Schritt in 8 die Barriere- bzw. Schutz-Metallschicht 109 nicht vorzugsweise auf dem Durchgangsloch-Innenwandbereich gebildet werden. Infolgedessen diffundiert das Kupfer 110 in die zweiten und dritten Zwischenschicht-Isolationsfilme 104 und 106, wenn das Kupfer 110 vergraben ist, oder es entsteht ein Leerraum im Kupfer 110, das in dem Durchgangsloch VH vergraben ist, was zum Absinken der elektrischen Charakteristiken einer Vorrichtung führt.
  • Wenn eine Korrosionsmenge der Zwischenschicht-Isolationsfilme 104 und 106 groß ist, entsteht außerdem eine Vielfalt von Problemen, so dass bei dem Lithographieschritt ein Linienbreiten-Fehler auftritt, ein Abstand zwischen der Leitung und einer anderen Leitung nicht gewährleistet werden kann und davon ein Ausrichtungsfehler entsteht.
  • Offenbarung der Erfindung
  • Eine Aufgabe der vorliegenden Erfindung besteht darin, ein Verfahren zur Herstellung einer Halbleitervorrichtung, das einen Schritt einschließt, der imstande ist, einen bereits gebildeten Öffnungsbereich eines organisch-basierten Zwischenschicht-Isolationsfilms zu schützen, und eine Halbleitervorrichtung bereitzustellen.
  • Ein Verfahren zur Erzeugung einer Halbleitervorrichtung gemäß einem ersten Aspekt der vorliegenden Erfindung besteht darin, die obige Aufgabe zu erzielen bzw. das obige Ziel zu erreichen, und es enthält einen Schritt zur Aufbringung bzw. Niederschlagung von organisch-basierten Zwischenschicht-Isolationsfilmen, einen Schritt zur Bildung eines Öffnungsbereiches in den organisch-basierten Zwischenschicht-Isolationsfilmen und einen Schritt zur Silylierung, um einen Wandstrukturbereich der in dem Öffnungsbereich freiliegenden organisch-basierten Zwischenschicht-Isolationsfilme umzugestalten.
  • Vorzugsweise ist ferner ein Schritt zur Bildung von Schutzschichten eingeschlossen, die ein anorganisch-basiertes Isolationsmaterial auf einer silylierten Oberfläche der Öffnungsbereichswandfläche enthalten.
  • Außerdem ist vorzugsweise ein Schritt zur Bildung einer organisch-basierten Substanz in einem Zustand der Bildung mit dem Öffnungsbereich und der Entfernung der organisch-basierten Substanz zumindest aus dem Öffnungsbereich nach der Silylierung eingeschlossen.
  • Ferner wird bzw. ist vorzugsweise eine poröse organische Isolationsschicht als organisch-basierte Zwischenschicht-Isolationsfilme gebildet.
  • Ein Verfahren zur Herstellung einer Halbleitervorrichtung gemäß einem zweiten Aspekt der vorliegenden Erfindung besteht darin, die obigen Aufgaben bzw. Ziele zu erreichen, und es stellt ein Verfahren zur Herstellung einer Halbleitervorrichtung dar, die einen Schritt zur Bildung eines Öffnungsbereiches in organisch-basierten Zwischenschicht-Isolationsfilmen umfasst, einen Schritt zum Aufbringen bzw. Niederschlagen organisch-basierter Zwischenschicht-Isolationsfilme einschließt, die ein Silylierungsmittel enthalten, einen Schritt zur Bildung eines Öffnungsbereiches in den organisch-basierten Zwischenschicht-Isolationsfilmen und einen Schritt zur Bildung von Schutzschichten umfassen, bestehend aus einem anorganisch-basierten Zwischenschicht-Isolationsmaterial auf einer inneren Wandfläche des Öffnungsbereiches, der ein Silylierungsmittel enthält.
  • Entsprechend dem Verfahren zur Herstellung einer Halbleitervorrichtung gemäß den ersten und zweiten Aspekten schreitet sogar in dem Fall, dass nach der Bildung eines Öffnungsbereiches in einem organisch-basierten Zwischenschicht-Isolationsfilm andere organisch-basierte Materialien in den Öffnungsbereich eindringen und dabei ein Schritt zur Beseitigung derselben vorhanden ist, die Ätzung eines organisch-basierten Zwischenschicht-Isolationsmaterials in dem Öffnungsbereich-Innenwandteil nicht fort, der in der Qualität durch Silylierung des organisch-basierten Materials verändert ist. Wenn beispielsweise ein nicht silyliertes Abdeckmittel in einem nachfolgenden Fotolack- bzw. Fotoresist-Prozess entfernt wird, ist der Öffnungsbereich durch den silylierten Bereich geschützt, und dessen Form verformt sich nicht.
  • Wenn eine poröse organisch-basierte Isolationsschicht bzw. ein poröser organisch-basierter Isolationsfilm als organisch-basierter Zwischenschicht-Isolationsfilm verwendet wird, diffundiert ein Silylierungsmittel leicht. Wenn ein Silylierungsmittel in einem Zwischenschicht-Isolationsfilm von Anfang an enthalten ist, wird außerdem der Silylierungsschritt unnötig.
  • Nach einem Herstellungsverfahren gemäß der vorliegenden Erfindung kann lediglich durch Hinzufügung eines einfachen Silylierungsschrittes ein Öffnungsbereich, nachdem dieser in einem organisch-basierten Zwischenschicht-Isolationsfilm gebildet ist, in einem Schritt zur Entfernung eines anderen organisch-basierten Materials geschützt werden, wie dies oben erläutert ist. Daher kann die Mustergenauigkeit hoch gehalten werden, wenn ein organisch-basierter Zwischenschicht-Isolationsfilm verarbeitet wird, der eine niedrigere relative Dielektrizitätskonstante aufweist als jene eines anorganisch-basierten Isolationsmaterials. Wenn ein leitendes Material in dem Öffnungsbereich vergraben ist, kann außerdem das leitende Material bevorzugt vergraben werden. Infolgedessen wird die Einführung eines organisch-basierten Zwischenschicht-Isolationsfilms einfach, und es kann leicht bzw. ohne weiteres eine Halbleitervorrichtung mit einer höheren Geschwindigkeit bei geringerem Leistungsverbrauch im Vergleich zu einer Halbleitervorrichtung realisiert werden, die einen anorganisch-basierten Zwischenschicht-Isolationsfilm aufweist.
  • Eine Halbleitervorrichtung gemäß einem dritten Aspekt der vorliegenden Erfindung besteht darin, die obige Aufgabe bzw. das obige Ziel zu erreichen, und sie umfasst zwei organisch-basierte Zwischenschicht-Isolationsfilme, die übereinander gestapelt sind, wobei ein Durchgangsloch in einem Unterschicht-Zwischenschicht-Isolationsfilm gebildet ist und wobei ein Leitungsgraben, der mit dem Durchgangsloch verbunden ist, in einem Oberschicht-Zwischenschicht-Isolationsfilm der bei den organisch-basierten Zwischenschicht-Isolationsfilme gebildet ist, und sie weist eine Leitungskonfiguration auf, bei der ein leitendes Material in dem Leitungsgraben und dem Durchgangsloch vergraben ist, wobei ein Innenwandbereich des Durchgangslochs eines Unterschicht-Zwischenschicht-Isolationsfilms der beiden Zwischenschicht-Isolationsfilme mit einer ein silyliertes Molekül enthaltenden Schicht und einer Schutzschicht versehen ist, die aus einer anorganisch-basierten Isolationssubstanz besteht, welche in bzw. an einem Durchgangsloch-Innenwand-Flächenbereich der das silylierte Molekül enthaltenden Schicht gebildet ist.
  • In dieser Halbleitervorrichtung wird die Form nicht deformiert, da eine ein silyliertes Molekül enthaltende Schicht und eine Schutzschicht auf einem Durchgangsloch-Innenwandbereich des Unterschicht-Zwischenschicht-Isolationsfilms gebildet sind. Infolgedessen ist ein leitendes Material bevorzugt vergraben, und eine Lücke bzw. Leerstelle, etc. tritt nicht auf. Wenn eine Vielzahl einer derartigen Leitungsstruktur vorhanden ist, dann wird außerdem zwischen Leitungen oder ein gegenseitiger Abstand zwischen den Leitungen und einem Durchgangslochbereich konstant gehalten.
  • Kurze Beschreibung von Zeichnungen
  • 1 ist eine Schnittansicht nach Bildung einer harten Maskenschicht bei der Bildung einer konventionellen Doppel-Damaszenenstruktur eines ersten Durchgangstyps.
  • 2 ist eine Schnittansicht nach Bildung eines Durchgangslochs bei der Bildung einer konventionellen Doppel-Damaszenenstruktur eines ersten Durchgangstyps.
  • 3 ist eine Schnittansicht nach Vergraben einer organisch-basierten Substanz bei der Bildung einer konventionellen Doppel-Damaszenenstruktur eines ersten Durchgangstyps.
  • 4 ist eine Schnittansicht nach Bildung eines Abdeckmittels mit einem Leitungsgrabenmuster bei der Bildung einer konventionellen Doppel-Damaszenenstruktur eines ersten Durchgangstyps.
  • 5 ist eine Schnittansicht nach Bildung eines Leitungsgrabens bei der Bildung einer konventionellen Doppel-Damaszenenstruktur eines ersten Durchgangstyps.
  • 6 ist eine Schnittansicht nach Entfernen eines Abdeckmittels bzw. einer Abdeckschicht und eines Harzes bei der Bildung einer konventionellen Doppel-Damaszenenstruktur eines ersten Durchgangstyps.
  • 7 ist eine Schnittansicht nach Entfernen eines Teiles einer Ätz-Stoppschicht bei der Bildung einer konventionellen Doppel-Damaszenenstruktur eines ersten Durchgangstyps.
  • 8 ist eine Schnittansicht nach Ausführen des CMP-Prozesses von Kupfer bei der Bildung einer konventionellen Doppel-Damaszenenstruktur eines ersten Durchgangstyps.
  • 9 ist eine Schnittansicht einer Leitungsstruktur einer Halbleitervorrichtung gemäß einer Ausführungsform gemäß der Erfindung.
  • 10 ist eine Schnittansicht nach Bildung einer harten Maskenschicht bei der Herstellung einer Halbleitervorrichtung gemäß einer ersten Ausführungsform der vorliegenden Erfindung.
  • 11 ist eine Schnittansicht nach Bildung eines Durchgangslochs bei der Herstellung einer Halbleitervorrichtung gemäß einer ersten Ausführungsform der vorliegenden Erfindung.
  • 12 ist eine Schnittansicht nach Silylierung bei der Herstellung einer Halbleitervorrichtung gemäß einer ersten Ausführungsform der vorliegenden Erfindung.
  • 13 ist eine Schnittansicht nach Bildung einer Schutzschicht bei der Herstellung einer Halbleitervorrichtung gemäß einer ersten Ausführungsform der vorliegenden Erfindung.
  • 14 ist eine Schnittansicht nach Bildung einer Abdeckschicht mit einem Leitungs-Grabenmuster bei der Herstellung einer Halbleitervorrichtung gemäß einer ersten Ausführungsform der vorliegenden Erfindung.
  • 15 ist eine Schnittansicht nach Entfernen eines Teiles einer organisch-basierten Antireflexionsschicht bei der Herstellung einer Halbleitervorrichtung gemäß einer ersten Ausführungsform der vorliegenden Erfindung.
  • 16 ist eine Schnittansicht nach Entfernen eines Teiles einer harten Maskenschicht bei der Herstellung einer Halbleitervorrichtung gemäß einer ersten Ausführungsform der vorliegenden Erfindung.
  • 17 ist eine Schnittansicht nach Bildung eines Leitungsgrabens bei der Herstellung einer Halbleitervorrichtung gemäß einer ersten Ausführungsform der vorliegenden Erfindung.
  • 18 ist eine Schnittansicht nach Entfernen einer Ätz-Stoppschicht bei der Herstellung einer Halbleitervorrichtung gemäß einer ersten Ausführungsform der vorliegenden Erfindung.
  • 19 ist eine Schnittansicht nach Bildung einer Schutzschicht bei der Herstellung einer Halbleitervorrichtung gemäß einer zweiten Ausführungsform der vorliegenden Erfindung.
  • 20 ist eine Schnittansicht nach Bildung eines Leitungsgrabens bei der Herstellung einer Halbleitervorrichtung gemäß einer zweiten Ausführungsform der vorliegenden Erfindung.
  • 21 ist eine Schnittansicht nach Ausführen des CMP-Prozesses bezüglich Kupfer bei der Herstellung ei ner Halbleitervorrichtung gemäß einer zweiten Ausführungsform der vorliegenden Erfindung.
  • Beste Ausführungsform zur Ausführung der Erfindung
  • [Erste Ausführungsform]
  • 9 ist eine Schnittansicht einer Leitungsstruktur einer Halbleitervorrichtung gemäß einer Ausführungsform der vorliegenden Erfindung. Hier wird der Fall der weiteren Bildung eines Leitungsmusters einer Doppel-Damaszenenstruktur auf einer Leitungsschicht als Beispiel beschrieben, bei dem ein Durchgangsloch und eine Leitungsschicht integriert sind.
  • Ein leitendes Material ist in einem ersten Zwischenschicht-Isolationsfilm 1 vergraben, und eine Leitungsschicht 2 einer unteren Ebene ist gebildet. Auf dem ersten Zwischenschicht-Isolationsfilm 1 sind der Reihe nach ein Ätz-Stoppfilm 3, ein zweiter Zwischenschicht-Isolationsfilm 4, ein Ätz-Stoppfilm 5, ein dritter Zwischenschicht-Isolationsfilm 6 und ein harter Maskenfilm bzw. eine harte Maskenschicht 7 gestapelt.
  • In dem Ätz-Stoppfilm 3 und dem zweiten Zwischenschicht-Isolationsfilm 4 wird bzw. ist ein Durchgangsloch gebildet. Das Durchgangsloch besitzt ein Muster einer isolierten, angenäherten Kreisform oder eine kurze Grabenform von oben her betrachtet, und es ist zweckmäßigerweise in einem geforderten Bereich der langen Leitungsschicht 2 der unteren Ebene vorgesehen.
  • Ein Leitungsgraben, der eine Breite aufweist, die ein wenig breiter ist als das Durchgangsloch, ist auf dem Ätz-Stoppfilm bzw. der Ätz-Stoppschicht 5 und dem dritten Zwischenschicht-Isolationsfilm 6 gebildet. Der Leitungsgraben ist so gebildet, dass er ein bestimmtes Muster ist, welches über das Durchgangsloch verläuft.
  • Eine Trenn-Metallschicht 9 ist auf einer Innenwand des Leitungsgrabens und des Durchgangslochs gebildet, und Kupfer 10 ist in dem Leitungsgraben und dem Durchgangsloch über der Trenn-Metallschicht 9 vergraben. Infolgedessen ist eine Doppel-Damaszenenstruktur gebildet.
  • In der Doppel-Damaszenenstruktur der vorliegenden Ausführungsform bestehen insbesondere der zweite Zwischenschicht-Isolationsfilm 4 und der dritte Zwischenschicht-Isolationsfilm beide aus einem organisch-basierten Zwischenschicht-Isolationsmaterial, vorzugsweise aus einem organisch-basiertem Isolationsmaterial, welches eine niedrigere relative Dielektrizitätskonstante aufweist als jene eines normalen anorganischen Zwischenschicht-Isolationsmaterials, wie Siliziumdioxid.
  • Ferner werden als charakteristischer Punkt der vorliegenden Erfindung insbesondere eine silylierte Schicht oder eine Silylierungsmittel-Diffusionsschicht 4a und eine Schutzschicht 4b, die aus einem anorganisch-basierten Isolationsmaterial besteht, welches durch Reaktion einer Oberfläche der silylierten Schicht erhalten wird, auf einem Durchgangsloch-Seitenflächenteil des zweiten Zwischenschicht-Isolationsfilmes 4 als untere Schicht gebildet. Als Material der Schutzschicht 4b kann Siliziumoxid, das durch Reaktion der silylierten Schicht oder der Silylierungsmittel-Diffusionsschicht 4a mit Sauerstoff erzeugt wird, als Beispiel erwähnt werden.
  • Es sei darauf hingewiesen, dass gemäß einem später erläuterten Beispiel eines Herstellungsverfahrens eine silylierte Schicht oder eine Silylierungsmittel-Diffusionsschicht und eine Schutzschicht ebenfalls in einem Loch gebildet werden, wenn ein in dem dritten Zwischenschicht-Isolationsfilm 6 gebildetes Durchgangsloch in derselben Weise gebildet wird wie im Falle der Innenwände, wobei sie jedoch entfernt werden, wenn ein Leitungsgraben gebildet wird, und in einer vervollständigten Doppel-Damaszenenstruktur nicht erscheinen.
  • Der Grund für die Bereitstellung der Schutzschicht 4b wird bei einem später erläuterten Herstellungsverfahren erläutert werden.
  • Anschließend wird unter Bezugnahme auf die Zeichnungen ein Verfahren zur Bildung der Doppel-Damaszenenstruktur erläutert werden.
  • 10 bis 18 sind Schnittansichten im Hinblick auf die Herstellung einer Halbleitervorrichtung gemäß der vorliegenden Ausführungsform.
  • Auf einem (nicht dargestellten) Halbleitersubstrat, welches mit einem Element gebildet ist, ist eine in einem ersten Zwischenschicht-Isolationsfilm 1 vergrabene Leitungsschicht 2 unterer Ebene entsprechend einer Förderung gebildet. Die Leitungsschicht 2 der unteren Ebene kann durch einen unten zu erläuternden Doppel-Damaszenenprozess gebildet werden; hier wird jedoch eine Ausführungsform der vorliegenden Erfindung auf einer darauf gebildeten Leitungsschicht erläutert werden.
  • Auf dem ersten Zwischenschicht-Isolationsfilm 1 sind ein Ätz-Stoppfilm 3, ein zweiter Zwischenschicht-Isolationsfilm 4, ein Ätz-Stoppfilm 5, ein dritter Zwischenschicht-Isolationsfilm 6 und ein harter Maskenfilm 7 in der Reihenfolge durch das CVD-(chemische Dampfniederschlagungs-)-Verfahren oder durch das Spin-Überzugsverfahren gebildet.
  • Als zweite und dritte Zwischenschicht-Isolationsfilme 4 und 6 ist ein organisch-basierter Zwischenschicht-Isolationsfilm mit einer niedrigen relativen Dielektrizitätskonstante vorzuziehen.
  • Als organisch-basierter Zwischenschicht-Isolationsfilm mit einer niedrigen relativen Dielektrizitätskonstante wird irgendein eine Methylgruppe enthaltender SiO2-Film, ein Poly imid-basierter Polymerfilm, ein Parylen-basierter Polymerfilm, ein Teflon-(registrierte Marke)-basierter Polymerfilm, ein Polyarylether-basierter Polymerfilm und ein amorpher Kohlenstofffilm, der mit Fluor dotiert ist, verwendet. Genauer gesagt kann als eine Methylgruppe enthaltendes SiO2 "LKD-T400" (Produktname), hergestellt von der JSR Corporation, verwendet werden. Als ein Polyarylether-basiertes Polymermaterial kann beispielsweise "SiLK" (Marke), hergestellt von der Dow Chemical Company, oder "FLARE" (Marke), hergestellt von Honeywell Electronic Materials, verwendet werden.
  • Als Material für die Ätz-Stoppfilme 3 und 5 und den harten Masken- bzw. Maskierungsfilm 7 wird ein Material, welches eine hohe Ätz-Selektivität aufweist für ein Zwischenschicht-Isolationsfilmmaterial, verwendet. Außerdem besitzt insbesondere der harte Maskenfilm 7 eine Rolle als Stopper des CMP-Prozesses (chemisch-mechanisches Polieren) von Kupfer, und ein Material davon wird außerdem durch Berücksichtigung des betreffenden Punktes ausgewählt.
  • Wenn beispielsweise ein Polyarylether-basiertes Harz als organisch-basiertes Isolationsmaterial niedriger relativer Dielektrizitätskonstante ausgewählt wird, wird Siliziumnitrid als Material der Ätz-Stoppfilme 3 und 5 und des harten Maskenfilms 7 vorgezogen.
  • Ein spezifisches Beispiel der Bildung der gestapelten Filme bzw. Schichten ist beispielsweise so, wie unten.
  • Zunächst wird ein SiN-Film mit um 50 nm oder so durch das CVD-Verfahren als ein Ätz-Stoppfilm 3 gebildet. Als zweiter Zwischenschicht-Isolationsfilm 4 wird ein Polyarylether-basiertes Harz, welches eine relative Dielektrizitätskonstante von 2,6 aufweist, durch Spin-Überziehen aufgebracht, und ein Lösungsmittel wird durch Erwärmen des Substrats auf 130°C für 90 Sekunden abgeführt, um eine End-Filmdicke von 350 nm zu erzielen. Außerdem wird das Substrat auf 300°C eine Stunde lang erwärmt, um den zweiten Zwischenschicht-Isolationsfilm 4 auszuhärten. Anschließend wird als Ätz-Stoppfilm 5 ein SiN-Film durch das CVD-Verfahren gebildet, um 50 nm oder so zu sein. Als dritter Zwischenschicht-Isolationsfilm 6 wird ein Polyarylether-basiertes Harz mit einer relativen Dielektrizitätskonstante von 2,6 in einem Spin-Überzug aufgebracht, und ein Lösungsmittel wird durch Erwärmen des Substrats auf 130°C für 90 Sekunden abgeführt, um die End-Filmdicke von 250 nm zu erzielen. Außerdem wird das Substrat auf 300°C für eine Stunde erwärmt, um den dritten Zwischenschicht-Isolationsfilm 6 auszuhärten. Schließlich wird als harter Maskenfilm 7 ein SiN-Film durch das CVD-Verfahren gebildet, um 120 nm oder so zu sein. Da bei diesem Beispiel der harte Maskenfilm 7 und der Ätz-Stoppfilm 5 dasselbe Material (SiN) sind, wird eine Dicke des harten Maskenfilms 7 so festgelegt, dass sie ein wenig dick ist, so dass eine hinreichende Filmdicke als Maske verbleibt, wenn ein Durchgangsloch gebildet wird, oder als harte Maske, wenn der CMP-Prozess von Kupfer sogar dann ausgeführt wird, wenn eine Ätz-Stoppfilmdicke abgezogen bzw. vermindert wird. Wenn die Dicke des Ätz-Stoppers 5 gegeben ist mit 50 nm, genügen 120 nm oder so für den harten Maskenfilm 7.
  • Wie in 11 veranschaulicht, ist in den gestapelten Filmen bzw. Schichten 3 bis 7 ein Durchgangsloch VH durch Anwendung einer Lithographietechnik und einer Trockenätztechnik gebildet.
  • Ein spezifisches Beispiel der Bildung des Durchgangslochs ist beispielsweise so, wie unten.
  • Ein organisch-basierter Antireflexionsfilm wird bzw. ist auf dem harten Maskenfilm 7 gebildet, und eine Azetal-basierte chemisch verstärkte Abdeckschicht wird darauf aufgebracht. So wird beispielsweise durch Anwendung einer KrF-Excimer-Laserbelichtungsvorrichtung ein Durchgangslochmuster auf die Abdeckschicht übertragen und für die Musterbildung entwickelt.
  • Wenn beispielsweise eine KrF-Excimer-Laserbelichtung angewandt wird, kann ein Loch mit einem Durchmesser von 180 nm bei Minimalabständen von 360 nm gebildet werden.
  • Danach werden durch reaktive Ionenätzung (RIE) unter Heranziehung des Abdeckschichtmusters als Maske der harte Maskenfilm 7, der dritte Zwischenschicht-Isolationsfilm 6, der Ätz-Stoppfilm 5 und der zweite Zwischenschicht-Isolationsfilm 4 kontinuierlich durch aufeinanderfolgendes Umschalten eines Ätzgases geätzt. So kann beispielsweise ein Mischgas aus CHF3, Ar und O2 verwendet werden, wenn eine Ätzung auf dem harten Maskenfilm 7 ausgeführt wird; ein Mischgas auf NH3 und H2 kann verwendet werden, wenn eine Ätzung auf dem dritten Zwischenschicht-Isolationsfilm 6 ausgeführt wird; ein Mischgas aus C5F8, CH2F2, Ar und O2 kann verwendet werden, wenn eine Ätzung auf dem Ätz-Stoppfilm 5 ausgeführt wird; und ein Mischgas auf NH3 und H2 kann verwendet werden, wenn eine Ätzung auf dem zweiten Zwischenschicht-Isolationsfilm 4 ausgeführt wird. Obwohl von einem Abdeckmittelmaterial und einer Überzugsbedingung abhängig, wenn eine Ätzung eines feinen Loches mit dem obigen Durchmesser und Abständen erfolgt, werden das Abdeckmittel und der organisch-basierte Antireflexionsfilm ebenfalls weggeätzt, wenn eine Ätzung auf dem dritten Zwischenschicht-Isolationsfilm 6 ausgeführt wird. Beim Ätzen nach dem Wegätzen der Abdeckschicht, etc. wirkt der harte Maskierungsfilm 7 als oberste Schicht als Ätzmaske.
  • Als Ergebnis wird ein Durchgangsloch VH gebildet.
  • Bei einem in 12 veranschaulichten Schritt wird eine silylierte Schicht oder eine silylierte Diffusionsschicht 4a auf einer freigelegten Oberfläche der zweiten und dritten Zwischenschicht-Isolationsfilme 4 und 6 gebildet.
  • Als Verfahren zur Silylierung gibt es einen Dampf-Silylierungs-Abdeckmittelprozess, durch den das Substrat, in dem das Durchgangsloch VH auf bzw. in dem organisch-basierten Zwi schenschicht-Isolationsfilmen 4 und 6 gebildet ist, dem Dampf eines Silylierungsmittels und einem Verfahren zur Dotierung desselben in einer Lösung ausgesetzt wird, die ein Silylierungsmittel enthält.
  • Bei dem Dampf-Silylierungs-Abdeckschichtprozess kann Dampf aus einem Silylierungsmittel von Hexamethyldisilazen (HMDS), Dimethylsylildimethylamine (DMSDMA), Trimethyldisilyzan (TMDS), Trimethyldimethylamin (TMSDMA), Dimethylaminotrimethylsilan (TMSDEA), Heptamethyldisilazan (HeptaMDS), Aryltrimethylsilan (ATMS), Hexamethyldisilan (HMD-Silan), bis[dimethylamino]dimethylsilan (B[DMA]MS), bis[dimethylamino]dimethylsilan (B[DMA]DS), Hexamethylcyclotrisilazan (HMCTS) oder Diaminosiloxan, etc. verwendet werden.
  • Außerdem kann als Lösung, die ein Silylierungsmittel enthält, beispielsweise eine Lösung verwendet werden, die dadurch erhalten wird, dass irgendeines der obigen Silylierungsmittel in einem Xylol, etc. gelöst wird und dass 2-Metyhlpyrrolidon als Reaktionskatalysator hinzugefügt wird.
  • Die organisch-basierten Zwischenschicht-Isolationsfilme 4 und 6 werden normalerweise auf eine hohe Temperatur erhitzt, um nicht Feuchtigkeit zu absorbieren, und sie werden einer Verarbeitung zur soweit wie möglichen Entfernung einer OH-Gruppe unterzogen. Aufgrund eines Erhitzungswiderstandsproblems kann die Wärmeverarbeitung jedoch nicht bei einer sehr hohen Temperatur durchgeführt werden, und eine OH-Gruppe wird normalerweise nicht vollständig entfernt. Da die Innenwände nach Bildung des Durchgangslochs VH einer Reinigungslösung nach dem Ätzen oder Luft ausgesetzt sind, wird bzw. ist eine OH-Gruppe häufig mit bzw. an einem Ende einer Polymerverbindung gebunden. Bei dem obigen Silylierungsprozess werden die OH-Gruppe und das Silylierungsmittel zur Reaktion und zur Bildung einer silylierten Schicht auf den Lochinnenwänden gebracht. Anders als die OH-Gruppe wird die silylierte Schicht in einigen Fällen auch durch Reaktion mit nicht gebundenen Seiten -O- von Sauerstoff auf der Oberfläche gebildet.
  • In diesem Sinne können zur Förderung der Silylierung die organisch-basierten Zwischenschicht-Isolationsfilme 4 und 6 auf eine niedrigere Temperatur erhitzt werden als die normale Temperatur, um ein Maß der Nicht-Verschlechterung der Leistung zu erreichen, oder es erfolgt eine Erhitzung bzw. eine Erwärmung lediglich während einer kürzeren Zeit als der normalen Zeit, um eine Rest-OH-Gruppe zu steigern.
  • Anders als die oben gebildete silylierte Schicht wird in einigen Fällen eine Silylierungsmittel-Diffusionsschicht erzeugt, die dadurch erhalten wird, dass ein Silylierungsmittel von der Silylierungsschicht oder eine ein silyliertes Polymer und ein diffundiertes Silylierungsmittel enthaltende Schicht diffundiert werden. In diesem Falle gibt eine in 12 mit den Bezugszeichen 4a und 6a bezeichnete Schicht insgesamt irgendeine der Schichten oder eine Schicht in einem unterschiedlichen Zustand an.
  • Ein spezifisches Beispiel einer Silylierung ist beispielsweise so, wie unten.
  • In einer Silylierungs-Verarbeitungskammer wird das Substrat, während es auf einer Heizplatte platziert und auf 250° erwärmt bzw. erhitzt wird, dem Dampf eines Silylierungsmittels, beispielsweise DMSDMA bei in die Kammer eingeführtem 75 Torr während 120 Sekunden ausgesetzt. Unter dieser Bedingung werden, wie in 12 veranschaulicht, gemischte Schichten 4a und 6a aus einem silylierten Polymer und einem diffundierten Silylierungsmittel mit einer Dicke von etwa 30 nm auf den freigelegten Loch-Innenwänden der organisch basierten zweiten und dritten Zwischenschicht-Isolationsfilme 4 und 6 gebildet.
  • Bei dem Verfahren, gemäß dem das Substrat dem Dampf eines Silylierungsmittels, wie oben, ausgesetzt wird, kann dieselbe Kammer wie jene, die bei der HMDS-Verarbeitung genutzt wird, zur Verbesserung des Haftvermögens vor Aufbringen der Abdeckschicht benutzt werden. Demgemäß kann die Silylierung ohne weiteres durch die Vorrichtungskonfiguration eines konventionellen Überzieh-Entwicklers, etc., wie sie ist, oder durch Verwendung von einer Einrichtung realisiert werden, die dadurch erhalten wird, dass eine Einheit einem Teil davon hinzugefügt wird.
  • Außerdem kann bei dem Verfahren zur Dotierung des Substrats in einer silylierten Lösung eine allgemein verwendete Stapel- oder eine einzige bzw. einzelne chemische Wafer-Verarbeitungsvorrichtung verwendet werden. Demgemäß kann die Silylierung ohne weiteres durch Ableitung von einer konventionellen Vorrichtung realisiert werden.
  • Bei einem in 13 veranschaulichten Schritt werden Oberflächenbereiche bzw. -teile der silylierten Schicht oder der mit einem Silylierungsmittel diffundierten Schichten 4a und 6a beispielsweise in Siliziumoxid umgewandelt, um Schutzschichten 4b und 6b zu bilden. Wenn die Schutzschichten 4b und 6b aus Siliziumoxid bestehen, genügt es, wenn lediglich das Substrat dem Oxidplasma ausgesetzt wird, und eine normalerweise benutzte Trockenveraschungsvorrichtung und Trocken-Ätzvorrichtung können verwendet werden. Wenn das Substrat einem Oxidplasma ausgesetzt wird, ist es vorzuziehen, eine Verarbeitung dadurch auszuführen, dass die Oxidplasmaenergie niedrig auf ein gewisses Maß festgelegt wird, so dass Flächen der silylierten Schicht oder der Silylierungsmittel-Diffusionsschichten 4a und 6a nicht spratzen bzw. zerstäuben.
  • Ein spezifisches Beispiel zur Bildung der Schutzschicht ist beispielsweise so, wie unten.
  • Durch Anwendung einer transfergekoppelten Plasma-Ätzvorrichtung als Trockenätzvorrichtung wird eine Sauerstoff-Plasmaverarbeitung auf dem Substrat ausgeführt. Eine Bedingung zu diesem Zeitpunkt ist beispielsweise, dass das Substrat bei –10°C während 20 Sekunden einem Sauerstoff-Plasma, welches unter einer O2-Gasströmungsmenge von 30 cm3/min (sccm-Standard – Kubikzentimeter pro Minute; übliche Einheit einer Gasströmung in einer Halbleitervorrichtung; 1 cm3 Gas pro Minute bei 0°C und bei atmosphärischem Druck) erzeugt wird, einem Druck von 5 mTorr, der oberen HF-Leistung von 20 W und der unteren HF-Leistung von 5W ausgesetzt wird. Infolgedessen reagieren silylierte Moleküle oder ein Silylierungsmittel mit Sauerstoff, und Siliziumoxidschichten 4b und 6b werden in einer Dicke von etwa 8 nm auf den Lochinnenwandflächen der zweiten und dritten Zwischenschicht-Isolationsfilme 4 und 6 gebildet, wie in 13 veranschaulicht. Bei einem in 14 dargestellten Schritt wird bzw. ist ein organischer Film bzw. eine organische Schicht 8 für einen Ätzschutz eines Durchgangsloch-Bodenbereiches zuerst gebildet.
  • Als organischer Film 8 kann ein organisch-basierter Antireflexionsfilm verwendet werden. In diesem Falle genügt es, wenn eine Vergrabungshöhe in dem Durchgangsloch-Bodenbereich zur Zeit eines Spin-Überziehens des organisch-basierten Antireflexionsfilmes 8 geringer ist als eine Höhe des Ätz-Stoppfilms 5 in der Mitte, und die Seitenwände des Durchgangslochs im oberen Bereich sind vorzugsweise leicht bzw. dünn mit dem organisch-basierten Antireflexionsfilm 8 überzogen.
  • Ein Abdeckmittelmuster R für einen Leitungsgraben wird kontinuierlich gebildet.
  • Ein spezifisches Beispiel zur Bildung eines Abdeckmittels ist beispielsweise so, wie unten.
  • Ein chemisch verstärkter Negativlack R wird in einer Dicke von 530 nm oder so auf dem organisch-basierten Antireflexionsfilm 8 aufgebracht, und ein Leitungs-Grabenmuster wird durch eine KrF-Eximer-Laserbelichtungsvorrichtung übertragen und entwickelt. Infolgedessen wird auf einem oberen Bereich des harten Maskenfilms 7 ein Abdeckmittel R aus einem Leitungs-Grabenmuster mit derselben Breite oder ein wenig breiter als ein Durchgangslochdurchmesser gebildet. Hier beträgt eine minimale Breite des Leitungs-Grabenmusters 180 nm, was dasselbe ist wie der Durchgangsloch-Durchmesser, und die Minimalabstände sind 360 nm.
  • Wenn von dem Leitungsbreitenstandard und dem Ausrichtungsstandard in einem Lithographieschritt eines Leitungsgrabens abgewichen wird, werden der organisch-basierte Antireflexionsfilm 8 und das Abdeckmittel R abgeschält, und ein organisch-basierter Antireflexionsfilm und das Abdeckmittel werden erneut überzogen. Wenn der organisch-basierte Antireflexionsfilm 8 und das Abdeckmittel R abgeschält werden, erfolgt eine Reinigung mit einer Reinigungslösung nach einer Sauerstoffplasma-Veraschung.
  • Bei der Sauerstoffplasma-Veraschung wird beispielsweise eine Abwärtsströmungs-Veraschungsvorrichtung verwendet, O2 (eine Strömungsmenge: 1700 cm3/min) und ein Mischgas (eine Strömungsmenge: 400 cm3/min) aus H2 und N2 als Puffergas werden in eine Kammer unter einem Gasdruck von 1,5 Torr eingeströmt, um eine Verarbeitung mit einer HF-Leistung von 1700 W bei einer Substrattemperatur von 200°C während 90 Sekunden auszuführen. Zu diesem Zeitpunkt werden bzw. sind die Endflächen im Loch der zweiten und dritten Zwischenschicht-Isolationsfilme 4 und 6 durch die Schutzschichten 4b und 6b geschützt.
  • Ein allgemein angewandtes RCA-Reinigungsverfahren wird bei dem Reinigungsprozess danach angewandt, und es werden beispielsweise eine SC-1-Reinigungslösung (eine Mischlösung aus NH4OH, H2O2 und H2O) und eine SC-2-Reinigungslösung (eine Mischlösung aus HCl, H2O2 und H2O) verwendet.
  • Bei einem in 15 veranschaulichten Schritt wird eine Ätzung auf bzw. in dem organisch-basierten Antireflexionsfilm 8 unter Heranziehung der gebildeten Abdeckschicht R als Maske ausgeführt. Zu diesem Zeitpunkt wird ein organisch-basierter Antireflexionsfilmbereich, der von der Mitte bis zum oberen Bereich der Innenwände der Durchgangslöcher VH dünn aufgebracht ist, entfernt, und der organisch-basierte Antireflexionsfilm 8 wird in einen Bereich 8a unmittelbar unterhalb der Abdeckschicht R und in einem Bereich 8b am Durchgangsloch-Bodenbereich aufgeteilt.
  • Bei einem anschließenden, in 16 veranschaulichten Schritt wird eine Trockenätzung unter Heranziehung der Abdeckschicht R ausgeführt, um einen Teil des harten Maskenfilms 7 zu entfernen, der zu dem Leitungsgrabenmuster freiliegt. Wenn der harte Maskenfilm 7 aus Siliziumnitrid besteht, wird bei der Trockenätzung ein Mischgas aus CHF3, Ar und O2 verwendet.
  • In diesem Zustand wird eine Trockenätzung zur Bildung eines Leitungsgrabens durch Einschalten eines Ätzgases ausgeführt.
  • Ein spezifisches Beispiel der Ätzung ist beispielsweise so, wie unten.
  • Zunächst wird eine Ätzung durch Anwendung eines Mischgases aus C5F8, Ar und O2 ausgeführt, um die Schutzschicht (Siliziumoxidfilm) 6b auf bzw. an dem Lochinnenwandbereich des dritten Zwischenschicht-Isolationsfilms 6 und einer Mischschicht 6a aus silyliertem Polymer und einem diffundierten Silylierungsmittel zu ätzen. Durch Umschalten auf ein Ätzgas aus einem organisch-basierten Isolationsmaterial wird fortwährend eine Ätzung durch Nutzung der Abdeckschicht R als Maske ausgeführt, um das Leitungsgrabenmuster auf den dritten Zwischenschicht-Isolationsfilm 6 zu übertragen. Die Abdeckschicht bzw, das Abdeckmittel R und der organisch-basierte Antireflexionsfilm 8a bestehen aus demselben organisch-basierten Material wie der dritte Zwischenschicht-Isolationsfilm 6, so dass, obwohl eine Abhängigkeit von einer Filmdicke der Abdeckschicht und einer Tiefe des Leitungsgrabens vorhan den ist, diese Schichten bzw. Filme R und 8a normalerweise entfernt werden, wenn eine Ätzung auf dem dritten Zwischenschicht-Isolationsfilm 6 ausgeführt wird. Nach Entfernen des Abdeckmittels R wirkt der Ätz-Stoppfilm 5 in der Mitte als Schutzschicht des Durchgangslochs VH. Ein Abschnitt nach dem Ätzen ist in 17 veranschaulicht.
  • Es sei darauf hingewiesen, dass dann, wenn das Abdeckmittel R nicht weggeätzt wird, wenn das Ätzen auf dem dritten Zwischenschicht-Isolationsfilm 6 ausgeführt wird, oder in dem Fall, dass die Steuerbarkeit eines Ätzendpunktes so hoch ist, dass eine Form des Durchgangslochs VH sich während des Ätzens oder des vorherigen Ätzens der Schutzschicht 6b, etc. nicht verformt, der Ätz-Stopper 5 in der Mitte unnötig wird bzw. ist, und ein Bildungsschritt davon beim Schritt in 10 weggelassen werden kann. Wenn der organisch-basierte Antireflexionsfilmbereich 8b in dem Durchgangsloch-Bodenbereich sogar ein wenig beim Ätzendpunkt verbleibt, wie in 17 veranschaulicht, kann außerdem der Ätz-Stoppfilm 3 der untersten Schicht ebenfalls weggelassen werden. Umgekehrt kann dann, wenn der unterste Ätz-Stoppfilm 3 hinreichend dick ist, ein Schritt zum Vergraben einer organischen Substanz eines Antireflexionsfilmes, etc. weggelassen werden.
  • Bei dem dargestellten Beispiel mit den Ätz-Stoppfilmen 3 und 5 ist ein in 18 dargestellter Schritt erforderlich. Es werden nämlich ein Teil bzw. Bereich des Ätz-Stoppfilmes 3 an der Durchgangsloch-Bodenfläche und ein Teil des Ätz-Stoppfilmes 5 an der Leitungsgraben-Bodenfläche durch die Gesamtätzung entfernt.
  • Ein spezifisches Beispiel der Gesamtätzung ist so, wie beispielsweise unten.
  • Wenn die Ätz-Stoppfilme 3 und 5 aus Siliziumnitrid bestehen, wird eine Gesamtätzung (Rückätzung) durch Verwendung eines Mischgases aus C5F8, CH2F2, Ar und O2 ausgeführt, um die Ätz- Stoppfilme 3 und 5 in dem Durchgangsloch oder in dem Leitungsgraben zu entfernen. Zu diesem Zeitpunkt vermindert sich eine Dicke des harten Maskenfilms 7, der aus demselben Material besteht, und er wird zu einem dünneren Film 7' als der ursprüngliche Film.
  • Sodann werden nach Reinigen des Substrats eine Barrieren- bzw. Trenn-Metallschicht und ein Kupferplattierungs-Keimfilm auf den Innenwänden des Durchgangslochs und des Leitungsgrabens gebildet, und Kupfer wird in dem Durchgangsloch und in dem Leitungsgraben zu einem Zeitpunkt durch Anwendung einer Überziehtechnik vergraben. Sodann wird durch Anwendung der CMP-Technik bzw. des CMP-Verfahrens überschüssiges Kupfer auf der oberen Oberfläche entfernt. Zu diesem Zeitpunkt wirkt der harte Maskenfilm 7' als Endpunktstopper des CPM. Danach ist durch Entfernen des harten Maskenfilms 7' die Doppel-Damaszenen-Kupferleitungsstruktur, die in 9 veranschaulicht ist, fertig gestellt.
  • Es sei darauf hingewiesen, dass in dem Fall, dass die Steuerbarkeit des CPM-Endpunkts von Kupfer hoch ist, sogar ohne dass der harte Maskenfilm 7' und die Abdeckschicht nicht weggeätzt werden bzw. sind, wenn ein Ätzen des in 11 gezeigten Durchgangslochs ausgeführt wird und ein Ätzen des in 17 dargestellten Leitungsgrabens ausgeführt wird, der harte Maskenfilm 7' von Anfang an weggelassen werden kann.
  • Da bei der vorliegenden Ausführungsform die Durchgangsloch-Innenwandbereiche der zweiten und dritten Zwischenschicht-Isolationsfilme 4 und 6 silyliert sind, um die Schutzschichten 4b und 6b zu bilden, werden sogar in dem Fall, dass die zweiten und dritten Zwischenschicht-Isolationsfilme 4 und 6 aus einem organisch-basierten Isolationsmaterial mit einer niedrigen relativen Dielektrizitätskonstante bestehen, die Durchgangsloch-Innenwände bei einem Schritt des Abschälens einer Abdeckschicht oder eines andere organisch-basierten Materials und dem Ätzen eines anderen organisch basierten Iso lationsmaterials nicht angegriffen. Somit besteht ein Vorteil darin, dass eine bevorzugte Lochform bis zum Ende aufrechterhalten werden kann. Daher kann die Trenn-Metallschicht 9 vorzugsweise gebildet werden; das Kupfer 10 diffundiert nicht in die Zwischenschicht-Isolationsfilme 4 und 6, wenn das Kupfer 10 vergraben ist, und eine Leerstelle des Kupfers 10 tritt in dem Durchgangslochbereich nicht auf. Ferner wird zwischen den Leitungen oder ein gegenseitiger Abstand zwischen der Leitung und dem Durchgangslochbereich konstant gehalten. Infolgedessen werden die elektrischen Charakteristiken einer Halbleitervorrichtung unter Verwendung der Mehrschicht-Leitungsstruktur bevorzugt.
  • Da der Silylierungsschritt lediglich das Substrat gegenüber dem Dampf oder eine Lösung eines Silylierungsmittels freilegt, kann eine konventionelle Verarbeitungsvorrichtung so, wie sie ist, oder durch teilweises Verändern angewandt werden, so dass dies keine starke Steigerung von Kosten im Prozess hervorruft.
  • Durch Kombinieren der Doppel-Damaszenen-Kupferleitungsstruktur und eines organisch-basierten Zwischenschicht-Isolationsfilms mit einer niedrigen relativen Dielektrizitätskonstante kann ohne weiteres eine hochintegrierte Halbleitervorrichtung, die bei einer hohen Geschwindigkeit mit niedrigem Leistungsverbrauch arbeitet, unter niedrigen Kosten hergestellt werden.
  • [Zweite Ausführungsform]
  • Als ein modifiziertes Beispiel einer ersten Ausführungsform kann der mit einem Durchgangsloch ausgebildete zweite Zwischenschicht-Isolationsfilm 4 aus einem organisch-basierten Isolationsmaterial gebildet sein.
  • Bei dem in 10 veranschaulichten Schritt ist anstatt des zweiten Zwischenschicht-Isolationsfilms 4, der aus einem or ganisch-basierten Isolationsmaterial gebildet ist, ein zweiter Zwischenschicht-Isolationsfilm gebildet, der aus einem anorganisch-basierten Isolationsmaterial, beispielsweise aus Siliziumoxid besteht. Der anorganisch-basierte zweite Zwischenschicht-Isolationsfilm wird in der nachstehenden Erläuterung und in den Zeichnungen mit dem Bezugszeichen 40 bezeichnet.
  • Ein Durchgangsloch VH wird bzw. ist dadurch gebildet, dass von einem organisch-basierten Ätzzustand in einen anorganisch-basierten Ätzzustand in derselben Weise wie in 11 umgeschaltet wird und dass eine Silylierung des organisch-basierten Zwischenschicht-Isolationsfilmes und die Bildung einer Schutzschicht in nachfolgenden Schritten ausgeführt werden, wie in 12 und 13 veranschaulicht.
  • 19 ist eine Schnittansicht nach der Bildung einer Schutzschicht bei der zweiten Ausführungsform.
  • Da der zweite Zwischenschicht-Isolationsfilm 40 anorganisch basiert ist, ist er nicht silyliert, so dass auch keine Schutzschicht gebildet ist. Da ein Material des zweiten Zwischenschicht-Isolationsfilmes 40 selbst ein anorganisch basiertes Material ist, welches kaum korrodiert wird bzw. ist, wenn eine Ätzung auf einem organisch basierten Material ausgeführt wird, ist die Bildung eines Schutzfilmes bzw. einer Schutzschicht nicht erforderlich. Andererseits werden auf den Durchgangsloch-Innenwänden des organisch basierten dritten Zwischenschicht-Isolationsfilmes 6 eine silylierte Schicht oder eine silylierte Agens-Diffusionsschicht 6a und eine Schutzschicht 6b in derselben Weise gebildet wie bei der ersten Ausführungsform.
  • Nachstehend werden in derselben Weise wie bei der ersten Ausführungsform ein Schritt des Vergrabens des Durchgangsloches aus einem organischen Material (beispielsweise eines organisch-basierten Antireflexionsfilms) und ein Schritt zur Bil dung eines Leitungsgrabens ausgeführt, und Kupfer wird in dem Durchgangsloch und dem Leitungsgraben zu einer Zeit vergraben, um die Kupferleitungsstruktur zu vervollständigen.
  • 20 ist eine Schnittansicht nach der Bildung des Leitungsgrabens. 21 ist eine Schnittansicht der vervollständigten Kupferleitungsstruktur.
  • Bei der zweiten Ausführungsform werden bzw. sind die silylierte Schicht oder die Silylierungsmittel-Diffusionsschicht 6a und die Schutzschicht 6b lediglich auf der Seite des dritten Zwischenschicht-Isolationsfilms 6 als obere Schicht gebildet; sie werden jedoch entfernt, wenn ein Ätzen in dem Leitungsgraben (20) ausgeführt wird, und sie erscheinen nicht auf der kompletten bzw. vervollständigten Leitungsstruktur (21).
  • Da die Lochseitenwände auf der Seite des dritten Zwischenschicht-Isolationsfilmes 6 als einer oberen Schicht teilweise bei der vorliegenden Ausführungsform geschützt sind, liegt jedoch ein Vorteil darin, dass eine Form eines Loch-Oberbereiches sich sogar dann nicht verformt, wenn die Bildung einer Abdeckschicht zur Zeit der Lithographie eines Leitungsgrabens in irgendeiner Anzahl von Malen wiederholt wird. Insbesondere im Falle der Aufbringung einer randlosen Kontaktstruktur, bei der eine Leitungsgrabenmusterbreite und ein Durchgangslochdurchmesser darunter nahezu gleich sind, wenn eine Form eines Loch-Oberteiles durch Abschälen einer Abdeckschicht, etc. verformt wird, führt dies unmittelbar zu einer Leitungsmusterverformung. Bei der vorliegenden Erfindung kann jedoch mit Rücksicht darauf, dass die Lochinnenwände des dritten Zwischenschicht-Isolationsfilmes 6 durch die Schutzschicht 6b bis zu einem geforderten Punkt geschützt sind, ein Problem einer Musterverformung als solche effektiv verhindert werden.
  • Insbesonders die Verhinderung einer Musterverformung in einem Durchgangslochbereich ist wirksam zur Steuerung von Schwankungen zwischen den Endverdrahtungen oder einem gegenseitigen Abstand zwischen der Verdrahtung bzw. Leitung und dem Durchgangsloch, und eine Leerstelle beim Vergraben von Kupfer wird ein Problem in einem Durchgangslochbereich mit einem kleinen Durchmesser. Daher können dieselben Wirkungen bzw. Effekte wie bei der ersten Ausführungsform lediglich dadurch erzielt werden, dass die Durchgangsloch-Innenwände des Zwischenschicht-Isolationsfilmes 4 als einer unteren Schicht wie bei der vorliegenden Ausführungsform geschützt werden.
  • Andererseits besteht hinsichtlich der Verringerung einer Kapazität zwischen Leitungen der dritte Zwischenschicht-Isolationsfilm 6 aus einem organisch-basierten Isolationsmaterial mit einer niedrigen relativen Dielektrizitätskonstante bei der vorliegenden Ausführungsform; dabei gibt es Vorteile, dass zumindest eine Koppelkapazität zwischen Leitungen verringert werden kann und dass eine Halbleitervorrichtung mit einer hohen Geschwindigkeit bei niedrigem Leistungsverbrauch im Vergleich zu dem Fall der Verwendung lediglich eines anorganischen Zwischenschicht-Isolationsfilms bevorzugt hergestellt werden kann.
  • [Dritte Ausführungsform]
  • Wenn bei den obigen ersten und zweiten Ausführungsformen die organisch-basierten Zwischenschicht-Isolationsfilme aus einem porösen Film bestehen, wird die Diffusion eine Silylierungsmittels beschleunigt, und eine silylierte Schicht oder eine Silylierungsmittel-Diffusionsschicht kann ohne weiteres gebildet werden.
  • Ein spezifisches Beispiel zur Bildung des porösen Filmes ist so, wie unten.
  • Als dritter Zwischenschicht-Isolationsfilm 6 (und zweiter Zwischenschicht-Isolationsfilm 4) wird, wie in 10 veranschaulicht, ein Polyarylether-basiertes Harz eines porösen Typs verwendet. Da dort eine Anzahl von Leerstellen vorhanden ist, diffundiert ein Silylierungsmittel leicht beim Silylierungsschritt, wie in 12 veranschaulicht, und an den Lochinnenwänden werden eine stabilere Silylierungsmittel-Diffusionsschicht, eine silylierte Schicht und ein Siliziumoxidfilm (Schutzschicht) gebildet.
  • Ein Zwischenschicht-Isolationsfilm aus einem Polyarylether-basierten Harz eines porösen Typs wird durch Ausführen eines Spin-Überziehens eines flüssigen Materials, welches durch Auflösen eines Polyarylether-basierten Polymers und eines organischen Olygomers in einem Lösungsmittel erhalten wird, auf einem Substrat erzielt, wobei das Abführen des Lösungsmittels durch Erwärmen des Substrats auf 130°C während 90 Sekunden erfolgt, und wobei sodann die Erwärmung des Substrats bei 300°C während einer Stunde oder so zum Aushärten erfolgt. Wenn eine Erwärmung zum Aushärten erfolgt, wird das organische Olygomer pyrolysiert, und es wird eine große Anzahl von feinen Leerstellen gebildet.
  • Bei der anschließenden Silylierungsverarbeitung wird das Substrat, während es auf einer Heizplatte in der Kammer platziert und auf 250°C erwärmt wird, dem Dampf eines Silylierungsmittels DMSDMA ausgesetzt, welches in die Kammer durch eine bzw. in einer Strömungsmenge von 50 Torr während genau 90 Sekunden einströmt. Infolgedessen wird eine gemischte Schicht aus silylierten Molekülen und einem diffundierten Silylierungsmittel, die dicker ist als jene in der ersten Ausführungsform, beispielsweise 30 nm, auf einem Lochinnenwandbereich eines organisch-basierten Zwischenschicht-Isolationsfilmes gebildet.
  • Danach wird in derselben Weise wie bei der ersten Ausführungsform eine Schutzschicht, die aus Siliziumoxid besteht, durch eine Oxid-Plasmaverarbeitung gebildet.
  • [Vierte Ausführungsform]
  • Bei den oben erläuterten ersten und zweiten Ausführungsformen können jene, denen ein Silylierungsmittel gänzlich in dem organisch-basierten Zwischenschicht-Isolationsfilm zuerst hinzugefügt sind, verwendet werden. Aufgrund dieses Umstandes wird der Silylierungsschritt, der in 12 veranschaulicht ist, unnötig.
  • Ein spezifisches Beispiel zur Bildung eines ein Silylierungsmittel enthaltenden organisch-basierten Zwischenschicht-Isolationsfilms ist so, wie unten.
  • Wenn der dritte Zwischenschicht-Isolationsfilm 6 (und der zweite Zwischenschicht-Isolationsfilm 4), wie in 10 veranschaulicht, gebildet werden, wird ein flüssiges Material, welches durch Auflösen eines Polyarylether-basierten Polymers und DMSDMA als Silylierungsmittel mit 10 Gewichtsprozent oder so in einem Lösungsmittel durch einen Spin-Überzug auf eine Oberfläche aufgebracht, um mit einem organischen Isolationsfilm gestapelt zu werden; das Substrat wird bei 130°C während 90 Sekunden erwärmt, um das Lösungsmittels abzuführen, und sodann wird das Substrat bei 300°C während einer Stunde zur Aushärtung erwärmt. Als Ergebnis wird ohne weiteres ein organisch-basierter Zwischenschicht-Isolationsfilm gebildet, der ein Silylierungsmittel enthält. Ein Gehalt des Silylierungsmittels wird bestimmt, so dass die relative Dielektrizitätskonstante des organisch-basierten Isolationsmaterials nicht sehr hoch wird.
  • Der organisch-basierte Zwischenschicht-Isolationsfilm enthält ein Silylierungsmittel oder er ist teilweise silyliert, so dass eine Silylierungsverarbeitung weggelassen werden kann.
  • Danach kann ohne weiteres in derselben Weise wie bei der ersten Ausführungsform allein dadurch, dass das Substrat einem Sauerstoffplasma ausgesetzt wird, eine Schutzschicht, bestehend aus Siliziumoxid, auf den Lochinnenwänden gebildet werden.
  • Bei den obigen ersten bis vierten Ausführungsformen ist der Fall der Bildung einer Doppel-Damaszenenstruktur-Leitungsschicht auf einer Leitungsschicht mittels der Zeichnungen erläutert; die betreffenden Ausführungsformen können jedoch in derselben Weise auf den Fall der Bildung einer Doppel-Damaszenenstruktur-Leitungsschicht auf dem Substrat angewandt werden.
  • Auch die Ätz-Stoppfilme 3 und 5 und die harten Maskenfilme 7 und 7' können in Abhängigkeit von dem Fall, wie oben erläutert, weggelassen werden. Es sei darauf hingewiesen, dass der Ätz-Stoppfilm 5 in der Mitte vorzugsweise so weit wie möglich hinsichtlich einer leichten Steuerbarkeit eines Trocken-Ätzens bereitgestellt wird.
  • Überdies ist ein in der Durchgangsloch-Bodenfläche zu vergrabendes organisches Material nicht auf ein Antireflexionsmaterial beschränkt. So kann beispielsweise im Falle der Anwendung eines Mehrschicht-Abdeckmittelprozesses unter Verwendung eines unteren Schichtfilmes und einer Si enthaltenen Abdeckschicht oder des unteren Schichtfilmes, eines SOG (Spin auf Glas) und eines oberen Schichtabdeckmittels beim Fotolithographieschritt zur Bildung eines Leitungsgrabens der untere Schichtfilm auf dem Durchgangsloch-Bodenbereich belassen werden. Wenn eine Trockenätzung auf dem unteren Schichtfilm ausgeführt wird, kann nämlich ein Teil des unteren Films auf dem Loch-Unterbereich belassen und als Trocken-Ätzstopper genutzt werden.
  • Außerdem wurde bei den obigen vier Ausführungsformen eine aus Siliziumoxid bestehende Schutzschicht dadurch gebildet, dass beim Silylierungsschritt einem Sauerstoffplasma ausgesetzt wurde; dies ist jedoch lediglich ein Beispiel, und eine Schutzschicht, die beispielsweise aus Siliziumnitrid besteht, kann dadurch gebildet werden, das sie einem Nitridplasma oder einem Nitridradikal ausgesetzt wird.
  • Anders als oben kann eine Vielfalt von Modifikationen im Rahmen der vorliegenden Erfindung vorgenommen werden.
  • Zusammenfassung
  • Ein Verfahren zur Herstellung einer Halbleitervorrichtung, bei dem verhindert ist, dass eine bereits gebildete Öffnungsbereich-Innenwand eines organisch-basierten Zwischenschicht-Isolationsfilmes sich in der Qualität ändert oder korrodiert, wenn eine Ätzung auf einem anderen organischen Material ausgeführt wird. Das Herstellungsverfahren umfasst einen Schritt zur Niederschlagung bzw. Ablagerung von organisch-basierten Zwischenschicht-Isolationsfilmen (4, 6), einen Schritt zur Bildung einer Öffnung in den organisch-basierten Zwischenschicht-Isolationsfilmen (4, 6) und einen Schritt der Silylierung eines Wandflächenbereiches der organisch-basierten Zwischenschicht-Isolationsfilme (4, 6), die in dem Öffnungsbereich zur Umbildung freigelegt sind (die Bildung von umgebildeten Schichten (4a, 6a) durch Silylierung). Ein bevorzugteres Herstellungsverfahren umfasst ferner einen Schritt zur Bildung von Schutzschichten (4b, 6b), die ein anorganisch-basiertes Isolationsmaterial auf einer Oberfläche der silylierten Öffnungsbereichs-Wandfläche enthalten.
  • 1
    erster Zwischenschicht-Isolationsfilm
    2
    Leitungsschicht untere Ebene
    3, 5
    Ätz-Stoppfilm
    4
    zweiter Zwischenschicht-Isolationsfilm
    4a
    silylierte Schicht, silylierte Diffusionsschicht
    oder gemischte Schicht
    4b
    Siliziumoxidschicht (Schutzschicht)
    6
    dritter Zwischenschicht-Isolationsfilm
    6a
    silylierte Schicht, silylierte Diffusionsschicht
    oder gemischte Schicht
    6b
    Siliziumoxidschicht (Schutzschicht)
    7, 7'
    harter Maskenfilm
    8, 8a, 8b
    organisch-basierter Antireflexionsfilm (organischer
    Film)
    9
    Trenn-Metallschicht
    10
    Kupfer
    40
    zweiter Zwischenschicht-Isolationsfilm
    R
    Abdeckschicht
    VH
    Durchgangsloch

Claims (20)

  1. Verfahren zur Herstellung einer Halbleitervorrichtung, enthaltend: einen Schritt zum Niederschlagen von organisch-basierten Zwischenschicht-Isolationsfilmen (4, 6); einen Schritt zur Bildung eines Öffnungsbereiches in den organisch-basierten Zwischenschicht-Isolationsfilmen (4, 6) und einen Schritt zur Ausführung einer Silylierung für eine Umgestaltung eines Wandoberflächenbereiches der organisch-basierten Zwischenschicht-Isolationsfilme (4, 6), die in dem genannten Öffnungsbereich freigelegt sind.
  2. Verfahren zur Herstellung einer Halbleitervorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass ferner ein Schritt zur Bildung von Schutzschichten (4b, 6b) eingeschlossen ist, die ein anorganisch basiertes Isolationsmaterial auf einer Oberfläche der betreffenden Öffnungsbereich-Wandoberfläche enthalten, welche einer Silylierung ausgesetzt ist bzw. worden ist.
  3. Verfahren zur Herstellung einer Halbleitervorrichtung nach Anspruch 2, dadurch gekennzeichnet, dass die Innenwandfläche des genannten Öffnungsbereiches, die silylierte Moleküle infolge einer Silylierung enthält, zum Schutz der Innenwand des Öffnungsbereiches in einem Schritt zur Bildung der genannten Schutzfilme (4b, 6b) einem Oxidplasma zur Bildung eines Siliziumoxidfilms ausgesetzt wird.
  4. Verfahren zur Herstellung einer Halbleitervorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass ferner ein Schritt zur Bildung einer organisch-basierten Substanz in einem Zustand der Bildung mit dem genannten Öffnungsbereich und zur Entfernung der organisch-basierten Substanz zumindest von dem Öffnungsbereich nach der genannten Silylierung eingeschlossen ist.
  5. Verfahren zur Herstellung einer Halbleitervorrichtung nach Anspruch 4, dadurch gekennzeichnet, dass der genannte Öffnungsbereich ein Durchgangsloch (VH) aufweist, welches durch Durchdringung zweier Zwischenschicht-Isolationsfilme (4, 6) in einem Doppel-Damaszenen-Verdrahtungsprozess gebildet wird, und dass ferner ein Schritt zur Bildung eines Leitungsgrabens (CG) enthalten ist, der mit dem genannten Durchgangsloch (VH) in einem oberen Zwischenschicht-Isolationsfilm (6) der genannten beiden Zwischenschicht-Isolationsfilme (4, 6) durch die Schritte des Überziehens bzw. Aufbringens eines Fotolacks (R) und der Durchführung einer Belichtung und Entwicklung in einem Zustand verbunden ist, in welchem er mit dem Durchgangsloch (VH) gebildet ist.
  6. Verfahren zur Herstellung einer Halbleitervorrichtung nach Anspruch 5, dadurch gekennzeichnet, dass ferner ein Schritt zur Bildung eines Ätz-Stoppfilms (5) zum Schutz eines Durchgangslochs (VH) in einem unteren Zwischenschicht-Isolationsfilm (4) der genannten beiden Zwischenschicht-Isolationsfilme (4, 6) im Voraus zwischen den genannten zwei Zwischenschicht-Isolationsfilmen (4, 6) enthalten ist, wenn ein Ätzen zur Bildung des genannten Leitungsgrabens (CG) erfolgt.
  7. Verfahren zur Herstellung einer Halbleitervorrichtung nach Anspruch 6, dadurch gekennzeichnet, dass der genannte Ätz-Stoppfilm (5) einen Siliziumnitridfilm aufweist.
  8. Verfahren zur Herstellung einer Halbleitervorrichtung nach Anspruch 5, dadurch gekennzeichnet, dass zumindest der Oberschicht-Zwischenschicht-Isolationsfilm (6), der mit dem genannten Leitungsgraben (CG) von den beiden genannten Zwischenschicht-Isolationsfilmen (4, 6) gebildet ist, ein organisch-basiertes Isolationsmaterial enthält.
  9. Verfahren zur Herstellung einer Halbleitervorrichtung nach Anspruch 8, dadurch gekennzeichnet, dass das genannte organisch basierte Isolationsmaterial irgendein Film aus einem eine Methylgruppe enthaltenden SiO2-Film, einem Polyimid-basierten Polymerfilm, einem Parylen-basierten Polymerfilm, einem Teflon-(registrierte Marke)-basierten Polymerfilm, einem Polyarylether-basierten Polymerfilm und einem mit Fluor dotierten amorphen Kohlenstofffilm ist.
  10. Verfahren zur Herstellung einer Halbleitervorrichtung nach Anspruch 1, gekennzeichnet durch die Bildung eines porösen organischen Isolationsfilms als die genannten organisch-basierten Zwischenschicht-Isolationsfilme (4, 6).
  11. Verfahren zur Herstellung einer Halbleitervorrichtung, enthaltend einen Schritt zur Bildung eines Öffnungsbereiches in organisch-basierten Zwischenschicht-Isolationsfilmen (4, 6), umfassend einen Schritt zum Niederschlagen der organisch-basierten Zwischenschicht-Isolationsfilme (4, 6), die ein Silylierungsmittel enthalten, einen Schritt zur Bildung eines Öffnungsbereiches in den organisch basierten Zwischenschicht-Isolationsfilmen (4, 6) und einen Schritt zur Bildung von Schutzschichten (4b, 6b), umfassend ein anorganisch-basiertes Zwischenschicht-Isolationsmaterial auf einer Innenwandfläche des genannten Öffnungsbereiches, der ein Silylierungsmittel enthält.
  12. Verfahren zur Herstellung einer Halbleitervorrichtung nach Anspruch 11, dadurch gekennzeichnet, dass der Schutzfilm Siliziumoxid enthält.
  13. Verfahren zur Herstellung einer Halbleitervorrichtung nach Anspruch 11, dadurch gekennzeichnet, dass ein Siliziumoxidfilm zum Schutz einer Innenwandfläche des Öffnungsbereiches dadurch gebildet wird, dass die Innenwandfläche des genannten Öffnungsbereiches, der ein Silylierungsmittel enthält, einem Sauerstoffplasma in einem Schritt zur Bildung der genannten Schutzfilme (4b, 6b) ausgesetzt wird.
  14. Halbleitervorrichtung, umfassend zwei organisch-basierte Zwischenschicht-Isolationsfilme (4, 6), die übereinander gestapelt sind, wobei ein Durchgangsloch (VH) in einem Unterschicht-Zwischenschicht-Isolationsfilm (4) gebildet und ein Leitungsgraben (CG), der mit dem betreffenden Durchgangsloch (VH) verbunden ist, in bzw. auf einem Oberschicht-Zwischenschicht-Isolationsfilm (6) der beiden organisch-basierten Zwischenschicht-Isolationsfilme (4, 6) gebildet ist und eine Leitungskonfiguration aufweist, bei der ein leitendes Material (9, 10) in dem Leitungskanal (CG) und dem genannten Durchgangsloch (VH) vergraben ist, wobei ein Innenwandbereich des genannten Durchgangslochs (VH) eines Unterschicht-Zwischenschicht-Isolationsfilmes (4) der genannten beiden Zwischenschicht-Isolationsfilme (4, 6) mit einer silylierte Moleküle enthaltenden Schicht (4a) versehen ist und eine Schutzschicht (4b) eine organisch-basierte Isolationssubstanz enthält, die in einem Durchgangsloch-(VH)-Innenwand-Oberflächenbereich der silylierten Moleküle enthaltenden Schicht (4a) gebildet ist.
  15. Halbleitervorrichtung nach Anspruch 14, dadurch gekennzeichnet, dass die genannte Schutzschicht (4b) Siliziumoxid enthält.
  16. Halbleitervorrichtung nach Anspruch 14, dadurch gekennzeichnet, dass der genannte Öffnungsbereich ein Durchgangsloch (VH) aufweist, welches durch Durchdringung zweier Zwischenschicht-Isolationsfilme (4, 6) in einem Doppel-Damaszenen-Leitungsprozess gebildet ist.
  17. Halbleitervorrichtung nach Anspruch 14, dadurch gekennzeichnet, dass ein Ätz-Stoppfilm (5) zum Schutz eines Durchgangslochs (VH) eines Unterschicht-Zwischenschicht-Isolationsfilmes (4) der genannten beiden Zwischenschicht-Isolationsfilme (4, 6) zwischen den genannten beiden Zwischenschicht-Isolationsfilmen (4, 6) gebildet ist.
  18. Halbleitervorrichtung nach Anspruch 14, dadurch gekennzeichnet, dass der genannte Ätz-Stoppfilm (5) einen Siliziumnitridfilm aufweist.
  19. Halbleitervorrichtung nach Anspruch 14, dadurch gekennzeichnet, dass das genannte organisch basierte Isolationsmaterial, das aus den genannten beiden Isolationsfilmen (4, 6) besteht, irgendein Film aus einem eine Methylgruppe enthaltenden SiO2-Film, einem Polyimid-basierten Polymerfilm, einem Parylen-basierten Polymerfilm, einem Teflon-(registrierte Marke)-basierten Polymerfilm, einem Polyarylether-basierten Polymerfilm und einem mit Fluor dotierten amorphen Kohlenstofffilm ist.
  20. Halbleitervorrichtung nach Anspruch 14, dadurch gekennzeichnet, dass die genannten beiden organisch-basierten Zwischenschicht-Isolationsfilme (4, 6) einen porösen organischen Isolationsfilm enthalten.
DE10392412T 2002-03-22 2003-03-20 Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung Withdrawn DE10392412T5 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2002080056A JP2003282698A (ja) 2002-03-22 2002-03-22 半導体装置の製造方法および半導体装置
JP2002-80056 2002-03-22
PCT/JP2003/003454 WO2003081665A1 (fr) 2002-03-22 2003-03-20 Procede de production de dispositif semi-conducteur et dispositif semi-conducteur

Publications (1)

Publication Number Publication Date
DE10392412T5 true DE10392412T5 (de) 2005-06-30

Family

ID=28449084

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10392412T Withdrawn DE10392412T5 (de) 2002-03-22 2003-03-20 Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung

Country Status (6)

Country Link
US (1) US20050079705A1 (de)
JP (1) JP2003282698A (de)
KR (1) KR20040093742A (de)
DE (1) DE10392412T5 (de)
TW (1) TWI228792B (de)
WO (1) WO2003081665A1 (de)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7029826B2 (en) * 2000-06-23 2006-04-18 Honeywell International Inc. Method to restore hydrophobicity in dielectric films and materials
US7387868B2 (en) * 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
US8475666B2 (en) * 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
KR101036159B1 (ko) * 2003-11-20 2011-05-23 매그나칩 반도체 유한회사 듀얼 다마신 방법을 이용한 금속 배선 형성 방법
JP2005167081A (ja) 2003-12-04 2005-06-23 Renesas Technology Corp 半導体装置およびその製造方法
JP2005294525A (ja) * 2004-03-31 2005-10-20 Toshiba Corp 半導体装置の製造方法
JP5057647B2 (ja) * 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
JP4903373B2 (ja) * 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
JP4903374B2 (ja) * 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
WO2006033836A2 (en) * 2004-09-15 2006-03-30 Honeywell International Inc. Treating agent materials
KR100985613B1 (ko) * 2004-10-27 2010-10-05 인터내셔널 비지네스 머신즈 코포레이션 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품
JP5247999B2 (ja) * 2005-09-29 2013-07-24 東京エレクトロン株式会社 基板処理方法およびコンピュータ読取可能な記憶媒体
US7528069B2 (en) * 2005-11-07 2009-05-05 Freescale Semiconductor, Inc. Fine pitch interconnect and method of making
US20070202689A1 (en) * 2006-02-27 2007-08-30 Samsung Electronics Co., Ltd. Methods of forming copper vias with argon sputtering etching in dual damascene processes
JP4797821B2 (ja) * 2006-06-15 2011-10-19 ソニー株式会社 半導体装置の製造方法
CN101484984B (zh) 2006-07-05 2010-12-15 东京毅力科创株式会社 无定形碳膜的后处理方法
JP5138291B2 (ja) * 2006-07-05 2013-02-06 東京エレクトロン株式会社 アモルファスカーボン膜の後処理方法およびそれを用いた半導体装置の製造方法
KR100822581B1 (ko) * 2006-09-08 2008-04-16 주식회사 하이닉스반도체 플래시 메모리 소자의 제조방법
JP4999419B2 (ja) * 2006-10-12 2012-08-15 東京エレクトロン株式会社 基板処理方法および基板処理システム、ならびにコンピュータ読取可能な記憶媒体
KR100829603B1 (ko) * 2006-11-23 2008-05-14 삼성전자주식회사 에어 갭을 갖는 반도체 소자의 제조 방법
US7906426B2 (en) * 2007-04-23 2011-03-15 Globalfoundries Singapore Pte. Ltd. Method of controlled low-k via etch for Cu interconnections
US8481423B2 (en) * 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US8772933B2 (en) * 2007-12-12 2014-07-08 International Business Machines Corporation Interconnect structure and method of making same
US8361152B2 (en) * 2008-06-06 2013-01-29 Providence Medical Technology, Inc. Facet joint implants and delivery tools
US20100285667A1 (en) * 2009-05-06 2010-11-11 International Business Machines Corporation Method to preserve the critical dimension (cd) of an interconnect structure
KR101266620B1 (ko) 2010-08-20 2013-05-22 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리방법 및 기판처리장치
JP5662081B2 (ja) * 2010-08-20 2015-01-28 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP2012222329A (ja) * 2011-04-14 2012-11-12 Tokyo Electron Ltd 液処理方法及び液処理装置
US9171793B2 (en) * 2011-05-26 2015-10-27 Hewlett-Packard Development Company, L.P. Semiconductor device having a trace comprises a beveled edge
JP5674851B2 (ja) * 2013-04-09 2015-02-25 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP6206096B2 (ja) 2013-10-31 2017-10-04 富士通株式会社 半導体装置の製造方法
JP6237429B2 (ja) * 2014-04-14 2017-11-29 富士通株式会社 化合物半導体装置及びその製造方法
JP6118309B2 (ja) * 2014-12-22 2017-04-19 株式会社Screenホールディングス 基板処理方法
CN108012561B (zh) * 2015-06-22 2022-03-04 英特尔公司 用于后端工艺(beol)互连件的借助使用自底向上交联的电介质的图像色调反转
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0019391B1 (de) * 1979-05-12 1982-10-06 Fujitsu Limited Verfahren zur Herstellung einer elektronischen Vorrichtung mit Vielschicht-Verdrahtungsstruktur
US4394211A (en) * 1982-09-08 1983-07-19 Fujitsu Limited Method of manufacturing a semiconductor device having a layer of polymide resin
US4715941A (en) * 1986-04-14 1987-12-29 International Business Machines Corporation Surface modification of organic materials to improve adhesion
US5326090A (en) * 1992-05-19 1994-07-05 Hewlett-Packard Company Printer sheet feeder having a retractable sheet stack support
JPH07321091A (ja) * 1994-05-19 1995-12-08 Sanyo Electric Co Ltd エッチング方法及び配線形成方法
JPH08335634A (ja) * 1995-06-08 1996-12-17 Toshiba Corp 半導体装置の製造方法
JPH1050632A (ja) * 1996-07-30 1998-02-20 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH10209273A (ja) * 1997-01-16 1998-08-07 Fujitsu Ltd 半導体装置の製造方法
US6037249A (en) * 1997-12-31 2000-03-14 Intel Corporation Method for forming air gaps for advanced interconnect systems
JP3585384B2 (ja) * 1998-12-22 2004-11-04 松下電器産業株式会社 半導体装置の製造方法
JP3084367B1 (ja) * 1999-03-17 2000-09-04 キヤノン販売株式会社 層間絶縁膜の形成方法及び半導体装置
US6348407B1 (en) * 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects

Also Published As

Publication number Publication date
TW200402835A (en) 2004-02-16
KR20040093742A (ko) 2004-11-08
TWI228792B (en) 2005-03-01
WO2003081665A1 (fr) 2003-10-02
US20050079705A1 (en) 2005-04-14
JP2003282698A (ja) 2003-10-03

Similar Documents

Publication Publication Date Title
DE10392412T5 (de) Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung
DE69826934T2 (de) Verfahren zur Herstellung einer Doppel-Damaszener Struktur
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE60038423T2 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE102008016424B4 (de) Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε
DE3834241C2 (de) Halbleitereinrichtung und Verfahren zum Herstellen einer Halbleitereinrichtung
DE102017127530A1 (de) Verbindungsstruktur und Verfahren
DE10248272A1 (de) Halbleitervorrichtung und Verfahren für ihre Herstellung
DE102004002902A1 (de) Herstellungsverfahren für ein elektronisches Bauteil
DE60127767T2 (de) Verfahren zur Herstellung von metallisierten Verbindungsstrukturen in einem integrierten Schaltkreis
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
CA1222575A (en) Semiconductor wafer fabrication
DE102010064289A1 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE19614164C2 (de) Verfahren zum Bilden einer Mehrschichtverbindung
DE19626039C2 (de) Verfahren zum Herstellen einer Metalleitung
DE10104204A1 (de) Halbleiter-Vorrichtung und Verfahren zur Herstellung derselben
DE4300355A1 (de)
DE102011002769A1 (de) Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE69834686T2 (de) Metallisierung in Halbleitervorrichtungen
DE10244570A1 (de) Liner-Schicht mit geringer Stufenüberdeckung zur Verbesserung des Kontaktwiderstands bei W-Kontakten
DE102004001853B3 (de) Verfahren zum Herstellen von Kontaktierungsanschlüssen
DE102008026211B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht
DE102004062835B4 (de) Verfahren zum Bilden einer Dual-Damascene-Struktur
US20070004193A1 (en) Method for reworking low-k dual damascene photo resist
DE10334406B4 (de) Verfahren zur Ausbildung eines Kontaktes in einem Halbleiterprozeß

Legal Events

Date Code Title Description
8139 Disposal/non-payment of the annual fee