DE10244570B4 - Liner-Schicht mit geringer Stufenüberdeckung zur Verbesserung des Kontaktwiderstands bei W-Kontakten - Google Patents

Liner-Schicht mit geringer Stufenüberdeckung zur Verbesserung des Kontaktwiderstands bei W-Kontakten Download PDF

Info

Publication number
DE10244570B4
DE10244570B4 DE10244570A DE10244570A DE10244570B4 DE 10244570 B4 DE10244570 B4 DE 10244570B4 DE 10244570 A DE10244570 A DE 10244570A DE 10244570 A DE10244570 A DE 10244570A DE 10244570 B4 DE10244570 B4 DE 10244570B4
Authority
DE
Germany
Prior art keywords
layer
trench
imd
ild
liner
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE10244570A
Other languages
English (en)
Other versions
DE10244570A1 (de
Inventor
Roy Iggulden
Werner Robl
Padraic Shafer
Kwong Hon Wong
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qimonda AG
International Business Machines Corp
Original Assignee
Infineon Technologies AG
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG, International Business Machines Corp filed Critical Infineon Technologies AG
Publication of DE10244570A1 publication Critical patent/DE10244570A1/de
Application granted granted Critical
Publication of DE10244570B4 publication Critical patent/DE10244570B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren zum Auffüllen einer Dual-Damascene-Struktur mit den Schritte:
Bereitstellen eines Halbleitersubstrats (10) mit aktiven und passiven Bereichen;
Ausbilden einer dielektrischen Zwischen-(ILD)-schicht (11) auf dem Halbleitersubstrats (10);
Ausbilden einer Ätzstoppschicht (12) auf der ILD-Schicht (11);
Ausbilden einer dielektrischen Zwischenmetall-(IMD)schicht (13) auf der Ätzstoppschicht (12);
Erzeugen eines Leitungsgrabens (14) in der IMD-Schicht (13), wobei mit einem zweistufigen Ätzprozess der Leitungsgraben in die IMD-Schicht geätzt und die Ätzstoppschicht (12) vom Boden des Leitungsgrabens entfernt wird;
Erzeugen einer Liner-Schicht (15) ausschließlich an den Seitenwänden des Leitungsgrabens (14) in der IMD-Schicht (13) durch Beschichten der IMD-Schicht mit der Liner-Schicht bei sehr geringen Stufenüberdeckung und anschließenden Entfernen der Liner-Schicht von der Oberfläche der IMD-Schicht;
Erzeugen eines Kontaktlochs (17) in der ILD-Schicht (11) unter dem Leitungsgraben (14) in der IMD-Schicht (13) durch Ätzen der ILD-Schicht (11); und
Auffüllen des Kontaktlochs (17) in der ILD-Schicht (11) und des Leitungsgrabens...

Description

  • Die Erfindung bezieht sich auf die Verwendung einer Liner-Schicht, im Weiteren genannt auch Deckschicht, mit beabsichtigter geringer Stufenüberdeckung, um die Eigenschaften von W-Durchgangslöchern zu verbessern. Die Liner-Schicht ist gekennzeichnet durch eine geeignete Haftung für die W-Schicht, ohne die Widerstandswerte zu erhöhen oder die Streuung zu erweitern.
  • Im allgemeinen umfassen Halbleiter und integrierte Schaltungsvorrichtungen ein Siliciumsubstrat und dotierte Bereiche, die im Halbleiter angeordnet sind und in denen Source- oder Drain-Verbindungen vorhanden sind, die durch einen Gate-Steuer-Bereich getrennt sind. An den Source-, Gate- und Drain-Elektroden sind Metallverbindungen mittels Zwischenverbindungen, die über dem Substrat mittels eines dielektrischen Zwischenschichtmaterials angeordnet sind, ausgeführt.
  • Elektrische Verbindungen werden zwischen den verschiedenen Schichten hergestellt durch Mustern und Ätzen des Dielektrikums, um Kontakt- und Durchgangslochöffnungen zu bilden. Die Öffnungen werden im allgemeinen mit Pfropfen aus elektrisch leitenden Materialien gefüllt, die aus W (Wolfram) gefertigt werden, das die vorher dotierten Bereiche, das Polysilicium oder andere Metallschichten kontaktiert. Zum Beispiel wird eine Schicht von physikalisch aus der Gasphase abgeschiedenem Metall (PVD-Metall), wie z. B. TiN, in der Seitenwand der Kontakt/Durchgangslochöffnungen abgeschieden, um die Haftung des elektrisch leitenden Materials oder Pfropfens aus Wolfram zu unterstützen.
  • Da die Grundmaßstäbe oder die Vorrichtungsgeometrie zunehmend kleiner werden und die Kontakt/Durchgangsloch-Seitenverhältnisse höher werden, erhält die Stufenüberdeckung des TiN mehr Bedeutung, da eine verringerte Stufenüberdeckung erfordert, daß die TiN-Schicht dick genug ist, um eine ausreichende Abscheidung innerhalb eines Kontakts sicherzustellen.
  • Ferner stellt sowohl bei Einzel- als auch Dual-Damascene-Wolfram-(W)-Zwischenverbindungen, die darunterliegende Metallschichten kontaktieren, der Kontaktwiderstand ein Problem dar, da das W nicht am Oxid haftet, wodurch die Verwendung einer Liner-Schicht erforderlich ist, um für eine geeignete Haftung auf der Oberfläche des Wafers zu sorgen; das Problem besteht jedoch darin, daß die Liner-Schicht, die im allgemeinen aus TiN oder aus mit Stickstoff behandelten Ti hergestellt wird, den Kontaktwiderstand erhöht und ferner die Widerstandsstreuung erweitert.
  • Das US-Patent 5.625.231 offenbart einen Prozeß zur Verbesserung der strukturellen und elektrischen Integrität von Kontakten und Zwischenverbindungen, die Metalle umfassen, welche durch physikalische Gasphasenabscheidung (PVD) oder chemische Gasphasenabscheidung (CVD) abgeschieden worden sind, während der Verarbeitung von Halbleitervorrichtungen mit kleinem Grundmaßstab. Der Prozeß umfaßt: Auftragen einer TiN-Kontakt/Durchgangsloch-Haftschicht auf einer Kontakt/Durchgangsloch-Öffnung mit hohem Aspektverhältnis, die in das Dielektrikum geätzt ist, durch Erzeugen einer ersten Schicht von TiN auf dem Boden der Kontakt/Durchgangsloch-Öffnung und anschließendes Abscheiden der zweiten Schicht von TiN auf der ersten Schicht von TiN und auf den Seitenwänden der Kon takt/Durchgangsloch-Öffnung. Die zweite Schicht des TiN dient als Kontakt/Durchgangsloch-Haftschicht oder Klebeschicht.
  • Ein Stufenüberdeckungs-Verbesserungsprozeß für Kontakte/Durchgangslöcher von weniger als einem halben Mikrometer ist offenbart im US-Patent 5.654.233. Das Verfahren mit verbesserter Stufenüberdeckung für Kontakte/Durchgangslöcher von weniger als einem Mikrometer oder weniger als einem halben Mikrometer wird erhalten durch die Verwendung des herkömmlichen CVD-TiN-Abscheidungsprozesses, verbunden mit einem selektiven reaktiven Ätzprozeß, der nur den Überhang wegätzt.
  • Das US-Patent 5.972.179 offenbart eine Komposit-TiN-Barriereschichtstruktur, die gebildet wird durch Abscheiden einer ersten Ti-Schicht mittels CVD, um eine gute Stufenüberdeckung zu erhalten, gefolgt von einer zweiten TiN-Schicht, die mittels PVD gebildet wird, um eine gleichmäßige Oberflächenmorphologie für die nachfolgende Abscheidung einer Aluminiumlegierung-Kontaktschicht zu erhalten. Durch die Verwendung einer Kombination dieser Abscheidungstechniken, wobei die letzte Abscheidung mittels PVD durchgeführt wird, werden die Attribute beider Abscheidungstechniken erhalten, nämlich hervorragende Stufen- und Bodenüberdeckungseigenschaften der CVD-Technik, und gleichmäßige Morphologieeigenschaften der PVD-Abscheidungstechnik.
  • Das US-Patent 5.654.589 offenbart einen Prozeß zur Ausbildung von Mehrschicht-Zwischenverbindungen, der die Ausbildung von Ti/TiN-Stapelzwischenverbindungsstrukturen umfaßt, die als lokale Zwischenverbindungen und Kontaktabsatzanschlußflächen auf der gleichen Ebene verwendet werden können. Die lokalen Zwischenverbindungen und Kontaktabsatzanschlußflächen kontaktieren direkt leitende Bereiche auf einem Halbleiter-IC. Der Kontakt kann mit vorher dotierten Bereichen im Halbleitersubstrat, mit Polysilicium oder anderen Metallschichten ausgebildet werden.
  • Das US-Patent 6.093.654 offenbart einen Prozeß für die Elimination der TiN-Film-Abscheidung in der Wolfram-(W)-Plus-Technologie unter Verwendung von PECVD-Ti- und In-Situ-Plasma-Nitrierung.
  • Diese Patente verbessern die Liner-Schicht-Überdeckung, um sicherzustellen, daß die Liner-Schicht alle Abschnitte des Durchgangsloches überdeckt. Hierdurch wird die Haftung fixiert, die von den W/Oxid-Grenzflächen aufgebracht wird.
  • In der Halbleitertechnik, in der Einzel- und Dual-Damascene-W-Zwischenverbindungen die darunterliegenden Metallschichten kontaktieren, wobei der Kontaktwiderstand ein Problem ist, da das W nicht am Oxid haftet, und in der eine Liner-Schicht verwendet wird, um die angemessene Haftung an der Oberfläche der Seitenwand des Wafers zur Verfügung zu stellen, und in der die Liner-Schicht (allgemein aus TiN oder aus mit Stickstoff behandeltem Ti hergestellt) den Kontaktwiderstand erhöht und auch die Widerstandsstreuung erweitert, besteht Bedarf an einer Liner-Schicht, die eine sehr geringe Stufenüberdeckung aufweist, und die die Oberfläche beschichtet (um für die notwendige Substrathaftung für W zu sorgen), jedoch eine geringe oder keine Überdeckung am Durchgangslochboden und an den Durchgangslochseitenwänden aufweist, so daß sich Verbesserungen beim Durchgangslochwiderstand und dessen Streuung ergeben.
  • Die Ergebnisse der Erfindung zeigen, daß die Durchgangslöcher selbst nicht mit der Liner-Schicht beschichtet werden müssen, um eine Substrathaftung zu erzielen.
  • Aus der US 6,147,409 ist ein Damascene-Verfahren bekannt, bei dem eine Wolfram-Leitungsbahn in einer elektrischen Schicht ausgeführt ist, wobei an den Leitungsbahnwänden eine in PVD-Technik ausgeführte Titan-Nitrid-Schicht aufgebracht ist, um eine verbesserte Haftung zu erreichen. Aus der US 6,284,657 ist eine Dual-Damascene-Struktur bekannt, bei der eine Liner-Schicht verwendet wird. Die US 6,200,895 beschreibt ein Herstellungsverfahren für Verdrahtungsgräben mit TiN Liner-Schicht, welche mit PVD nicht konform mit schlechter Kantenbedeckung abgeschieden wird.
  • Es ist die Aufgabe der Erfindung, ein Dual-Damascene-Verfahren zur Ausbildung von Wolfram-Leitungsbahnen mit Kontaktlöchern bereit zu stellen, das sich durch eine verbesserte Haftung ohne Beeinträchtigung des Kontaktwiderstands auszeichnet.
  • Diese Aufgabe wird durch ein Verfahren gemäß Anspruch 1 gelöst. Bevorzugte Weiterbildungen sind in den abhängigen Ansprüchen angegeben.
  • Im Fall einer Dual-Damascene-Struktur, bei der W-Zwischenverbindungen darunterliegende Metallschichten kontaktieren und der Kontaktwiderstand ein Problem darstellt, wird eine Liner-Schicht mit geringer Stufenüberdeckung geschaffen, um den Kontaktwiderstand in den W-Kontakten zu verbessern.
  • Im Fall von Dual-Damascene-Strukturen, bei denen W-Zwischenverbindungen darunterliegende Metallschichten kontaktieren und der Kontaktwiderstand ein Problem darstellt, da W nicht am Oxid haftet und somit eine Liner-Schicht erfordert, um eine angemessene Haftung an der Oberfläche und der Seitenwand des Wafers sicherzustellen, wird für einen Austausch der herkömmlichen Liner-Schicht durch eine Liner-Schicht mit geringer Stufenüberdeckung gesorgt, welche die Oberfläche beschichtet, jedoch keine Überdeckung am Durchgangslochboden bewirkt.
  • Im Fall von Dual-Damascene-Strukturen, bei denen W-Zwischenverbindungen darunterliegende Metallschichten kontaktieren und der Kontaktwiderstand ein Problem darstellt, da W nicht am Oxid haftet und somit eine Liner-Schicht erfordert, um eine angemessene Haftung an der Oberfläche und der Seitenwand des Wafers sicherzustellen, wird für einen Austausch der herkömmlichen Liner-Schicht durch eine Liner-Schicht gesorgt, die den Kontaktwiderstand senkt und die Widerstandsstreuung verringert, durch Verwendung einer PVD-TiN-Beschichtung mit sehr geringer Stufenüberdeckung, so daß die Beschichtung der Oberfläche ohne Überdeckung am Durchgangslochboden bewerkstelligt wird.
  • Weitere Merkmale und Vorteile der Erfindung werden deutlich beim Lesen der folgenden Beschreibung bevorzugter Ausführungsformen, die auf die Zeichnungen Bezug nimmt; es zeigen:
  • 1a die Ausbildung einer dielektrischen Zwischenschicht und einer dielektrischen Zwischenmetallschicht, die durch eine Ätzstoppschicht auf einem Halbleitersubstrat getrennt ist, gemäß der Erfindung;
  • 1b die Ausbildung eines Leitungsgrabens in der ILD-Schicht der 1a;
  • 1c die Ausbildung einer PVD-TiN-Schicht mit sehr geringer Stufenüberdeckung gemäß der Erfindung;
  • 1d die Entfernung der PVD-TiN-Schicht mit sehr geringer Stufenüberdeckung, mit Ausnahme der Seitenwände des Leitungsgrabens;
  • 1e die Ausbildung eines Kontaktloches im Substrat der 1d; und
  • 1f die Ausbildung der Dual-Damascene-Struktur mittels Wolfram-(W)-CVD-Abscheidung im Leitungsgraben und in der Kontaktlochstruktur.
  • Die Erfindung zielt auf die Fertigung und Herstellung von stromführenden, mit Metall gefüllten Kontakten/Durchgangslöchern mit einem Durchmesser von weniger als einem Mikrometer unter Verwendung einer Liner-Schicht mit geringer Stufenüberdeckung, um den Kontaktwiderstand in den W-Kontakten zu verbessern. Der Prozeß kann bei MOSFET oder CMOS oder bei irgendeiner hochdichten Halbleitervorrichtung verwendet werden, die derzeit in der Halbleiterindustrie hergestellt werden; im folgenden werden jedoch nur diejenigen spezifischen Bereiche beschrieben, die für das Verständnis dieser Erfindung erforderlich sind.
  • Im allgemeinen wird ein leitender Plug, der ein Durchgangsloch ausfüllt, gebildet durch Abscheiden einer dielektrischen Zwischenschicht (ILD) auf einer leitenden Schicht, die wenigstens eine leitende Schicht umfaßt, die wenigstens ein leitendes Muster umfaßt, Ausbilden einer Öffnung durch die ILD mittels photolithographischer Techniken und Ätztechniken, und Auffüllen der Öffnung mit einem leitenden Material. Überschüssiges leitendes Material auf der Oberfläche der ILD wird im allgemeinen durch chemisch-mechanisches Polieren (CMP) entfernt. Ein solches Verfahren ist bekannt als Einzel-Damascene-Technik und umfaßt die Ausbildung einer Öffnung, die mit einem Metall gefüllt wird.
  • Ein weiteres solches Verfahren ist die Dual-Damascene-Technik, die die Ausbildung einer Öffnung umfaßt, welche einen unteren Kontakt- oder Durchgangslochabschnitt in Verbindung mit einem oberen Grabenabschnitt umfaßt, wobei die Öffnung mit einem leitenden Material gefüllt wird, wie z. B. Wolfram, um gleichzeitig einen leitenden Plug in elektrischem Kontakt mit einer leitenden Liner-Schicht auszubilden.
  • Der Prozeß der Erfindung wird bewerkstelligt durch Schaffen eines Halbleitersubstrats mit aktiven und passiven Bereichen; Ausbilden einer dielektrischen Zwischenschicht (ILD) über dem Substrat mit aktiven und passiven Bereichen; Ausbilden einer Ätzstoppschicht über der ILD-Schicht; Ausbilden einer dielektrischen Zwischenmetallschicht (IMD) über der Ätzstoppschicht; Ausbilden einer ersten Photoresistschicht über der IMD-Schicht und Mustern der Photoresistschicht mit einer Maske, die ein Leitungsgrabenmuster umfaßt; Ätzen durch das Leitungsgrabenmuster in die erste Photoresistschicht, um das Leitungsgrabenmuster in die IMD-Schicht zu formen, wobei der Leitungsgraben eine Seitenwand und einen flachen Boden aufweist; Entfernen der ersten Photoresistschicht; Ausbilden einer zweiten Photoresistschicht über der IMD-Schicht und dem Leitungsgraben mit den Seitenwänden; strukturierten zweiten Photoresistschicht mit einer Maske, die ein Kontaktlochmuster umfaßt; Ätzen durch das Kontaktlochmuster in die zweite Photoresistschicht, um ein Kontaktlochmuster in die dielektrische Zwischenschicht (ILD) zu formen; Entfernen der zweiten Photoresistschicht; Reinigen des Kontaktloches; Abscheiden einer Liner-Schicht mit sehr geringer Stufenüberdeckung als TiN mittels PVD im Liner-Schichtgraben und der Kontaktloch-Komposit-Struktur; und Durchführen eines chemisch-mecha nischen Polierens, um das Substrat für spätere Prozeßschritte zur Fertigstellung des Halbleitersubstrats einzuebnen.
  • In den 1a-f ist ein Dual-Damascene-Prozeß gezeigt, der ein PVD-TiN mit sehr geringer Stufenüberdeckung verwendet, um die Oberfläche zu beschichten, jedoch im wesentlichen keine Überdeckung des Durchgangslochbodens zu schaffen. Der Prozeß verwendet eine chemische Gasphasenabscheidung von Wolfram zur Ausbildung von Komposit-Strukturen mit lokalen Zwischenverbindungen, die Leitungsgräben mit Kontaktlöchern umfassen, sowie Komposit-Strukturen für Zwischenmetallverbindungen mit Leitungsgräben mit Durchgangslöchern.
  • 1a zeigt ein Halbleitersubstrat 10 mit einer Unterstruktur von Bauelementen, die darin ausgebildet sind; diese werden jedoch nicht genauer beschrieben, sofern sie nicht für die Erfindung notwendig sind. Der Dual-Damascene-Prozeß wird angewendet bei der Ausbildung von Zwischenverbindungen durch Durchgangslöcher zwischen Metallschichten. Das Verfahren ist sowohl auf lokale Zwischenverbindungen mit Kontaktlöchern als auch auf Zwischenmetallverbindungen, die Durchgangslöcher umfassen, anwendbar.
  • Eine dielektrische Zwischenschicht (ILD) 11 wird auf dem Substrat 10 der 1a ausgebildet. Wie bekannt ist, können dielektrische Liner-Schichten aus Materialien gebildet werden, die Siliciumoxidmaterialien, Siliciumnitridmaterialien und Siliciumoxidnitridmaterialien umfassen, die innerhalb integrierter Schaltungen durch CVD-, PECVD- und PVD-Sputter-Verfahren gebildet werden. In der Erfindung ist die Deck-ILD-Schicht vorzugsweise aus Siliciumoxid gebildet. Eine Ätzstoppschicht 12, vorzugsweise aus Siliciumnitrid, wird anschließend über der ILD-Schicht 11 ausgebildet. Die Ätzstoppschicht dient zum Stoppen des Ätzens, wenn die nächste Schicht geätzt wird, um Leitungsgräben auszubilden.
  • Die nächste Schicht des Dielektrikums 13 in 1a ist die dielektrische Zwischenmetallschicht (IMD), die über der ILD-Schicht und unter der später ausgebildeten ersten Metallschicht ausgebildet wird. Ein Phosphorsilikat-Glas (PSG) oder ein Oxid, das durch Abscheidung von Tetraethyl-Orthosilikat (TEOS) gebildet wird, kann die IMD sein und wird gebildet unter Verwendung einer plasmaunterstützten chemischen Gasphasenabscheidung (PECVD).
  • Eine erste Schicht eines (nicht gezeigten) Photoresists wird über der IMD-Schicht 13 ausgebildet und mit einer Maske gemustert, die Abbildungen eines Leitungsbahngrabens aufweist.
  • Der Leitungsbahngraben 14 in 1b wird gebildet durch Ätzen des Leitungsgrabenmusters der ersten Photoresistschicht in die IMD-Schicht 13, bis die Ätzstoppschicht 12 erreicht ist. Das Ätzen wird bewerkstelligt mit einem Gemisch, das Gase von O2, SO2 und CF4 umfaßt. Das Ätzmittel wird anschließend modifiziert zu einem Gemisch der Gase O2, SO2, CF4 und He, so daß die Ätzstopp-Siliciumnitridschicht 12 vom Boden des Grabens 14 entfernt werden kann, wie in 1b gezeigt ist. Nach der Entfernung des Abschnitts der Ätzstoppschicht am Boden des Grabens 14 wird die erste Photoresistschicht entfernt.
  • Das wesentliche Merkmal der Erfindung besteht darin, als nächstes den Schritt des Erzeugens einer Liner-Schicht einzuführen, die durch eine sehr geringe Stufenüber deckung gekennzeichnet ist, wie z. B. PVD-TiN, um die Oberfläche zu beschichten, jedoch im wesentlichen keine Überdeckung am Durchgangslochboden zu erhalten, wie in 1c gezeigt ist. In 1c wird TiN mittels physikalischer Gasphasenabscheidung (PVD) abgeschieden, wobei diese PVD-TiN-Schicht 14 die Überdeckung der Seitenwand 15 des Durchgangslochs 16 und die Oberflächenbeschichtungen der dielektrischen Schicht 13 beeinflußt, jedoch im wesentlichen keine Überdeckung am Durchgangslochboden bewirkt, wie in 1c gezeigt ist.
  • Die PVD-TiN-Schicht 14 mit sehr geringer Stufenüberdeckung wird anschließend von der Oberfläche der Dielektrikumsschicht 13 entfernt, jedoch nicht von den Seitenwänden 15, wie in 1d gezeigt ist. Das Entfernen wird bewerkstelligt unter Verwendung eines reaktiven Ionenätzens, das ein Gemisch aus SF6, HBr und CCl4 umfassen kann. Alternativ kann die Schicht 14 unter Verwendung eines CMP entfernt werden.
  • Mit der auf den Seitenwänden des Grabens 16 platzierten Schicht 15 wird eine zweite Schicht eines Photoresists über der Schicht 11 ausgebildet und anschließend strukturiert unter Verwendung einer Maske, die Abbildungen eines Kontaktlochs aufweist. Wie aus 1e deutlich wird, wird das Kontaktloch 17 ausgebildet durch Ätzen des Kontaktlochmusters in der zweiten Photoresistschicht 13, bis die Oberfläche des Substrats 10 erreicht ist. Das Ätzen durch das Kontaktlochmuster der zweiten Photoresistschicht in die ILD-Schicht kann bewerkstelligt werden unter Verwendung eines gasförmigen Gemisches, das Ar, CHF3 und C4F6 umfaßt. Nach dem Ätzen des Kontaktlochs 17 kann das Loch optional mit einer wohlbekannten Technik gereinigt werden, wie z. B. Sputtern.
  • Wie aus 1e deutlich wird, bildet die Struktur, die den Leitungsbahngraben 15 und das Kontaktloch 17 umfaßt, kollektiv die Damascene-Struktur, wobei die Seitenwände des Grabens mit der PVD-TiN-Schicht mit sehr geringer Stufenüberdeckung bedeckt sind, welche im wesentlichen keine Überdeckung am Durchgangslochboden aufweist.
  • Die Wolfram-(W)-Zwischenverbindungen, die die darunterliegenden mittleren Schichten kontaktieren, werden anschließend in diese Damascene-Struktur abgeschieden, wie in 1f gezeigt ist. Die Wolfram-Zwischenverbindung 18 kann unter Verwendung der CVD abgeschieden werden, indem irgendein bekannter Prozeß genutzt wird; ein Beispiel für den Prozeß, der zum Abscheiden der Wolfram-Zwischenverbindung verwendet wird, ist jedoch die Silan-Reduktion, die durch die Reaktion in der folgenden Formel dargestellt ist: WF6 + 3SiH4 → 2W + 3SiF4 + 6H2 Tabelle
    Figure 00130001
  • Wie aus Tabelle 1 deutlich wird, wird dann, wenn eine Liner-Schicht mit sehr geringer Stufenüberdeckung wie z. B. PVD-TiN verwendet wird, eine angemessene Haftung der W-Zwischenverbindungen ohne Beeinträchtigung des Kontaktwiderstands geschaffen.

Claims (11)

  1. Verfahren zum Auffüllen einer Dual-Damascene-Struktur mit den Schritte: Bereitstellen eines Halbleitersubstrats (10) mit aktiven und passiven Bereichen; Ausbilden einer dielektrischen Zwischen-(ILD)-schicht (11) auf dem Halbleitersubstrats (10); Ausbilden einer Ätzstoppschicht (12) auf der ILD-Schicht (11); Ausbilden einer dielektrischen Zwischenmetall-(IMD)schicht (13) auf der Ätzstoppschicht (12); Erzeugen eines Leitungsgrabens (14) in der IMD-Schicht (13), wobei mit einem zweistufigen Ätzprozess der Leitungsgraben in die IMD-Schicht geätzt und die Ätzstoppschicht (12) vom Boden des Leitungsgrabens entfernt wird; Erzeugen einer Liner-Schicht (15) ausschließlich an den Seitenwänden des Leitungsgrabens (14) in der IMD-Schicht (13) durch Beschichten der IMD-Schicht mit der Liner-Schicht bei sehr geringen Stufenüberdeckung und anschließenden Entfernen der Liner-Schicht von der Oberfläche der IMD-Schicht; Erzeugen eines Kontaktlochs (17) in der ILD-Schicht (11) unter dem Leitungsgraben (14) in der IMD-Schicht (13) durch Ätzen der ILD-Schicht (11); und Auffüllen des Kontaktlochs (17) in der ILD-Schicht (11) und des Leitungsgrabens (14) in der IMD-Schicht (13) mit Wolfram.
  2. Verfahren nach Anspruch 1, wobei die Liner-Schicht (14) ein hochschmelzendes Metall oder eine Verbindung eines solchen Metalls ist.
  3. Verfahren nach Anspruch 2, wobei die Liner- Schicht (14) TiN enthält, das mittels PVD oder durch Nitridieren eines PVD-Ti gebildet wird.
  4. Verfahren nach einem der Ansprüche 1 bis 3, wobei die ILD-Schicht (11) aus Siliciumoxid gebildet wird.
  5. Verfahren nach Anspruch 4; wobei das Kontaktloch in die ILD-Schicht (11) mit einem Gemisch, das die Gase Ar, CHF3 und C4F8 umfasst, ausgeführt wird.
  6. Verfahren nach einem der Ansprüche 1 bis 5, wobei die Ätzstoppschicht (12) aus Siliciumnitrid gebildet wird.
  7. Verfahren nach einem der Ansprüche 1 bis 6, wobei die IMD-Schicht (14) aus Phosphorsilikat-Glas (PSG) oder einem durch Abscheidung von Tetraethyl-Orthosilikat (TEOS) erzeugten Oxid unter Verwendung einer plasmaunterstützten chemischen Gasphasenabscheidung (PECVD) gebildet wird.
  8. Verfahren nach Anspruch 7, wobei der zweistufige Ätzprozess zum Ätzen des Leitungsgrabens (14) in die IMD-Schicht (13) und der Ätzstoppschicht (12) am Boden des Leitungsgrabens mit einem Gemisch, das die Gase O2, SO2 und CF4 umfasst, ausgeführt wird, wobei das Gemisch nach dem Ätzen des Leitungsgrabens (14) zu einem Gemisch, das die Gase O2, SO2, CF4 und He umfasst, modifiziert wird, um die Ätzstoppschicht (12) zu entfernen.
  9. Verfahren nach einem der Ansprüche 1 bis 8, wobei das Entfernen der Liner-Schicht von der Oberfläche der IMD-Schicht (14) unter Verwendung eines reaktiven Ionenätzens mit einem Gemisch, das die Gase SF6, HBr und CCl4 umfasst, erfolgt.
  10. Verfahren nach einem der Ansprüche 1 bis 8, wobei das Entfernen der Liner-Schicht von der Oberfläche der IMD-Schicht (14) unter Verwendung eines CMP-Verfahrens erfolgt.
  11. Verfahren nach einem der Ansprüche 1 bis 10, wobei eine Reinigung unter Verwendung eines RIE-Verfahrens erfolgt.
DE10244570A 2001-09-28 2002-09-25 Liner-Schicht mit geringer Stufenüberdeckung zur Verbesserung des Kontaktwiderstands bei W-Kontakten Expired - Fee Related DE10244570B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/965094 2001-09-28
US09/965,094 US6734097B2 (en) 2001-09-28 2001-09-28 Liner with poor step coverage to improve contact resistance in W contacts

Publications (2)

Publication Number Publication Date
DE10244570A1 DE10244570A1 (de) 2003-04-30
DE10244570B4 true DE10244570B4 (de) 2007-08-16

Family

ID=25509434

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10244570A Expired - Fee Related DE10244570B4 (de) 2001-09-28 2002-09-25 Liner-Schicht mit geringer Stufenüberdeckung zur Verbesserung des Kontaktwiderstands bei W-Kontakten

Country Status (2)

Country Link
US (1) US6734097B2 (de)
DE (1) DE10244570B4 (de)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6936512B2 (en) * 2002-09-27 2005-08-30 International Business Machines Corporation Semiconductor method and structure for simultaneously forming a trench capacitor dielectric and trench sidewall device dielectric
US20040222527A1 (en) * 2003-05-06 2004-11-11 Dostalik William W. Dual damascene pattern liner
DE102004044686B4 (de) * 2004-09-15 2006-08-31 Infineon Technologies Ag Integrierte Schaltungsanordnung mit Vias, die zwei Abschnitte haben, und Herstellungsverfahren
DE102005020132B4 (de) * 2005-04-29 2011-01-27 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung selbstjustierter Durchführungen in einer Metallisierungsschicht
KR100652795B1 (ko) * 2005-06-30 2006-12-01 주식회사 하이닉스반도체 질소성분이 함유된 금속막하드마스크를 이용한반도체소자의 스토리지노드콘택 형성 방법
US7915735B2 (en) 2005-08-05 2011-03-29 Micron Technology, Inc. Selective metal deposition over dielectric layers
US20070210448A1 (en) * 2006-03-10 2007-09-13 International Business Machines Corporation Electroless cobalt-containing liner for middle-of-the-line (mol) applications
US7407875B2 (en) * 2006-09-06 2008-08-05 International Business Machines Corporation Low resistance contact structure and fabrication thereof
US7615480B2 (en) * 2007-06-20 2009-11-10 Lam Research Corporation Methods of post-contact back end of the line through-hole via integration
US20090042399A1 (en) * 2007-08-08 2009-02-12 Brian Ashley Smith Method for Dry Develop of Trilayer Photoresist Patterns
US7830016B2 (en) * 2008-06-30 2010-11-09 Intel Corporation Seed layer for reduced resistance tungsten film
US9281314B1 (en) 2014-10-10 2016-03-08 Sandisk Technologies Inc. Non-volatile storage having oxide/nitride sidewall
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US10002789B2 (en) 2016-03-24 2018-06-19 International Business Machines Corporation High performance middle of line interconnects
US10546815B2 (en) 2018-05-31 2020-01-28 International Business Machines Corporation Low resistance interconnect structure with partial seed enhancement liner
US11114382B2 (en) 2018-10-19 2021-09-07 International Business Machines Corporation Middle-of-line interconnect having low metal-to-metal interface resistance
US10847367B2 (en) 2018-12-28 2020-11-24 Micron Technology, Inc. Methods of forming tungsten structures
US10903111B2 (en) 2019-03-20 2021-01-26 International Business Machines Corporation Semiconductor device with linerless contacts
US11244903B2 (en) 2019-12-30 2022-02-08 Micron Technology, Inc. Tungsten structures and methods of forming the structures
US11270910B2 (en) 2020-06-02 2022-03-08 International Business Machines Corporation Interconnect structure with partial sidewall liner

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6147409A (en) * 1998-06-15 2000-11-14 Lsi Logic Corporation Modified multilayered metal line structure for use with tungsten-filled vias in integrated circuit structures
US6200895B1 (en) * 1997-09-10 2001-03-13 Micron Technology, Inc. Method of forming an electrical connection
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4898841A (en) * 1988-06-16 1990-02-06 Northern Telecom Limited Method of filling contact holes for semiconductor devices and contact structures made by that method
US5486492A (en) * 1992-10-30 1996-01-23 Kawasaki Steel Corporation Method of forming multilayered wiring structure in semiconductor device
US5625231A (en) * 1995-03-10 1997-04-29 Advanced Micro Devices, Inc. Low cost solution to high aspect ratio contact/via adhesion layer application for deep sub-half micrometer back-end-of line technology
US5654589A (en) * 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
US5654233A (en) * 1996-04-08 1997-08-05 Taiwan Semiconductor Manufacturing Company Ltd Step coverage enhancement process for sub half micron contact/via
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
KR100238218B1 (ko) * 1996-11-29 2000-02-01 윤종용 반도체장치의 커패시터 제조방법
US6093645A (en) * 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US5972179A (en) * 1997-09-30 1999-10-26 Lucent Technologies Inc. Silicon IC contacts using composite TiN barrier layer
US6001415A (en) * 1997-12-03 1999-12-14 Advanced Micro Devices, Inc. Via with barrier layer for impeding diffusion of conductive material from via into insulator
US6110826A (en) * 1998-06-08 2000-08-29 Industrial Technology Research Institute Dual damascene process using selective W CVD
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6200895B1 (en) * 1997-09-10 2001-03-13 Micron Technology, Inc. Method of forming an electrical connection
US6147409A (en) * 1998-06-15 2000-11-14 Lsi Logic Corporation Modified multilayered metal line structure for use with tungsten-filled vias in integrated circuit structures
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects

Also Published As

Publication number Publication date
US20030068894A1 (en) 2003-04-10
US6734097B2 (en) 2004-05-11
DE10244570A1 (de) 2003-04-30

Similar Documents

Publication Publication Date Title
DE10244570B4 (de) Liner-Schicht mit geringer Stufenüberdeckung zur Verbesserung des Kontaktwiderstands bei W-Kontakten
DE102015107271B4 (de) Zwei- oder mehrteilige Ätzstoppschicht in integrierten Schaltungen
DE69333604T2 (de) Durch PVD und DVD gebildete, mit hochschmelzendem Metall abgedeckte Metallleiterbahnen und Durchgangslöcher mit niedrigem spezifischen Widerstand
DE69531244T2 (de) Vereinfachter doppel-damaszenen prozess für die herstellung einer mehrlagen-metallisierung und einer verbindungsstruktur
DE10256346B4 (de) Halbleiterbauelement mit MIM-Kondensator und Zwischenverbindung und Herstellungsverfahren dafür
US5801094A (en) Dual damascene process
DE60031631T2 (de) Verfahren zum Vermeiden von Kupfer-Kontamination der Seitenflächen eines Kontaktloches oder einer Doppel-Damaszenen-Struktur
DE69933933T2 (de) Verfahren zur herstellung einer leiterbahnstruktur für eine integrierte schaltung
DE102008033395B3 (de) Verfahren zur Herstellung eines Halbleiterbauelementes und Halbleiterbauelement
DE60127767T2 (de) Verfahren zur Herstellung von metallisierten Verbindungsstrukturen in einem integrierten Schaltkreis
DE19834917A1 (de) Verfahren zum Bilden von selbstausrichtenden Durchgängen in integrierten Schaltungen mit mehreren Metallebenen
DE102004037089A1 (de) Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
DE102008016425A1 (de) Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
DE102004005697B4 (de) Herstellungsverfahren für eine widerstandsfähige Via-Struktur und zugehörige Via-Struktur
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE102005057076A1 (de) Technik zum Verbessern der Haftung von Metallisierungsschichten durch Vorsehen von Platzhalterkontaktdurchführungen
DE19626039C2 (de) Verfahren zum Herstellen einer Metalleitung
DE10104204A1 (de) Halbleiter-Vorrichtung und Verfahren zur Herstellung derselben
DE102006036797B4 (de) Verfahren zur Herstellung einer Einzel-Damaszen Struktur mit Einwegschablone
DE19920757B4 (de) Verfahren zum Bilden eines nicht-linearen Schaltelementes auf einer integrierten Schaltung und elektrische Zwischenverbindungsstruktur
DE102004001853B3 (de) Verfahren zum Herstellen von Kontaktierungsanschlüssen
DE60132707T2 (de) Niedrigtemperaturverfahren zur Unterdrückung von Hügeln in Verbindungsleitungen von integrierten Schaltkreisen
DE19531602C2 (de) Verbindungsstruktur einer Halbleitereinrichtung und ihr Herstellungsverfahren
DE69930027T2 (de) Metallisierungsverfahren für Halbleiter
DE19716791B4 (de) Verfahren zum Herstellen von Kontaktöffnungen in einer mehrschichtigen Halbleiterstruktur

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: QIMONDA AG, 81739 MUENCHEN, DE

Owner name: INTERNATIONAL BUSINESS MACHINES CORP., ARMONK,, US

8339 Ceased/non-payment of the annual fee