DE69930027T2 - Metallisierungsverfahren für Halbleiter - Google Patents

Metallisierungsverfahren für Halbleiter Download PDF

Info

Publication number
DE69930027T2
DE69930027T2 DE69930027T DE69930027T DE69930027T2 DE 69930027 T2 DE69930027 T2 DE 69930027T2 DE 69930027 T DE69930027 T DE 69930027T DE 69930027 T DE69930027 T DE 69930027T DE 69930027 T2 DE69930027 T2 DE 69930027T2
Authority
DE
Germany
Prior art keywords
dielectric layer
metallization
layer
portions
incisions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69930027T
Other languages
English (en)
Other versions
DE69930027D1 (de
Inventor
Young-Jin Poughkeepsie Park
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qimonda AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of DE69930027D1 publication Critical patent/DE69930027D1/de
Application granted granted Critical
Publication of DE69930027T2 publication Critical patent/DE69930027T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

  • Hintergrund der Erfindung
  • Diese Erfindung betrifft im Allgemeinen Metallisierungssysteme und -verfahren für Halbleiter und genauer Metallisierungssysteme mit einer verringerten Kapazität zwischen den Leitern.
  • Wie aus dem Stand der Technik bekannt ist, werden die gegenwärtigen Verfahren zum Ausbilden von elektrischen Leitern (d.h. leitenden Drähten) in die folgenden Verfahren eingeteilt: ein Verfahren des reaktiven Ionen-Ätzens (RIE); und ein doppeltes Damaszener-Verfahren. Mit dem RIE-Verfahren wird eine dielektrische Schicht 10 über einem Halbleitersubstrat 12 ausgebildet, wie in 1A dargestellt ist. Durchtrittslöcher 14 werden durch ausgewählte Bereiche der dielektrischen Schicht 10 unter Verwendung einer zu einem Muster ausgebildeten Photoresist-Maske geätzt, wie in 1B dargestellt ist. Die Maske 16 wird entfernt, wie in 1C dargestellt ist. Eine Metallisierungsschicht 18 wird über der Oberfläche der geätzten dielektrischen Schicht 12 und durch die geätzten Durchtrittslöcher 14 aufgebracht, wie in 1D dargestellt ist. Eine zweite Photoresist-Schicht 20 wird anschließend wie in 1E dargestellt in einem Muster aufgebracht, um Abschnitte der Metallisierungsschicht 18 bloßzulegen, die die in die Metallisierungsschicht 18 als Muster auszubildenden Leiter trennen sollen. Anschließend wird ein RIE-Prozess angewendet, um die freiliegenden Abschnitte der Metallisierungsschicht 18 zu entfernen, um dadurch die in 1F dargestellten dielektrisch isolierten Leiter 22 zu bilden.
  • Mit dem doppelten Damaszener-Verfahren wird wiederum eine dielektrische Schicht 10 über einem Halbleitersubstrat 12 ausgebildet, wie in 2A dargestellt ist. Durchtrittslöcher 14 werden durch ausgewählte Abschnitte der dielektri schen Schicht 10 unter Verwendung einer zu einem Muster ausgebildeten Photoresist-Maske 16 geätzt, wie in 2B dargestellt ist. Die Maske 16 wird entfernt, wie in 2C dargestellt ist. Eine zweite Photoresist-Schicht 16' wird über der dielektrischen Schicht 10 ausgebildet und besitzt ein derartiges Muster, dass Oberflächenabschnitte der dielektrischen Schicht 10, die entlang des Außenumfangs 15 der Durchtrittslöcher 14 angeordnet sind, bloßgelegt werden, wie in 2D dargestellt ist. Die freiliegenden Oberflächenabschnitte der dielektrischen Schicht 10 werden geätzt, um Einschnitte 14' in der dielektrischen Schicht 10 um die oberen Abschnitte der Durchtrittslöcher 14 zu bilden, wie in 2E dargestellt ist. Eine Metallisierungsschicht 18 wird auf die Oberfläche der geätzten dielektrischen Schicht 10 aufgebracht, wobei Abschnitte der Metallisierungsschicht durch die Durchtrittslöcher 14 treten, andere Abschnitte der Metallisierungsschicht in den Einschnitten 14' abgelagert werden und noch andere Abschnitte der Metallisierungsschicht 18 auf der Oberfläche der dielektrischen Schicht 10 verbleiben, wie in 2F dargestellt ist. Die Abschnitte der Metallisierungsschicht 18, die auf der oberen Oberfläche der dielektrischen Schicht 10 angeordnet sind, werden beispielsweise durch chemisch-mechanisches Polieren (CMP) entfernt, um dadurch die dielektrisch isolierten Leiter zu bilden, wie in 2G dargestellt ist. Es sei bemerkt, dass die oberen Oberflächenabschnitte der Leiter 22' für eine Verbindung mit anderen Vorrichtungen oder anderen nicht dargestellten Metallisierungsschichten, die über der Metallisierungsschicht 18 ausgebildet sein können, freiliegen.
  • Bei diesen beiden Verfahren ist die Kapazität zwischen aneinandergrenzenden Leitern 22, 22' umgekehrt proportional zum Abstand d zwischen solchen aneinandergrenzenden Leitern. Somit verringert eine Erhöhung der Dichte der Vorrichtung den Abstand d und erhöht die Kapazität zwischen aneinandergrenzenden Leitern. Diese Erhöhung der Kapazität führt zu einer Verzögerung von Signalen, die durch die Leiter 22, 22' laufen.
  • WO 97/47036 A offenbart eine Vielschicht-Zwischenverbindungsstruktur mit mehreren Leitungsebenen. Leiter einer Ebene sind versetzt zu den Leitern einer anderen Ebene angeordnet.
  • Zusammenfassung der Erfindung
  • Erfindungsgemäß wird ein Verfahren zum Bilden eines Metallisierungssystems vorgeschlagen. Das Verfahren beinhaltet die Bereitstellung eines Substrats. Eine dielektrische Schicht wird auf einer Oberfläche des Substrats ausgebildet. Eine Mehrzahl von Durchtrittslöchern wird in die Oberfläche der dielektrischen Schicht geformt, wobei die Löcher durch die dielektrische Schicht hindurchgehen. Einschnitte werden in der Oberfläche der dielektrischen Schicht ausgebildet, die in einem Abschnitt der Mehrzahl von Durchtrittslöchern enden, die durch die dielektrische Schicht hindurchgehen. Eine Metallisierungsschicht wird auf der Oberfläche der dielektrischen Schicht aufgebracht, wobei Abschnitte der Metallisierungsschicht durch die Durchtrittslöcher hindurch treten, Abschnitte der Metallisierungsschicht in den Einschnitten abgelagert werden und Einschnitte der Metallisierungsschicht auf der Oberfläche der dielektrischen Schicht abgelagert werden. Die Metallisierungsschicht wird musterförmig zu einer Mehrzahl von Leitern ausgebildet, wobei ein Abschnitt der Leiter auf der Oberfläche der dielektrischen Schicht angeordnet ist und ein anderer Abschnitt der Leiter in den Einschnitten angeordnet ist.
  • Mit einem solchen Verfahren werden elektrische Leiter auf unterschiedlichen Ebenen ausgebildet, wobei lediglich ein Maskier-Ätz-Schritt angewendet wird, um die Durchtrittslöcher durch die dielektrische Schicht zu bilden, und lediglich ein Metallisierungsablagerungsschritt angewendet wird, um die Me tallisierungsschicht aufzubringen, die beide Ebenen von Leitern erzeugt.
  • Gemäß einem anderen Merkmal der Erfindung wird jeweils ein auf der Oberfläche angeordneter Leiter angrenzend an einen Leiter ausgebildet, der in einen Abschnitt der Oberfläche der dielektrischen Schicht eingeschnitten ist.
  • Gemäß noch einem anderen Merkmal der Erfindung wird die Mehrzahl von Leitern parallel zueinander ausgebildet.
  • Gemäß noch einem weiteren Merkmal der Erfindung besitzt der zuerst erwähnte Abschnitt der Mehrzahl von Leitern untere Oberflächenabschnitte, die auf einer oberen Oberfläche der dielektrischen Schicht ausgebildet sind, und die Leiter, die in einen Abschnitt der Oberfläche der dielektrischen Schicht eingeschnitten sind, besitzen obere Oberflächenabschnitte, die entlang der oberen Oberfläche der dielektrischen Schicht ausgebildet sind.
  • Kurze Beschreibung der Zeichnungen
  • Andere Merkmale der Erfindung sowie die Erfindung selbst werden deutlicher aus der nachfolgenden detaillierten Beschreibung ersichtlich, wenn man diese zusammen mit den begleitenden Zeichnungen liest.
  • 1A1F sind schematische Querschnittsskizzen eines Halbleitermetallisierungssystems nach dem Stand der Technik;
  • 2A2G sind schematische Querschnittsskizzen eines Halbleitermetallisierungssystems nach dem Stand der Technik;
  • 3A3H sind schematische Querschnittsskizzen eines Halbleitermetallisierungssystems nach der Erfindung; und
  • 4 ist eine schematische Darstellung eines Abschnitts der Skizze aus 3H.
  • Detaillierte Beschreibung
  • Bezug nehmend auf 3A wird ein Substrat 12, hier beispielsweise ein Halbleitersubstrat, bereitgestellt, in das eine Mehrzahl von aktiven Vorrichtungen, die nicht dargestellt sind, geformt ist. Eine dielektrische Schicht 10 wird über einer Oberfläche des Substrats 12 ausgebildet. Eine Mehrzahl von Durchtrittslöchern 14 wird durch die dielektrische Schicht ausgebildet, wie in 3C dargestellt ist, und zwar unter Verwendung einer Ätzmaske 16, wie in 3B dargestellt ist, und einer beliebigen konventionellen photolithographischen Ätztechnik. Die Durchtrittslöcher 14 werden durch die dielektrische Schicht 10 bis zum Substrat 12 geätzt. Eine zweite Maske 16'' wird über der Struktur ausgebildet, wie in 3D dargestellt ist. Es sei bemerkt, dass die Maske 16'' ähnlich zur Maske 16' in 2D ist. Hier liegen die Öffnungen in der Maske 16" jedoch lediglich über bestimmten Löchern 14. Einschnitte 14' werden in den oberen Abschnitten der oberen Oberfläche der dielektrischen Schicht 10 ausgebildet, die von den Öffnungen in der Maske 16'' bloßgelegt sind, wie in 3E dargestellt ist. Die Einschnitte 14' enden in einem oberen Abschnitt der Mehrzahl von Durchtrittslöchern 14, die durch die dielektrische Schicht 10 hindurchgehen. Eine Metallisierungsschicht 18 wird über der oberen Oberfläche der dielektrischen Schicht 10 aufgebracht, wie in 3F dargestellt ist. Abschnitte der Metallisierungsschicht 18 treten durch die Durchtrittslöcher 14 hindurch, andere Abschnitte der Metallisierungsschicht 18 werden in den Einschnitten 14' abgelagert und noch andere Abschnitte der Metallisierungsschicht verbleiben auf der oberen Oberfläche der dielektrischen Schicht 10, wie in 3F dargestellt ist. Die Metallisierungsschicht 18 wird mit einer Maske 16''' maskiert, wie in 3G dargestellt ist. Die Metallisierungsschicht 18 wird mit einer Maske 16''' unter Verwendung eines RIE-Prozesses musterförmig in eine Mehrzahl von Leitern 22, 22' unterteilt, um die in 3H dargestellte Struktur zu bilden. Ein Abschnitt der Leiter 22 wird auf der Oberfläche der dielektrischen Schicht 10 angeordnet, und ein anderer Abschnitt der Leiter 22' wird in den Einschnitten 14' angeordnet, wie in 3H dargestellt ist.
  • Genauer gesagt ist Bezug nehmend auf 3A die dielektrische Schicht 10, die über einem Halbleitersubstrat 12 ausgebildet wird, Siliziumdioxid, wobei es klar ist, dass andere dielektrische Materialien ebenso verwendet werden können. Die Durchtrittslöcher 14 werden unter Verwendung einer musterförmig ausgebildeten Photoresist-Maske durch die dielektrische Schicht 10 geätzt, wie in 3B dargestellt ist. Die Löcher werden in einem Abstand geätzt, der für die Trennung zwischen den auszubildenden elektrischen Leitern gewünscht ist. Die zweite Photoresist-Schicht 16'' wird über der dielektrischen Schicht 10 ausgebildet und besitzt ein derartiges Muster, dass Oberflächenabschnitte der dielektrischen Schicht 10, die um bestimmte Durchtrittslöcher 14 herum angeordnet sind, bloßgelegt werden, wie in 3D dargestellt ist. Es sei bemerkt, dass Öffnungen in der Maske 16'' periodisch über den Durchtrittslöchern 14 ausgebildet sind. Die freiliegenden Oberflächenabschnitte der dielektrischen Schicht 10 werden geätzt, um Einschnitte 14' der dielektrischen Schicht 10 um die Durchtrittslöcher zu bilden, wie in 3E dargestellt ist. Es sei bemerkt, dass die Einschnitte 14' in den oberen Abschnitten lediglich bestimmter periodischer Durchtrittslöcher 14 ausgebildet werden.
  • Die Metallisierungsschicht 18 (3F) wird über der Oberfläche der geätzten dielektrischen Schicht 10 aufgebracht, wobei Abschnitte der Metallisierungsschicht 18 durch die Durchtrittslöcher 14 hindurch treten, andere Abschnitte der Metallisierungsschicht 18 sich in den Einschnitten 14' ablagern und noch andere Abschnitte der Metallisierungsschicht 18 auf der Oberfläche der dielektrischen Schicht 10 verbleiben, wie in 3G dargestellt ist. Eine dritte Photoresist-Schicht 16''' wird über der Metallisierungsschicht 18 angeordnet, wie in 3G dargestellt ist. Es sei bemerkt, dass Öffnungen in der Maske 16''' lediglich über den Einschnitten 14' liegen, da eine solche Maske 16''' über den Durchtrittslöchern 14 angeordnet wird, die keine Einschnitte 14' besitzen. Die Maske 16''' wird als eine Ätzmaske verwendet, um ein Muster in der Metallisierungsschicht 18 auszubilden, wie in 3H dargestellt ist. Somit wird die Metallisierungsschicht 18 (3G) zu einem Muster elektrischer Leiter 22, 22' geformt. Genauer gesagt wird ein RIE-Verfahren angewendet, um die freiliegenden Abschnitte der Metallisierungsschicht 18 zu entfernen, wodurch die dielektrisch isolierten Leiter 22, 22' gebildet werden, wie in 3H dargestellt ist.
  • Somit wird, wie in 3H dargestellt ist, ein Metallisierungssystem für eine integrierte Schaltung bereitgestellt, wobei die dielektrische Schicht 10 über dem Halbleitersubstrat 12 angeordnet wird. Die Mehrzahl elektrischer Leiter 22, 22' wird geschaffen, wobei ein Teil der Leiter, d.h. 22, auf der Oberfläche der dielektrischen Schicht, hier der oberen Oberfläche der dielektrischen Schicht 10, angeordnet wird und ein anderer Teil der Leiter 22' in einen Oberflächenabschnitt der dielektrischen Schicht 10 eingeschnitten wird. Die Leiter 22 grenzen jeweils an die Leiter 22' an, die in einen Abschnitt der Oberfläche der dielektrischen Schicht 10 eingeschnitten sind. Jeder aus der Mehrzahl von Leitern 22, 22' besitzt einen Abschnitt 30, der sich durch die dielektrische Schicht 10 erstreckt. Die Mehrzahl von Leitern 22, 22' verläuft parallel zueinander in einer Richtung senkrecht zur Ebene des Papiers. Die Mehrzahl von Leitern 22 besitzt untere Oberflächenabschnitte 62, die auf einer oberen Oberfläche 33 der dielektrischen Schicht 10 angeordnet sind, und die Leiter 22', die in einen Abschnitt der Oberfläche der dielektrischen Schicht 10 eingeschnitten sind, besitzen oberen Oberflächenabschnitte 34, die entlang der oberen Oberfläche 33 der dielektrischen Schicht 10 angeordnet sind. Somit steigt der Abstand zwischen aneinandergrenzenden Leitern 22, 22' verglichen mit dem Abstand d zwischen den Seitenwänden aneinandergrenzender Leiter 22', wie oben in Verbindung mit 2G beschrieben wurde. Bezug nehmend auf 2 sei beispielsweise bemerkt, dass der Abstand d der Abstand zwischen aneinandergrenzenden Leitern 22' ist; vorteilhafterweise ist der tatsächliche Abstand d', der die Strom führenden Abschnitte aneinandergrenzender Leiter 22, 22' trennt, größer als der Abstand d.
  • Es sollte klar sein, dass das beschriebene Verfahren auf viele verschiedene leitende Materialien angewendet werden kann, beispielsweise auf dotiertes amorphes oder polykristallines Silizium oder auf eines der folgenden Metalle oder eine Kombination hiervon: Titan, Titannitrid, Wolframnitrid, Aluminium, Kobalt, Tantal, Tantalnitrid, Kupfer, Silber, Gold, Platin, Rubidium, Rubidiumoxid, Iridium oder Iridiumoxid, um einige Beispiele zu nennen. Außerdem kann die Musterbildung der Metallisierungsschicht durch Ätzen einer solchen Metallisierungsschicht beispielsweise unter Anwendung des reaktiven Ionen-Ätzens durchgeführt werden, aber auch durch Ionenzermahlung, anisotropes Trockenätzen oder Nassätzen, wenn der Musterabstand relativ groß ist. Die Leiter können als Wortleitungen, Bitleitungen, Adressleitungen und Steuertaktleitungen in DRAM-Zellen verwendet werden, und auch beispielsweise für Datenbusleitungen und Eingangs-/Ausgangsleitungen in den meisten Halbleitervorrichtungen.
  • Es sei bemerkt, dass das oben in Verbindung mit den 3A3H beschriebene Verfahren verwendet werden kann, um eine zweite Schicht von Leitern zu erzeugen.
  • Andere Ausführungsformen liegen innerhalb des Schutzumfangs der beigefügten Ansprüche. Beispielsweise kann das Substrat eine Metallisierungsschicht sein, auch wenn das oben genannte Substrat 12 ein Halbleitersubstrat ist.

Claims (6)

  1. Verfahren zur Herstellung eines Metallisierungssystems mit folgenden Schritten: Bereitstellen eines Substrats (12); Ausbilden einer dielektrischen Schicht (10) über einer Oberfläche des Substrats (12); Ausbilden einer Mehrzahl von Durchtrittslöchern (14) in der Oberfläche der dielektrischen Schicht (10), wobei die Durchtrittslöcher (14) sich durch die dielektrische Schicht (10) zum Substrat (12) hin erstrecken; Ausbilden von Einschnitten (14') in der Oberfläche der dielektrischen Schicht (10), wobei die Einschnitte (14') bei bestimmten Durchtrittslöchern (14) enden, die durch die dielektrische Schicht verlaufen; Aufbringen einer Metallisierungsschicht (18) über der Oberfläche der dielektrischen Schicht (10), wobei Abschnitte der Metallisierungsschicht (18) in den Durchtrittslöchern (14) abgelagert werden, Abschnitte der Metallisierungsschicht (18) in den Einschnitten (14') abgelagert werden und Abschnitte der Metallisierungsschicht (18) auf der Oberfläche der dielektrischen Schicht (10) abgelagert werden; Ausbilden eines Musters in der Metallisierungsschicht hin zu einer Mehrzahl von Leitern (22, 22'), so dass bestimmte (22) Leiter auf der dielektrischen Schicht (10) angeordnet werden und die anderen (22') Leiter in den Einschnitten (14') angeordnet werden, und dass jeder Leiter (22, 22') sich in direktem Kontakt mit einem ent sprechenden Abschnitt der Metallisierungsschicht befindet, der in einem Durchtrittsloch abgelagert ist.
  2. Verfahren nach Anspruch 1, wobei das Substrat (12) ein Halbleitersubstrat ist.
  3. Verfahren nach Anspruch 1, wobei das Substrat (12) eine Metallisierungsschicht ist.
  4. Verfahren nach Anspruch 1, wobei die Mehrzahl von Leitern (22, 22') parallel zueinander ausgebildet werden.
  5. Verfahren nach Anspruch 1, wobei die Leiter (22'), die in den Einschnitten (14') angeordnet sind, obere Oberflächenabschnitte besitzen, die entlang der Oberfläche der dielektrischen Schicht (10) angeordnet werden.
  6. Verfahren nach Anspruch 1, wobei die Leiter (22), die auf der Oberfläche der dielektrischen Schicht (10) angeordnet werden, und die Leiter (22'), die in den Einschnitten (14') angeordnet werden, abwechselnd ausgebildet werden.
DE69930027T 1998-06-17 1999-05-31 Metallisierungsverfahren für Halbleiter Expired - Lifetime DE69930027T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/099,093 US6137178A (en) 1998-06-17 1998-06-17 Semiconductor metalization system and method
US99093 1998-06-17

Publications (2)

Publication Number Publication Date
DE69930027D1 DE69930027D1 (de) 2006-04-27
DE69930027T2 true DE69930027T2 (de) 2006-09-14

Family

ID=22272661

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69930027T Expired - Lifetime DE69930027T2 (de) 1998-06-17 1999-05-31 Metallisierungsverfahren für Halbleiter

Country Status (7)

Country Link
US (1) US6137178A (de)
EP (1) EP0966035B1 (de)
JP (1) JP2000031280A (de)
KR (1) KR100598256B1 (de)
CN (1) CN1139112C (de)
DE (1) DE69930027T2 (de)
TW (1) TW417204B (de)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2786609B1 (fr) * 1998-11-26 2003-10-17 St Microelectronics Sa Circuit integre a capacite interlignes reduite et procede de fabrication associe
US20060017162A1 (en) * 1999-03-12 2006-01-26 Shoji Seta Semiconductor device and manufacturing method of the same
US6849923B2 (en) 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
US6420252B1 (en) * 2000-05-10 2002-07-16 Emcore Corporation Methods of forming robust metal contacts on compound semiconductors
US7892962B2 (en) * 2007-09-05 2011-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Nail-shaped pillar for wafer-level chip-scale packaging
JP2011527830A (ja) * 2008-07-09 2011-11-04 テセラ・インターコネクト・マテリアルズ,インコーポレイテッド 導体間隙が縮小された超小型電子相互接続素子
WO2010009295A2 (en) * 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
EP2359410A4 (de) 2008-12-10 2014-09-24 Applied Materials Inc Verbessertes sichtsystem zur ausrichtung von siebdruckmustern
US9064968B2 (en) * 2013-08-19 2015-06-23 Phison Electronics Corp. Non-volatile memory device and operation and fabricating methods thereof
US8772951B1 (en) 2013-08-29 2014-07-08 Qualcomm Incorporated Ultra fine pitch and spacing interconnects for substrate
US9159670B2 (en) 2013-08-29 2015-10-13 Qualcomm Incorporated Ultra fine pitch and spacing interconnects for substrate
KR102377372B1 (ko) * 2014-04-02 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 인터커넥트들을 형성하기 위한 방법
US20190067178A1 (en) * 2017-08-30 2019-02-28 Qualcomm Incorporated Fine pitch and spacing interconnects with reserve interconnect portion

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3057975B2 (ja) * 1993-09-27 2000-07-04 日本電気株式会社 集積回路の配線
US5471093A (en) * 1994-10-28 1995-11-28 Advanced Micro Devices, Inc. Pseudo-low dielectric constant technology
JPH08293523A (ja) * 1995-02-21 1996-11-05 Seiko Epson Corp 半導体装置およびその製造方法
US5702982A (en) * 1996-03-28 1997-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making metal contacts and interconnections concurrently on semiconductor integrated circuits
US5846876A (en) * 1996-06-05 1998-12-08 Advanced Micro Devices, Inc. Integrated circuit which uses a damascene process for producing staggered interconnect lines
US5753976A (en) * 1996-06-14 1998-05-19 Minnesota Mining And Manufacturing Company Multi-layer circuit having a via matrix interlayer connection
KR100219508B1 (ko) * 1996-12-30 1999-09-01 윤종용 반도체장치의 금속배선층 형성방법

Also Published As

Publication number Publication date
US6137178A (en) 2000-10-24
KR100598256B1 (ko) 2006-07-07
DE69930027D1 (de) 2006-04-27
CN1254949A (zh) 2000-05-31
TW417204B (en) 2001-01-01
EP0966035B1 (de) 2006-03-01
CN1139112C (zh) 2004-02-18
EP0966035A1 (de) 1999-12-22
KR20000006238A (ko) 2000-01-25
JP2000031280A (ja) 2000-01-28

Similar Documents

Publication Publication Date Title
DE69531244T2 (de) Vereinfachter doppel-damaszenen prozess für die herstellung einer mehrlagen-metallisierung und einer verbindungsstruktur
DE10318299B4 (de) Verfahren zur Bildung einer Doppeldamaszener-Zwischenverbindung
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE10256346B4 (de) Halbleiterbauelement mit MIM-Kondensator und Zwischenverbindung und Herstellungsverfahren dafür
DE102004003315B4 (de) Halbleitervorrichtung mit elektrischem Kontakt und Verfahren zur Herstellung derselben
DE69826934T2 (de) Verfahren zur Herstellung einer Doppel-Damaszener Struktur
DE19834917A1 (de) Verfahren zum Bilden von selbstausrichtenden Durchgängen in integrierten Schaltungen mit mehreren Metallebenen
DE10245179B4 (de) Leitungen auf mehreren Ebenen mit reduziertem Rasterabstand und Verfahren zur Herstellung
DE69930027T2 (de) Metallisierungsverfahren für Halbleiter
DE10244570B4 (de) Liner-Schicht mit geringer Stufenüberdeckung zur Verbesserung des Kontaktwiderstands bei W-Kontakten
DE19626039C2 (de) Verfahren zum Herstellen einer Metalleitung
DE102018205693B4 (de) Verfahren zum bilden von selbstausgerichteten schnitten
DE102019203224A1 (de) Selbstausgerichtete Mehrfachstrukturierungsprozesse mit geschichteten Dornen
DE10347428B4 (de) Herstellungsverfahren für ein DRAM hoher Dichte mit reduziertem Peripherievorrichtungsbereich
DE102004001853B3 (de) Verfahren zum Herstellen von Kontaktierungsanschlüssen
DE19531602C2 (de) Verbindungsstruktur einer Halbleitereinrichtung und ihr Herstellungsverfahren
DE10046915A1 (de) Halbleitervorrichtung und Verfahren zum Herstellen derselben
DE19924651B4 (de) Verfahren zur Herstellung eines Kontakts eines Halbleiterspeicherbauelements
DE102019100014B4 (de) Verfahren zum Strukturieren von dielektrischen Schichten für eine Metallisierung und entsprechende Strukturen
DE19716791B4 (de) Verfahren zum Herstellen von Kontaktöffnungen in einer mehrschichtigen Halbleiterstruktur
DE19719909A1 (de) Zweifaches Damaszierverfahren
DE10334406A1 (de) Verfahren zur Ausbildung eines Kontaktes in einem Halbleiterprozeß
DE19608883C2 (de) Herstellungsverfahren für eine Halbleitervorrichtung und dadurch hergestellte Halbleitervorrichtung
DE19820488A1 (de) Herstellungsverfahren einer Halbleitervorrichtung
DE19920970C2 (de) Verfahren zum Ausbilden von Kontaktstrecken und gleichzeitigen Planarisieren einer Substratoberfläche in integrierten Schaltungen

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: QIMONDA AG, 81739 MUENCHEN, DE