DE60031631T2 - Verfahren zum Vermeiden von Kupfer-Kontamination der Seitenflächen eines Kontaktloches oder einer Doppel-Damaszenen-Struktur - Google Patents

Verfahren zum Vermeiden von Kupfer-Kontamination der Seitenflächen eines Kontaktloches oder einer Doppel-Damaszenen-Struktur Download PDF

Info

Publication number
DE60031631T2
DE60031631T2 DE60031631T DE60031631T DE60031631T2 DE 60031631 T2 DE60031631 T2 DE 60031631T2 DE 60031631 T DE60031631 T DE 60031631T DE 60031631 T DE60031631 T DE 60031631T DE 60031631 T2 DE60031631 T2 DE 60031631T2
Authority
DE
Germany
Prior art keywords
layer
dielectric layer
copper
copper metallization
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60031631T
Other languages
English (en)
Other versions
DE60031631D1 (de
Inventor
Subhash Gupta
Kwok Keung Paul Ho
Mei-Sheng Shou
Simon Chooi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Singapore Pte Ltd
Original Assignee
Chartered Semiconductor Manufacturing Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chartered Semiconductor Manufacturing Pte Ltd filed Critical Chartered Semiconductor Manufacturing Pte Ltd
Publication of DE60031631D1 publication Critical patent/DE60031631D1/de
Application granted granted Critical
Publication of DE60031631T2 publication Critical patent/DE60031631T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Description

  • Technischer Bereich
  • Diese Erfindung betrifft ein Verfahren zur Metallisierung bei der Herstellung integrierter Schaltkreise und insbesondere ein Verfahren zur Vermeidung einer Kontamination bei der Kupfermetallisierung während der Herstellung integrierter Schaltkreise.
  • Stand der Technik
  • Kupfermetallisierung wurde ein Zukunftstrend bei der Herstellung integrierter Schaltkreise. Jedoch ist die Kupferkontamination der Inter-Metall-Dielektikums-Schicht ein Problem. Beispielsweise wurde, wie in 1 dargestellt, eine erste Kupfermetallisierung 23 in einer dielektischen Schicht 18 ausgebildet. Eine Inter-Metall-Dielektikums-Schicht 25 wird auf der ersten Kupfermetallisierung ausgebildet. Wenn ein Kontaktloch 27 oder eine Doppel-Damaszenen-Öffnung durch die Inter-Metall-Dielektikums-Schicht 25 zu der darunterliegenden ersten Kupfermetallisierung 23 gemacht wird, wird etwas des darunterliegenden Kupfers zerstäubt und eine Wiederablagerung 29 an der Seitenwand des Kontaktloches oder der Doppel-Damaszenen-Öffnung. Dies wird eine Kontaminierung der Inter-Metall-Dielektikums-Schicht bewirken.
  • Diese Kontaminierung kann zu Kurzschlüssen zwischen den Leiterbahnen führen und wird die Leistung der Vorrichtung verschlechtern. Es ist erwünscht, eine Kupferkontamination der Inter-Metall-Dielektikums-Schicht zu verhindern.
  • Das U.S. Patent 5,451,551 von Krishnan et al. lehrt ein Verfahren zum Ausbilden einer Titan-Wolfram-Abdeckung auf dem Kupfer und dem Wegpolieren der überschüssigen Abdeckschicht. Das U.S. Patent 5,470,789 von Misawa zeigt eine Titan-Nitrid-Schicht, die wegpoliert wird. Diese beiden Patente lehren eine ähnliche Abdeckschicht wie die der vorliegenden Erfindung. Jedoch wird, da die Stufenhöhe zwischen dem offenen Bereich und der Kupfervertiefung nicht groß ist, die Selektivität des Entfernens mit CMP (oder Polieren) zwischen dem offenen Bereich und dem vertieften Bereich auch klein sein. Da ein übermäßiges Polieren erforderlich ist, um die leitende Abdeckschicht zwischen den Gräben zu entfernen, ist es sehr wahrscheinlich, dass das gesamte leitende Abeckmaterial auf einigen der Gräben während des übermäßigen Polierens entfernt wird. Das U.S. Patent 5,693,563 von Teong zeigt eine Barrierenschicht für Kupfer, aber die Kupfermetallisierung ist nicht zurückgesetzt. Das U.S. Patent 5,744,376 von Chan et al. offenbart eine Abdeckschicht über einer nicht zurückgesetzten Kupfermetallisierung. Das U.S. Patent 5,731,245 von Joshi et al. lehrt eine eine harte Abdeckung für ein Wolfram-Maskierungsverfahren. Das U.S. Patent 5,814,557 von Venkatraman et al. bildet eine Abdeckschicht über einer nicht zurückgesetzten Kupferschicht aus.
  • Zusammenfassung der Erfindung
  • Es ist eine Hauptaufgabe der vorliegenden Erfindung, ein effektives Verfahren einer Kupfermetallisierung bei der Herstellung von integrierten Schaltkreis-Vorrichtungen zur Verfügung zu stellen.
  • Eine weitere Aufgabe der Erfindung ist es, ein Doppel-Damaszenen-Kupfermetallisierungsverfahren zur Verfügung zu stellen.
  • Noch eine weitere Aufgabe der Erfindung ist es, eine Kupferkontamination der Inter-Metall-Dielektikums-Schicht während des Ätzens zu verhindern.
  • Noch eine weitere Aufgabe der Erfindung ist es, eine Kupferkontamination der Inter-Metall-Dielektikums-Schicht während des Kontaktloch- oder Doppel-Damaszenen-Ätzens zu verhindern.
  • Eine weitere Aufgabe der Erfindung ist es, eine Kupferkontamination der Inter-Metall-Dielektikums-Schicht während des Kontaktloch- oder Doppel-Damaszenen-Ätzens mittels Ausbildens einer Abdeckschicht über der ersten Kupfermetallisierung zu verhindern.
  • In Übereinstimmung mit den Aufgaben dieser Erfindung wird ein neues Verfahren zur Verhinderung einer Kupferkontamination der Inter-Metall-Dielektikums-Schicht während des Kontaktloch- oder Doppel-Damaszenen-Ätzens mittels Ausbildens einer Abdeckschicht über der ersten Kupfermetallisierung erreicht. Eine erste Kupfermetallisierung wird in einer dielektischen Schicht, die auf einem Halbleitersubstrat liegt, ausgebildet, wobei eine Barrierenmetallschicht ausgebildet wird, die unter der ersten Kupfermetallisierung und über der dielektischen Schicht liegt. Die erste Kupfermetallisierung wird eingeebnet, dann geätzt, um eine Vertieftung unter die Fläche der dielektischen Schicht zu bilden. Eine leitende Abdeckschicht wird auf der ersen Kupfermetallisierung in der Vertiefung liegend und auf der dielektischen Schicht liegend abgelagert. Die leitende Abdeckschicht wird unter Verwendung eines von verschiedenen Verfahren entfernt, außer über der ersten Kupfermetallisierung in der Vertiefung.
  • Gemäß einem Verfahren wird die leitende Abdeckschicht mit einem Spin-on Material beschichtet. Das Spin-on Material und die leitende Abdeckschicht über der dielektischen Schicht werden zurückgeätzt, und dann wird das Spin-on Material entfernt, wobei die leitende Abdeckschicht nur über der ersten Kupfermetallisierung in der Vertiefung zurückgelassen wird. Gemäß einem anderen Verfahren wird eine Maske auf dem Bereich der ersten Kupfermetallisierung unter Verwendung einer Umkehung der Maske ausgebildet, die verwendet wird, um den Bereich der ersten Kupfermetallisierung zu bilden, oder unter Verwendung der Maske, die verwendet wird, um den Bereich der ersten Kupfermetallisierung zu bilden, und eines Farbumkehrfotolacks. Die leitende Abdeckschicht wird weggeätzt, wo sie nicht durch die Maske überdeckt ist, wobei die leitende Abdeckschicht nur auf der ersten Kupfermetallisierung in der Vertiefung zurückgelassen wird. Gemäß dem dritten Verfahren wird die leitende Abdeckschicht teilweise wegpoliert, so dass sie dicker über der ersten Kupfermetallisierung als über der dielektischen Schicht ist. Dann werden die leitende Abdeckschicht und Barrierenmetallschicht, die auf der dielektischen Schicht liegen, zurückgeätzt, wobei die leitende Abdeckschicht nur auf der ersten Kupfermetallisierung in der Vertiefung zurückgelassen wird.
  • Eine Inter-Metall-Dielektikums-Schicht wird auf der dielektischen Schicht und der leitende Abdeckschicht, die auf der ersten Kupfermetallisierung liegt, abgelagert. Ein Kontaktloch oder eine Doppel-Damaszenen-Öffnung wird durch die Inter-Metall-Dielektikums-Schicht zu der leitenden Abdeckschicht geätzt, wobei die leitende Abdeckschicht eine Kupferkontamination der Inter-Metall-Dielektikums-Schicht während des Ätzens verhindert. Das Kontaktloch oder die Doppel-Damaszenen-Öffnung wird mit einer Metallschicht gefüllt, um die elektrischen Verbindungen bei der Herstellung einer integrierten Schaltkreis-Vorrichtung zu vervollständigen.
  • Beschreibung der Zeichnungen
  • In den begleitenden Zeichungen, die einen wesentlichen Teil dieser Beschreibung darstellen, sind dargestellt:
  • 1 illustriert schematisch in einer geschnittenen Darstellung eine Kupferkontamination bei einem Verfahren gemäß dem Stand der Technik.
  • 2 bis 6 illustrieren schematisch in geschnittenen Darstellungen ein erfindungsgemäßes Verfahren.
  • 7 bis 10 illustrieren schematisch in geschnittenen Darstellungen ein erstes, bevorzugtes Ausführungsbeispiel der vorliegenden Erfindung.
  • 7, 8, 11 und 12 illustrieren schematisch in geschnittenen Darstellungen ein weiteres bevorzugtes Ausführungsbeispiel der vorliegenden Erfindung.
  • 13 bis 15 illustrieren schematisch in geschnittenen Darstellungen ein weiteres bevorzugtes Ausführungsbeispiel der vorliegenden Erfindung.
  • 16 und 17 illustrieren schematisch in geschnittenen Darstellungen die Beendigung des Metallisierungsverfahrens gemäß der vorliegenden Erfindung.
  • Beschreibung der bevorzugten Ausführungsbeispiele
  • Die vorliegende Erfindung stellt ein Verfahren zum Verhindern einer Kontamination einer Inter-Metall-Dielektikums-Schicht mit Kupfer von einer darunterliegenden Kupferkontaktierung während des Ätzens eines Kontaktlochs oder einer Doppel-Damaszenen-Öffnung während der Metallisierung zur Verfügung.
  • Nun mehr Bezug nehmend auf 2, ist hierin ein Teil einer teilweise vervollständigten integrierten Schaltkreis-Vorrichtung dargestellt. Es ist ein Halbleitersubstrat 10, vorzugsweise bestehend aus monokristallinem Silizium, dargestellt. Eine Inter-Metall-Dielektikums- (IMD) oder eine Zwischenstufen- (ILD) Schicht 18 ist auf dem Wafersubstrat abgelagert. Halbleitervorrichtungsstrukturen, wie Gate-Elektroden, Source- und Drainbereiche, oder metallische Verbindungen, nicht dargestellt, können in oder auf dem Halbleitersubstrat und durch die IMD oder ILD-Schicht 18 bedeckt sein.
  • Nun wird eine Doppel-Damaszenen-Öffnung 21 in die IMD oder ILD-Schicht 18 gestaltet. Das Gestalten kann mittels eines beliebigen herkömmlichen Verfahrens erfolgen, einschließlich Via-First, Trench-First oder Embedded-Via.
  • Bezug nehmend nun auf 3, wird eine Barrierenmetallschicht 22 auf der IMD oder ILD-Schicht 18 und in der Öffnung 21 abgelagert. Die Barrierenmetallschicht weist beispielsweise Tantal oder eine Tantalverbindung, Titan oder eine Titanverbindung oder Wolfram oder eine Wolframverbindung auf, und kann eine Dicke von zwischen etwa 50 und 2000 Angström haben.
  • Eine Kupferschicht 24 wird mittels eines der bekannten Mittel, einschließlich physikalischer oder chemischer Dampfabscheidung, elektrochemischem Plattieren (ECP) oder ladungslosem Plattieren und so weiter, über der Barrierenmetallschicht 22 ausgebildet, wie in 4 dargestellt.
  • Das Übermaß an Kupferschicht über der IMD oder ILD-Schicht wird beispielsweise mittels chemisch-mechanischer Planarisierung wegpoliert, wie in 5 dargestellt. Die Barrierenmetallschicht 22 kann als Polierstoppschicht für das CMP-Verfahren verwendet werden. Alternativ wird die überschüssige Kupferschicht teilweise mittels CMP entfernt, bis die Oberfläche eben und nur eine dünne, gleichmäßige Kupferschicht auf der Waferoberfläche übrig ist.
  • Nun Bezug nehmend auf 6 wird das Kupfer 24 in dem Graben teilweise mittels eines nasschemischen Verfahrens entfernt; beispielsweise unter Verwendung herkömmlicher CH3COOH/NH4F oder CCl4/DMSO-Chemie, oder einer beliebigen anderen Chemie, um eine Vertiefung A auszubilden. Diese Vertiefung kann eine Tiefe von zwischen etwa 100 und 2000 Angström für eine Doppel-Damaszenen-Öffnung mit einer Tiefe von zwischen etwa 2000 und 20.000 Angström haben.
  • Nun wird eine Abdeckschicht auf der ersten Kupfermetallisierung ausgebildet, um eine Kupferkontaktierung der darüberliegenden IMD-Schicht während des nächsten Metallisierungslevels zu verhindern. Drei bevorzugte Ausführungsbeispiele der Erfindung zum Ausbilden dieser Kupferschicht werden nun beschrieben. Die Verfahren des Entfernens der Kupferschicht zwischen Gräben haben im Unterschied zu den Verfahren gemäß dem Stand der Technik eine große Auswahlgenauigkeit, so dass die Kupferschicht in den Gräben nicht entfernt wird. Das erste Ausführungsbeispiel wird unter Bezugnahme auf die 710 beschrieben. Ein anderes Ausführungsbeispiel wird unter Bezugnahme auf die 7, 8, 11 und 12 beschrieben. Noch ein anderes Ausführungsbeispiel wird unter Bezugnahme auf die 1315 beschrieben.
  • Nun insbesondere Bezug nehmend auf die 710, wird das erste Ausführungsbeispiel der vorliegenden Erfindung zur Ausbildung einer Kupferschicht auf der ersten Kupfermetallisierung beschrieben. Bezug nehmend nun auf 7, wird ein Decken-Plasma-Zurückätzen bis zur Barrierenmetallschicht 22 durchgeführt, um die Barrierenmetallschicht von der Oberfläche der IMD oder ILD-Schicht 18 zu entfernen. Zum Beispiel kann dieses Ätzen Chlor oder Flour-Plasma verwenden, um selektiv zum Barrierenmetall zu ätzen. Das Entfernen überschüssigen Barrierenmetalls verringert zu diesem Zeitpunkt Eckvorsprünge während der nachfolgenden Bearbeitung.
  • Nach dem Reinigen wird eine leitende Abdeckschicht auf der IMD oder ILD-Schicht 18 und der Kupferschicht 24, die vertieft in dem Graben ist, abgelagert. Die Abdeckschicht 26 wird verhindern, dass das darunterliegende Kupfer 24 auf die IMD-Schicht gesputtert wird, während des späteren Reaktivitätseisen-Ätzens (reactive iron etching – RIE). Es gibt eine Anzahl von Erfordernissen für die Abdeckschicht 26. Sein Ätz-Nebenprodukt, das während des Ätzens der darüberliegenden IMD-Schicht erzeugt wird, sollte einfach durch ein herkömmliches Reinigungsverfahren entfernbar sein. Die Schicht 26 sollte die Eigenschaften des Barrierenmetalls 22 aushalten, um als eine obere Diffusions-/Oxidationssperre für die Kupfergräben zu dienen. Ihre Dicke sollte für das Kontaktloch groß genug sein, um anzukommen, ohne das darunterliegende Kupfer 24 auszuhöhlen. Tantal oder eine Tantalverbindung, Titan oder eine Titanverbindung oder Wolfram oder eine Wolframverbindung und andere geeignete Materialien können für die Abdeckschicht 26 verwendet werden. Ihre Dicke beträgt vorzugsweise zwischen etwas 100 und 4000 Angström.
  • Nun muss das leitende Abdeckmaterial entfernt werden, außer wo es die Kupferschicht 24 in dem Graben abdeckt. Gemäß diesem Ausführungsbeispiel der Erfindung wird dies gemäß den folgenden Schritten erreicht.
  • Ein Spin-on Material 28 wird über der leitenden Barrierenschicht 26 bis zu einer Dicke von zwischen etwa 100 und 4000 Angström aufgebracht, wie in 9 dargestellt. Dieses Spin-on Material kann eine Barrieren- und Antireflexionsbeschichtungs-(BARC)-Schicht oder Abdecklack oder ein beliebiges anderes geeignetes Material aufweisen, das in die Vertiefung eingefüllt werden, eine eingeebnete Schicht bilden und der leitenden Abdeckschicht in dem Graben während des nachfolgenden Plasmaätzens Schutz bieten kann.
  • Nachfolgend wird, wie in 10 dargestellt, ein Zurückätzen der Decke und ein Ablösen der Abdeckschicht und des Spin-on Materials durchgeführt. Die leitende Abdeckung und die dünne Schicht des Spin-on Materials auf dem Feldbereich werden weggeätzt mittels eines Chlor- oder Flourplasmas, wobei das dickere Spin-on Material und die leitende Abdeckung auf den Gräben zurückbleibt. Der Zweck des Erzeugens der Vertiefung A, wie in 6 dargestellt, wird ersichtlich. Er dient dazu, ausreichend Rand während des Zurückätzens der Decke zur Verfügung zu stellen, so dass genug leitendes Abdeckmaterial 26 auf den Gräben verbleibt. Nach dem Zurückätzen kann der Rest des Spin-on Materials 28 mittels eines O2-Plasmas oder mittels eines Formgas-Plasmas abgetragen werden.
  • Nun insbesondere Bezug nehmend auf die 7, 8, 11 und 12, wird ein anderes Ausführungsbeispiel der vorliegenden Erfindung zum Ausbilden einer Abdeckschicht auf der ersten Kupfermetallisierung beschrieben. Wie oben beschrieben und in 7 dargestellt, wird ein Decken-Plasma-Zurückätzen der Barrierenmetallschicht 22 durchgeführt, um die Barrierenmetallschicht von der Oberfläche der IMD oder ILD-Schicht 18 zu entfernen.
  • Nach dem Reinigen wird die leitende Abdeckschicht 26 auf der IMD oder ILD-Schicht 18 und der in dem Graben vertieften Kupferschicht 24, wie oben beschrieben und in 8 dargestellt, abgelagert.
  • Nun muss das leitende Abdeckmaterial entfernt werden außer, wo es die Kupferschicht 24 in dem Graben abdeckt. Gemäß diesem Ausführungsbeispiel der Erfindung wird das gemäß den folgenden Schritten erreicht.
  • Nun Bezug nehmend auf 11, wird das Substrat mit einer Schicht aus Fotolack beschichtet und gemustert, um eine Fotomaske 30 zu bilden, unter Verwendung einer umgekehrten Maske oder unter Verwendung eines Farbumkehrfotolacks. Der Zweck des Erzeugens der Vertiefung A, wie in 6 dargestellt, wird offensichtlich. Sie dient dazu, ausreichend Rand während des Überlegens der Maske zur Verfügung zu stellen, so dass, selbst wenn es eine Verschiebung der Maske gibt, wie in 10 dargestellt, es ausreichend leitendes Abdeckmaterial auf den Graben nach dem Zurückätzen gibt.
  • Wie in 12 dargestellt, wird die leitende Abdeckschicht 26 weggeätzt, wo sie nicht durch die Maske 30 abgedeckt wurde, unter Verwendung eines Cl- oder F-Plasmas. Nach dem Ätzen kann der verbleibende Abdecklack mittels eines O2-Plasmas oder mittels eines Formgas-Plasmas abgetragen werden.
  • Nun insbesondere Bezug nehmend auf die 1315, wird ein anderes Ausführungsbeispiel der vorliegenden Erfindung zum Ausbilden einer Abdeckschicht auf der ersten Kupfermetallisierung beschrieben. Nachdem die Vertiefung A in 6 ausgebildet wurde, wird die leitende Abdeckschicht 26 auf der IMD oder ILD-Schicht 12 und der in dem Graben vertieften Kupferschicht 24 abgelagert, wie oben beschrieben und in 13 dargestellt.
  • Nun muss das leitende Abdeckmaterial entfernt werden außer, wo es die Kupferschicht 24 in dem Graben abdeckt. Gemäß diesem Ausführungsbeispiel der Erfindung wird das gemäß den folgenden Schritten erreicht.
  • Nun Bezug nehmend auf 14, wird ein Teil-CMP der leitenden Abdeckschicht 26 durchgeführt. Die leitende Abdeckschicht wird teilweise derart entfernt, dass das leitende Abdeckmaterial über den Gräben viel dicker als in den Feldbereichen ist. Dies ist ein Zeitmodus-CMP-Verfahren, das von der Dicke der Schicht 26 und der CMP-Rate abhängt.
  • Nun wird ein Zurückätzen der Decke der leitenden Abdeckschicht unter Verwendung eines Cl- oder F-Plasmas durchgeführt. Die leitende Abdeckschicht über dem Feldbereich wird gemeinsam mit der Barrierenmetallschicht 22 über den Feldbereichen weggeätzt. Dieser Schritt ist ähnlich einem CMP-Überpolierverfahren. Wie in 15 dargestellt, verbleibt die leitende Abdeckung 26 nur über den Gräben.
  • Nachdem die leitende Abdeckschicht 26 über der ersten Kupfermetallisierung ausgebildet wurde, wie gemäß einem der drei bevorzugten Ausführungsbeispielen zuvor beschrieben, wird eine andere Inter-Metall-Dielektikums- (IMD) Schicht 34 über der ersten Kupfermetallisierung abgelagert, wie in 16 dargestellt. Ein Kontaktloch oder eine Doppel-Damaszenen-Öffnung 35 wird durch die IMD-Schicht 34 zu der ersten Metallisierung erzeugt. Die leitende Abdeckschicht 26 wird durch die Öffnung 35 kontaktiert. Die Abdeckschicht 26 verhindert ein Zerstäuben von Kupfer von dem Graben 24 auf die Seitenwände der Öffnungen 35, wodurch die Kontamination der IMD-Schicht 34 verhindert wird. Da die Abdeckung 26 eine leitende Schicht ist, ist es nicht erforderlich, das darunterliegende Kupfer auszusetzen, um eine elektrische Verbindung zur Verfügung zu stellen.
  • Das Verfahren setzt sich fort, um die Metallisierung des zweiten Levels durch Ablagerung einer Barrierenschicht 36 und einer Kupferschicht 38 zu vervollständigen, wie in 17 dargestellt. Wenn ein weiterer Level einer Metallisierung, nicht dargestellt, erfolgen soll, sollte das erfindungsgemäße Verfahren zur Ausbildung einer leitenden Abdeckschicht 40 auf der Kupferschicht 38 verwendet werden. Eine Passivierungsschicht 44 vervollständigt die integrierte Schaltkreis-Vorrichtung. Das erfindungsgemäße Verfahren stellt ein Verfahren zur Verhinderung der Kontamination einer Inter-Metall-Dielektikums-Schicht durch eine darunterliegende Kupferschicht während des Kontaktloch- oder Doppel-Damaszenen-Ätzens zur Verfügung. Es wurden bevorzugte Ausführungsbeispiele zur Vervollständigung der leitenden Abdeckschicht gemäß der vorliegenden Erfindung beschrieben.

Claims (10)

  1. Verfahren zum Gestalten einer Abdeckschicht zum Verhindern einer Kupfer-Kontaminierung einer Inter-Metall-Dielektikums-Schicht während des Kontaktloch- oder Doppel-Damaszenen-Ätzens bei der Herstellung einer integrierten Schaltkreis-Vorrichtung aufweisend: – das Ausbilden einer ersten Kupfermetallisierung (24) in einer dielektrischen Schicht, die auf ein Halbleitersubstrat (10) liegt, wobei eine Barrierenmetallschicht (22) ausgebildet wird, die unter besagter ersten Kupfermetallisierung (24) und auf besagter dielektrischen Schicht liegt; – Einebnen besagter ersten Kupfermetallisierung; – Ätzen besagter ersten Kupfermetallisierung (24), um eine gegenüber der Oberfläche besagter dielektrischen Schicht vertiefte Vertiefung zu bilden; – Entfernen besagter Barrierenmetallschicht (22), die auf besagter dielektrischen Schicht liegt; – Ablagern einer leitenden Abdeckschicht (26), die auf besagter erster Kupfermetallisierung in besagter Vertiefung und auf besagter dielektrischer Schicht liegt; – Beschichten mit einem Spin-on Materials (28), das auf besagter leitender Abdeckschicht (26) liegt; – Zurückätzen besagten Spin-on Materials (28) und besagter leitender Abdeckschicht (26) über besagter dielektrischer Schicht; – nachfolgend Entfernen des gesamten besagten Spin-on Materials unter Zurücklassens besagter leitender Abdeckschicht von besagter erster Kupfermetallisierung (24) in besagter Vertiefung; – Ablagerung besagter Inter-Metall-Dielektikums-Schicht (34), die auf besagter dielektrischen Schicht und besagter leitender Abdeckschicht liegt, welche auf besagter ersten Kupfermetallisierung liegt; – Ätzens besagten Kontaktloches oder besagter Doppel-Damaszenen-Öffnung (35) durch besagte Inter-Metall-Dielektikums-Schicht bis zu besagter leitender Abdeckschicht, wobei besagte leitende Abdeckschicht eine Kupferkontamination besagter Inter-Metall-Dielektikums-Schicht während besagten Ätzens verhindert; und – Füllen besagten Kontaktloches oder besagter Doppel-Damaszenen-Öffnung mit einer Metallschicht, um die elektrische Kontaktierungen bei der Herstellung besagter integrierter Schaltkreis-Vorrichtung zu vervollständigen.
  2. Verfahren nach Anspruch 1, wobei besagter Schritt des Zurückätzens besagten Spin-on Materials und besagter leitender Abdeckschicht über besagter dielektischer Schicht ein Cl oder F-Plasmaätzen aufweist.
  3. Verfahren nach Anspruch 1, wobei besagter Schritt des Entfernens besagten Spin-on Materials ein Ablösen in einer O2-Plasma- oder Formgas-Atmosphäre aufweist.
  4. Verfahren nach Anspruch 1, wobei besagter Schritt des Entfernens besagter Barrierenmetallschicht vor dem besagten Schritt des Ablagerns besagter leitender Abdeckschicht durchgeführt wird.
  5. Verfahren nach Anspruch 1, wobei besagter Schritt des Entfernens besagter Barrierenmetallschicht das selektive Ätzen an besagter Barrierenmetallschicht unter Verwendung von Cl- oder F-Plasma aufweist.
  6. Verfahren nach Anspruch 1, wobei besagte Barrierenmetallschicht eine der Gruppen, die Tantal, Tantalverbindungen, Titan, Titanverbindungen, Wolfram und Wolframverbindungen enthalt, aufweist.
  7. Verfahren nach Anspruch 1, wobei besagter Schritt des Einebnens besagter erster Kupfermetallisierung das Polieren besagter erster Kupfermetallisierung unter Verwendung einer chemisch-mechanischer Planarisierung (CMP) aufweist, wobei besagte Barrierenmetallschicht als eine CMP-Stoppschicht verwendet wird.
  8. Verfahren nach Anspruch 1, wobei besagte Vertiefung durch ein Nassätzverfahren gebildet wird.
  9. Verfahren nach Anspruch 8, wobei besagtes Nassätzverfahren CH2COOH/NH4F oder DMSO/CCl4-Chemie aufweist.
  10. Verfahren nach Anspruch 1, wobei besagte leitende Abdeckschicht eine aus der der Gruppe, die Tantal, Tantalverbindungen, Titan, Titanverbindungen, Wolfram und Wolframverbindungen enthält, aufweist.
DE60031631T 1999-11-15 2000-11-13 Verfahren zum Vermeiden von Kupfer-Kontamination der Seitenflächen eines Kontaktloches oder einer Doppel-Damaszenen-Struktur Expired - Lifetime DE60031631T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US439361 1999-11-15
US09/439,361 US6114243A (en) 1999-11-15 1999-11-15 Method to avoid copper contamination on the sidewall of a via or a dual damascene structure

Publications (2)

Publication Number Publication Date
DE60031631D1 DE60031631D1 (de) 2006-12-14
DE60031631T2 true DE60031631T2 (de) 2007-08-16

Family

ID=23744411

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60031631T Expired - Lifetime DE60031631T2 (de) 1999-11-15 2000-11-13 Verfahren zum Vermeiden von Kupfer-Kontamination der Seitenflächen eines Kontaktloches oder einer Doppel-Damaszenen-Struktur

Country Status (6)

Country Link
US (1) US6114243A (de)
EP (1) EP1102315B1 (de)
JP (1) JP2001156073A (de)
AT (1) ATE344534T1 (de)
DE (1) DE60031631T2 (de)
SG (1) SG126670A1 (de)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3545177B2 (ja) * 1997-09-18 2004-07-21 株式会社荏原製作所 多層埋め込みCu配線形成方法
JP3164214B2 (ja) * 1998-11-04 2001-05-08 日本電気株式会社 金属膜の研磨方法
JP3708732B2 (ja) * 1998-12-25 2005-10-19 Necエレクトロニクス株式会社 半導体装置の製造方法
US6383917B1 (en) * 1999-10-21 2002-05-07 Intel Corporation Method for making integrated circuits
TW490718B (en) * 2000-01-25 2002-06-11 Toshiba Corp Semiconductor device and the manufacturing method thereof
JP4342075B2 (ja) * 2000-03-28 2009-10-14 株式会社東芝 半導体装置およびその製造方法
US6537912B1 (en) 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
US6294463B1 (en) * 2000-09-13 2001-09-25 Vanguard International Semiconductor Corp. Method for manufacturing diffusion barrier layer
US6406996B1 (en) * 2000-09-30 2002-06-18 Advanced Micro Devices, Inc. Sub-cap and method of manufacture therefor in integrated circuit capping layers
AU2001279032A1 (en) * 2000-11-14 2002-05-27 Advanced Micro Devices Inc. Method of forming conductive interconnections wherein a barrier layer is removed by an etching process
US6436814B1 (en) 2000-11-21 2002-08-20 International Business Machines Corporation Interconnection structure and method for fabricating same
US6709874B2 (en) * 2001-01-24 2004-03-23 Infineon Technologies Ag Method of manufacturing a metal cap layer for preventing damascene conductive lines from oxidation
US6632707B1 (en) * 2001-01-31 2003-10-14 Advanced Micro Devices, Inc. Method for forming an interconnect structure using a CVD organic BARC to mitigate via poisoning
KR100385227B1 (ko) * 2001-02-12 2003-05-27 삼성전자주식회사 구리 다층 배선을 가지는 반도체 장치 및 그 형성방법
US6649517B2 (en) 2001-05-18 2003-11-18 Chartered Semiconductor Manufacturing Ltd. Copper metal structure for the reduction of intra-metal capacitance
US6489240B1 (en) * 2001-05-31 2002-12-03 Advanced Micro Devices, Inc. Method for forming copper interconnects
JP2002367998A (ja) * 2001-06-11 2002-12-20 Ebara Corp 半導体装置及びその製造方法
US6537913B2 (en) * 2001-06-29 2003-03-25 Intel Corporation Method of making a semiconductor device with aluminum capped copper interconnect pads
US6461914B1 (en) * 2001-08-29 2002-10-08 Motorola, Inc. Process for making a MIM capacitor
US6617152B2 (en) * 2001-09-04 2003-09-09 Corning Inc Method for creating a cell growth surface on a polymeric substrate
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
CN100334691C (zh) * 2002-05-17 2007-08-29 株式会社荏原制作所 衬底加工设备和衬底加工方法
KR100474857B1 (ko) * 2002-06-29 2005-03-10 매그나칩 반도체 유한회사 반도체 소자의 구리 배선 형성방법
KR100475931B1 (ko) * 2002-07-02 2005-03-10 매그나칩 반도체 유한회사 반도체 소자의 다층 배선 형성방법
US20040048468A1 (en) * 2002-09-10 2004-03-11 Chartered Semiconductor Manufacturing Ltd. Barrier metal cap structure on copper lines and vias
US7005375B2 (en) * 2002-09-30 2006-02-28 Agere Systems Inc. Method to avoid copper contamination of a via or dual damascene structure
US7144811B2 (en) * 2002-10-03 2006-12-05 Taiwan Semiconductor Manufacturing Co. Ltd Method of forming a protective layer over Cu filled semiconductor features
US6919639B2 (en) * 2002-10-15 2005-07-19 The Board Of Regents, The University Of Texas System Multiple copper vias for integrated circuit metallization and methods of fabricating same
US6884728B2 (en) * 2002-11-06 2005-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for removing polymeric residue contamination on semiconductor feature sidewalls
US6706625B1 (en) 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US20040121583A1 (en) * 2002-12-19 2004-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming capping barrier layer over copper feature
KR100641502B1 (ko) * 2002-12-30 2006-10-31 동부일렉트로닉스 주식회사 반도체 소자 제조시 듀얼 다마신 공정을 이용한 콘텍형성방법
US20040219298A1 (en) * 2003-02-27 2004-11-04 Akira Fukunaga Substrate processing method and substrate processing apparatus
WO2004088745A1 (ja) * 2003-03-28 2004-10-14 Fujitsu Limited 半導体装置
US20040248405A1 (en) * 2003-06-02 2004-12-09 Akira Fukunaga Method of and apparatus for manufacturing semiconductor device
JP2005038971A (ja) * 2003-07-17 2005-02-10 Ebara Corp 半導体装置及びその製造方法
JP4041785B2 (ja) 2003-09-26 2008-01-30 松下電器産業株式会社 半導体装置の製造方法
US20050130407A1 (en) * 2003-12-12 2005-06-16 Jui-Neng Tu Dual damascene process for forming a multi-layer low-k dielectric interconnect
US20050173799A1 (en) * 2004-02-05 2005-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method for its fabricating
US7300875B2 (en) * 2004-02-11 2007-11-27 Infineon Technologies Richmond, Lp Post metal chemical mechanical polishing dry cleaning
US7071564B1 (en) * 2004-03-04 2006-07-04 Advanced Micro Devices, Inc. Composite tantalum capped inlaid copper with reduced electromigration and reduced stress migration
US20050194683A1 (en) * 2004-03-08 2005-09-08 Chen-Hua Yu Bonding structure and fabrication thereof
JP4235841B2 (ja) * 2004-05-14 2009-03-11 日本電気株式会社 信号処理装置および信号処理方法
JP4503401B2 (ja) * 2004-09-08 2010-07-14 株式会社荏原製作所 金属膜の成膜方法及び配線の形成方法
US7176119B2 (en) * 2004-09-20 2007-02-13 International Business Machines Corporation Method of fabricating copper damascene and dual damascene interconnect wiring
US7833896B2 (en) * 2004-09-23 2010-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Aluminum cap for reducing scratch and wire-bond bridging of bond pads
EP1820214A2 (de) 2004-12-01 2007-08-22 Koninklijke Philips Electronics N.V. Verfahren zur herstellung einer verindungsstruktur auf einer integrierten schaltmatrize
KR100668833B1 (ko) * 2004-12-17 2007-01-16 주식회사 하이닉스반도체 반도체소자의 캐패시터 제조방법
JP4618786B2 (ja) * 2005-01-28 2011-01-26 キヤノン株式会社 固体撮像装置の製造方法
US20060205204A1 (en) * 2005-03-14 2006-09-14 Michael Beck Method of making a semiconductor interconnect with a metal cap
JP2006324414A (ja) * 2005-05-18 2006-11-30 Toshiba Corp 半導体装置及びその製造方法
US20070049009A1 (en) * 2005-08-30 2007-03-01 Chia-Lin Hsu Method of manufacturing conductive layer
DE102005046975A1 (de) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
KR100729126B1 (ko) * 2005-11-15 2007-06-14 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 및 그 형성 방법
US7960838B2 (en) * 2005-11-18 2011-06-14 United Microelectronics Corp. Interconnect structure
TWI312152B (en) * 2006-01-04 2009-07-11 Ind Tech Res Inst Method for manufacturing a semiconductor device
DE102006025405B4 (de) * 2006-05-31 2018-03-29 Globalfoundries Inc. Verfahren zur Herstellung einer Metallisierungsschicht eines Halbleiterbauelements mit unterschiedlich dicken Metallleitungen
DE102007004860B4 (de) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
US7880303B2 (en) * 2007-02-13 2011-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked contact with low aspect ratio
DE102007009912B4 (de) * 2007-02-28 2009-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema
CN101295644A (zh) * 2007-04-24 2008-10-29 中芯国际集成电路制造(上海)有限公司 铜表面化学机械研磨平坦化方法
US8264072B2 (en) 2007-10-22 2012-09-11 Infineon Technologies Ag Electronic device
US8575000B2 (en) * 2011-07-19 2013-11-05 SanDisk Technologies, Inc. Copper interconnects separated by air gaps and method of making thereof
US8772949B2 (en) 2012-11-07 2014-07-08 International Business Machines Corporation Enhanced capture pads for through semiconductor vias
WO2017111820A1 (en) * 2015-12-26 2017-06-29 Intel Corporation Reduced height liner for interconnects
US10109524B2 (en) * 2017-01-24 2018-10-23 Globalfoundries Inc. Recessing of liner and conductor for via formation
US10347529B2 (en) * 2017-10-04 2019-07-09 Globalfoundries Inc. Interconnect structures
US11164779B2 (en) * 2019-04-12 2021-11-02 International Business Machines Corporation Bamboo tall via interconnect structures
KR20210055139A (ko) * 2019-11-06 2021-05-17 삼성전자주식회사 반도체 소자

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69220559T2 (de) * 1991-12-18 1997-12-18 Sgs Thomson Microelectronics Verfahren zur Herstellung von Kontakten in Löchern in integrierten Schaltungen
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5262354A (en) * 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5371047A (en) * 1992-10-30 1994-12-06 International Business Machines Corporation Chip interconnection having a breathable etch stop layer
JP3326698B2 (ja) * 1993-03-19 2002-09-24 富士通株式会社 集積回路装置の製造方法
US5380546A (en) * 1993-06-09 1995-01-10 Microelectronics And Computer Technology Corporation Multilevel metallization process for electronic components
EP0915501B1 (de) * 1994-08-05 2003-02-26 International Business Machines Corporation Verfahren zur Herstellung einer Damaszenstruktur mit einer WGe Polierstoppschicht
JP2728025B2 (ja) * 1995-04-13 1998-03-18 日本電気株式会社 半導体装置の製造方法
US5744376A (en) * 1996-04-08 1998-04-28 Chartered Semiconductor Manufacturing Pte, Ltd Method of manufacturing copper interconnect with top barrier layer
US5891804A (en) * 1996-04-18 1999-04-06 Texas Instruments Incorporated Process for conductors with selective deposition
US5814557A (en) * 1996-05-20 1998-09-29 Motorola, Inc. Method of forming an interconnect structure
JP3607424B2 (ja) * 1996-07-12 2005-01-05 株式会社東芝 半導体装置及びその製造方法
US5693563A (en) * 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5942449A (en) * 1996-08-28 1999-08-24 Micron Technology, Inc. Method for removing an upper layer of material from a semiconductor wafer
JPH10242271A (ja) * 1997-02-28 1998-09-11 Sony Corp 半導体装置及びその製造方法
JP3228181B2 (ja) * 1997-05-12 2001-11-12 ヤマハ株式会社 平坦配線形成法
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US5976967A (en) * 1998-02-13 1999-11-02 Texas Instruments - Acer Incorporated Dual damascene process for multi-level metallization and interconnection structure
US5939788A (en) * 1998-03-11 1999-08-17 Micron Technology, Inc. Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper
TW396524B (en) * 1998-06-26 2000-07-01 United Microelectronics Corp A method for fabricating dual damascene
US6004188A (en) * 1998-09-10 1999-12-21 Chartered Semiconductor Manufacturing Ltd. Method for forming copper damascene structures by using a dual CMP barrier layer

Also Published As

Publication number Publication date
EP1102315A3 (de) 2003-09-24
JP2001156073A (ja) 2001-06-08
US6114243A (en) 2000-09-05
ATE344534T1 (de) 2006-11-15
DE60031631D1 (de) 2006-12-14
SG126670A1 (en) 2006-11-29
EP1102315B1 (de) 2006-11-02
EP1102315A2 (de) 2001-05-23

Similar Documents

Publication Publication Date Title
DE60031631T2 (de) Verfahren zum Vermeiden von Kupfer-Kontamination der Seitenflächen eines Kontaktloches oder einer Doppel-Damaszenen-Struktur
DE102008016425B4 (de) Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE102014115934B4 (de) Zwei-Schritt-Ausbildung von Metallisierungen
DE102006035645B4 (de) Verfahren zum Ausbilden einer elektrisch leitfähigen Leitung in einem integrierten Schaltkreis
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE10054109C2 (de) Verfahren zum Bilden eines Substratkontakts in einem Feldeffekttransistor, der über einer vergrabenen Isolierschicht gebildet ist
DE19834917A1 (de) Verfahren zum Bilden von selbstausrichtenden Durchgängen in integrierten Schaltungen mit mehreren Metallebenen
DE19719699A1 (de) Verfahren zur Bildung eines dynamischen Speichers mit hoher Dichte und wahlfreiem Zugang
DE102004003315A1 (de) Halbleitervorrichtung und Verfahren zur Herstellung derselben
DE102006053927A1 (de) Halbleiter-Bauteil und ein Verfahren zu seiner Herstellung
DE10244570B4 (de) Liner-Schicht mit geringer Stufenüberdeckung zur Verbesserung des Kontaktwiderstands bei W-Kontakten
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE102007046846A1 (de) Seitenwandschutzschicht
DE19626039C2 (de) Verfahren zum Herstellen einer Metalleitung
DE19826031A1 (de) Verfahren zum Ausbilden von Kontaktelementen eines Halbleiterbauteils
DE102014118991A1 (de) Verbindungsstruktur für Halbleitervorrichtungen
DE19920757B4 (de) Verfahren zum Bilden eines nicht-linearen Schaltelementes auf einer integrierten Schaltung und elektrische Zwischenverbindungsstruktur
DE102004062835B4 (de) Verfahren zum Bilden einer Dual-Damascene-Struktur
DE102018127329A1 (de) Anti-Dishing-Struktur für eingebetteten Speicher
DE10162905A1 (de) Neuartiges Konsolidierungsverfahren für die Übergangskontaktätzung für DT-basierte DRAM-Bauelemente mit weniger als 150 NM
DE10334406B4 (de) Verfahren zur Ausbildung eines Kontaktes in einem Halbleiterprozeß
DE102007037925B3 (de) Metall-Oxid-Halbleiter-Struktur und Verfahren zum Bilden eines Bit-Leitung-Kontaktstöpsels
DE102004029355A1 (de) Selbstausgerichtete Maske zum Verringern der Zellenlayoutfläche
EP1277229B1 (de) Verfahren zur herstellung eines integrierten kondensators

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
R082 Change of representative

Ref document number: 1102315

Country of ref document: EP

Representative=s name: GRUENECKER, 80802 MUENCHEN, DE