JP4041785B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP4041785B2
JP4041785B2 JP2003335185A JP2003335185A JP4041785B2 JP 4041785 B2 JP4041785 B2 JP 4041785B2 JP 2003335185 A JP2003335185 A JP 2003335185A JP 2003335185 A JP2003335185 A JP 2003335185A JP 4041785 B2 JP4041785 B2 JP 4041785B2
Authority
JP
Japan
Prior art keywords
insulating film
film
metal wiring
wiring
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003335185A
Other languages
English (en)
Other versions
JP2005101433A (ja
Inventor
俊介 磯野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Panasonic Holdings Corp
Original Assignee
Panasonic Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp, Matsushita Electric Industrial Co Ltd filed Critical Panasonic Corp
Priority to JP2003335185A priority Critical patent/JP4041785B2/ja
Priority to US10/945,920 priority patent/US7215028B2/en
Priority to CN2008100919970A priority patent/CN101257001B/zh
Priority to CNB2004100118423A priority patent/CN100431145C/zh
Publication of JP2005101433A publication Critical patent/JP2005101433A/ja
Priority to US11/716,704 priority patent/US7400045B2/en
Application granted granted Critical
Publication of JP4041785B2 publication Critical patent/JP4041785B2/ja
Priority to US12/153,028 priority patent/US7935623B2/en
Priority to US13/051,415 priority patent/US8329572B2/en
Priority to US13/674,749 priority patent/US8648472B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76888By rendering at least a portion of the conductor non conductive, e.g. oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

本発明は半導体装置とその製造方法に関するものであり、特に、配線層上にコンタクト導体部を有する半導体装置とその製造方法に関するものである。
近年、半導体集積回路の微細化、高集積化が著しく進んでいる。微細化を進めていくにしたがって、トランジスタの動作による遅延時間は短縮できるが、配線抵抗や寄生容量が増加するため、配線遅延時間の短縮は困難になる。配線遅延時間を短縮するための対策として、配線抵抗を低減させるために、従来のアルミニウムに代わる配線の材料として、より抵抗率の低い銅が採用されている。また、寄生容量を低減するために、層間絶縁膜等の材料として低誘電率絶縁膜が採用されている。
銅に対してエッチングを行うのは困難である。そのため、銅を用いて配線を形成する際には、象嵌法によって絶縁膜にホールパターンやトレンチパターンを形成した後に銅を埋め込む方法が用いられている。
ところで、半導体装置のチップには、チップ内に設けられたトランジスタや配線などを外部の湿気から保護するために、シールリングというトレンチパターンが形成される。シールリングは、トランジスタや配線の周囲を囲むように形成される。シールリングは、ホールパターン(ビア)を形成するためのエッチング時に同時に形成される。
ホールパターンおよびシールリングのためのトレンチパターンは、以下の方法により形成される。
まず、ホールパターンおよびトレンチパターンを形成するために、フォトレジストをマスクとして、層間絶縁膜を途中の深さまで除去する。ここで、工程を途中で止めるのは、もしこの時点でホールパターンおよびトレンチパターンの下に位置する配線層が露出してしまうと、その後にフォトレジストを除去するアッシングやポリマー除去を行う際に、配線層が腐食するからである。そのため、層間絶縁膜を一部の厚みだけ残した状態でアッシングやポリマー除去を行い、その後に、層間絶縁膜自体をマスクとして、ホールパターンおよびトレンチパターンを配線層に到達させるのである。
特開2002−118078号公報
しかしながら、従来の半導体装置では、以下のような不具合が生じていた。
一般的に、エッチングを行う際のエッチングレートは、開口面積が大きくなるにつれて大きくなる。ここで、トレンチパターンの開口面積は、ホールパターンの開口面積よりも大きい。そのため、トレンチパターンとホールパターンとをエッチングにより同時に形成すると、トレンチパターンの方が深く形成されてしまう。そのため、トレンチパターンの深さを層間絶縁膜の途中までで止めることができず、下に位置する配線層の金属が露出してしまうおそれがある。この段階で金属が露出すると、後にアッシングによるレジスト除去、ポリマー洗浄の工程を経ることによって金属が腐食するという不具合があった。
このような不具合は、層間絶縁膜に複数のホールパターンを形成する際にも生じる。つまり、各ホールパターンのパターン密度などが異なることから、ホールパターンを形成する際には均一な深さで絶縁膜を除去することができない。そのため、ホールパターンの下に位置する配線層が露出するという不具合が起こってしまう。
本発明の目的は、ホールパターンやトレンチパターンを形成する際に、配線層を露出させないための手段を講ずることにより、配線層における金属の腐食の起こりにくい半導体装置の製造方法を提供することにある。
本発明の第1の半導体装置は、半導体基板と、上記半導体基板の上方に設けられた第1の絶縁膜と、上記第1の絶縁膜の少なくとも上部に設けられた配線層と、上記第1の絶縁膜および上記配線層の上に設けられた第2の絶縁膜と、上記第2の絶縁膜の上に設けられた第3の絶縁膜と、上記第3の絶縁膜および上記第2の絶縁膜を貫通し、上記配線層に到達する、少なくとも1つのコンタクト導体部とを備える半導体装置であって、上記配線層の上部には凹部が設けられており、上記第2の絶縁膜のうち上記配線層の上に位置する部分の膜厚は、上記第2の絶縁膜のうち上記第1の絶縁膜の上に位置する部分の膜厚よりも厚い。
このように、第2の絶縁膜のうち配線層の上に位置する部分が厚く形成されているので、コンタクト導体部を形成するために第3の絶縁膜と第2の絶縁膜とを除去する工程において、配線層上に第2の絶縁膜の一部を残存させることが容易となり、配線層が露出しにくくなる。この状態で、アッシングやポリマー除去等の金属を腐食させるおそれのある処理を行い、その後に、第3の絶縁膜と第2の絶縁膜とをさらに除去して配線層を露出させ、コンタクト導体部用の導体を埋めることができる。つまり、配線層が露出しない状態で金属を腐食させるおそれのある処理を行うことができるので、配線層の腐食を防止することができる。
一方、第2の絶縁膜のうち配線層の上に位置する部分以外の膜厚は厚くならないので、層間の誘電率を一定に保つことができる。
上記配線層は、第1の配線層であって、上記コンタクト導体部は、第1のコンタクト導体部であって、上記第1の絶縁膜の少なくとも上部に設けられた第2の配線層と、上記第3の絶縁膜および上記第2の絶縁膜を貫通し、上記第2の配線層に到達する第2のコンタクト導体部とをさらに備え、上記第2の絶縁膜のうち上記第1の配線層の上に位置する部分の膜厚は、上記第2の絶縁膜のうち上記第2の配線層の上に位置する部分の膜厚よりも厚くてもよい。この場合には、コンタクト導体部を形成するために第3の絶縁膜および第2の絶縁膜を除去しても、第2の絶縁膜のうち第1のコンタクト導体部が形成される領域は厚く形成されているので、第1の配線層を露出しにくくすることができる。したがって、第1のコンタクト導体部の形成される面積が第2のコンタクト導体部よりも大きいときのように、コンタクト導体部を形成するために第3の絶縁膜および第2の絶縁膜を除去すると第1のコンタクト導体部の形成される領域の方が深く除去されてしまう場合であっても、第1の配線層を露出しにくくすることができる。
上記第1の配線層の幅は、上記第2の配線層の幅より大きく形成してもよい。この場合には、第1の配線層の形成される領域のほうが、第2の配線層の形成される領域よりも、導体(金属)の占める割合が大きくなる。したがって、第1の配線層および第2の配線層の上方から化学的機械研磨等の処理を行うと、ディッシングの金属占有率依存性により、第1の配線層の上部に形成される凹部の深さを深くすることができる。
上記第1の配線層の側方にはダミー配線層が形成されていてもよい。この場合には、第1の配線層の形成される領域の周囲のほうが、第2の配線層の形成される領域の周囲よりも、導体(金属)の占める割合が大きくなる。したがって、第1の配線層および第2の配線層の上方から化学的機械研磨等の処理を行うと、ディッシングの金属占有率依存性により、第1の配線層の上部に形成される凹部の深さを深くすることができる。
上記第1のコンタクト導体部は、矩形あるいは帯状の平面形状を有し、上記第2のコンタクト導体部は、円形あるいは正方形の平面形状を有していてもよい。
上記第1の配線層及び上記第1のコンタクト導体部は、リング状に設けられたシールリングであってもよい。この場合には、シールリングである第1のコンタクト導体部と第2のコンタクト導体部とでは面積が大きく相違するが、上述の構造を有することにより、第1の配線層が露出するのを防止することができる。
上記半導体基板内には素子が設けられており、上記配線層は、上記素子と電気的に接続されていてもよい。この場合には、配線層を形成する際に第3の絶縁膜および第2の絶縁膜を除去する際に、除去する深さに誤差が生じても、配線層を露出しにくくすることができる。
上記第2の絶縁膜は、炭素を含むシリコン絶縁膜であることが好ましい。
本発明の第2の半導体装置は、半導体基板と、上記半導体基板の上方に設けられた第1の絶縁膜と、上記第1の絶縁膜の少なくとも上部に設けられた配線層と、上記配線層の上を覆う耐酸化性導体膜と、上記第1の絶縁膜および上記耐酸化性導体膜の上に設けられた第2の絶縁膜と、上記第2の絶縁膜の上に設けられた第3の絶縁膜と、上記第3の絶縁膜および上記第2の絶縁膜を貫通し、上記耐酸化性導体膜に到達する、少なくとも1つのコンタクト導体部とを備える。
これにより、コンタクト導体部を形成するために第3の絶縁膜と第2の絶縁膜とを除去する工程において、耐酸化性導体膜が露出した状態で、アッシングやポリマー除去等の金属を腐食させるおそれのある処理を行った場合にも、配線層の腐食を防止することができる。 一方、第2の絶縁膜のうち配線層の上に位置する部分以外の膜厚は厚くならないので、層間の誘電率を一定に保つことができる。
上記耐酸化性導体膜は、上記配線層の上部領域に窒素が導入された窒素導入層であってもよい。
上記耐酸化性導体膜は、チタンナイトライドであってもよい。
上記配線層は、第1の配線層であって、上記耐酸化性導体膜は、上記第1の配線層の上に設けられた第1の耐酸化性導体膜であって、上記コンタクト導体部は、第1のコンタクト導体部であって、上記第1の絶縁膜の少なくとも上部に設けられた第2の配線層と、上記第2の配線層の上を覆う第2の耐酸化性導体膜と、上記第3の絶縁膜および上記第2の絶縁膜を貫通し、上記第2の耐酸化性導体膜に到達する第2のコンタクト導体部とをさらに備え、上記第1のコンタクト導体部は、上記第2のコンタクト導体部に比べて上面の面積が大きい。この場合には、これらのコンタクト導体部を形成する際に第3の絶縁膜および第2の絶縁膜を除去すると、第1のコンタクト導体部の形成される領域の方が深く除去されてしまう。しかし、第1の配線層の上は耐酸化性膜によって覆われているので、たとえ耐酸化性膜が露出しても、第1の配線層の腐食を防止することができる。
上記第1のコンタクト導体部は、矩形あるいは帯状の平面形状を有し、上記第2のコンタクト導体部は、円形あるいは正方形の平面形状を有していてもよい。
上記第1の配線層及び上記第1のコンタクト導体部は、リング状に設けられたシールリングであってもよい。この場合には、シールリングである第1のコンタクト導体部と第2のコンタクト導体部とでは面積が大きく相違するが、上述の構造を有することにより、第1の配線層が露出するのを防止することができる。
上記半導体基板内には素子が設けられており、上記配線層は、上記素子と電気的に接続されていてもよい。この場合には、配線層を形成する際に第3の絶縁膜および第2の絶縁膜を除去する際に、除去する深さに誤差が生じても、配線層を腐食しにくくすることができる。
上記第2の絶縁膜は、炭素を含むシリコン絶縁膜であることが好ましい。
本発明の第1の半導体装置の製造方法は、半導体基板の上方に、第1の絶縁膜を形成する工程(a)と、上記第1の絶縁膜の少なくとも上部に、配線層を形成する工程(b)と、上記配線層の上部に凹部を形成する工程(c)と、上記第1の絶縁膜および上記配線層の上に、上記凹部を埋める第2の絶縁膜を形成する工程(d)と、上記第2の絶縁膜の上面を平坦化する工程(e)と、上記工程(e)の後に、上記第2の絶縁膜の上に第3の絶縁膜を形成する工程(f)と、上記第3の絶縁膜および上記第2の絶縁膜のうち上記配線層の上方に位置する部分を、フォトレジストをマスクにして上記配線層に到達しない深さまで除去し、上記配線層上に上記第2の絶縁膜の一部を残存させる工程(g)と、上記フォトレジストを除去する工程(h)とを備える。
これにより、第2の絶縁膜のうち配線層の上に位置する部分を厚く形成することができるので、工程(g)において、より確実に、配線層を露出しない状態に保つことができる。したがって、工程工程(g)の後に、アッシング等の金属を腐食するおそれのある処理を行っても、配線層が腐食するのを防止することができる。一方、第2の絶縁膜のうち配線層の上に位置する部分以外の膜厚は厚くならないので、この方法により製造した半導体装置においては、層間の誘電率を一定に保つことができる。
上記工程(c)では、化学的機械研磨、ドライエッチングまたはウエットエッチングによって、上記配線層の上記上部をリセスさせることにより、上記凹部を形成してもよい。
なお、この場合には、上述の効果に加えて、第1の絶縁膜と第2の絶縁膜との密着性を向上させることができるという効果を得ることができる。
上記工程(b)では、上記配線層として、シールリング用の第1の配線層と、上記第1の配線層に囲まれる領域内に位置する第2の配線層とを形成し、上記工程(c)では、少なくとも上記第1の配線層の上部に上記凹部を形成し、上記工程(g)では、上記第3の絶縁膜および上記第2の絶縁膜のうち上記第1の配線層の上方に位置する部分の一部を除去することにより、シールリング用のトレンチパターンを形成し、上記第3の絶縁膜および上記第2の絶縁膜のうち上記第2の配線層の上方に位置する部分の一部を除去することにより、ホールパターンを形成してもよい。この場合には、トレンチパターンの開口面積は、ホールパターンの開口面積よりも広いので、工程(g)では、ホールパターンよりもトレンチパターンの方が深く形成されてしまう。しかし、第2の絶縁膜のうちトレンチパターンが形成される領域は厚く形成されているので、第1の配線層を露出しにくくすることができる。
上記工程(b)では、上記第1の配線層の幅を、上記第2の配線層の幅よりも大きく形成してもよい。この場合には、第1の配線層の形成される領域のほうが、第2の配線層の形成される領域よりも、導体(金属)の占める割合が大きくなる。したがって、工程(c)において、第1の配線層および第2の配線層の上方から化学的機械研磨等の処理を行うと、ディッシングの金属占有率依存性により、第1の配線層の上部に形成される凹部の深さを深くすることができる。
上記工程(b)では、上記第1の配線層の側方に、ダミー配線層を形成してもよい。この場合には、第1の配線層の形成される領域の周囲のほうが、第2の配線層の形成される領域の周囲よりも、導体(金属)の占める割合が大きくなる。したがって、工程(c)において、第1の配線層および第2の配線層の上方から化学的機械研磨等の処理を行うと、ディッシングの金属占有率依存性により、第1の配線層の上部に形成される凹部の深さを深くすることができる。
上記工程(a)の前に、上記半導体基板内に素子を形成する工程(h)をさらに備え、上記工程(b)では、上記素子と電気的に接続される上記配線層を形成してもよい。この場合には、工程(g)において、除去する深さに誤差が生じても、配線層を露出しにくくすることができる。
本発明の第2の半導体装置の製造方法は、半導体基板の上方に、第1の絶縁膜を形成する工程(a)と、上記第1の絶縁膜の少なくとも上部に、配線層を形成する工程(b)と、上記配線層の上を覆う耐酸化性導体膜を形成する工程(c)と、上記第1の絶縁膜および上記耐酸化性導体膜の上に、第2の絶縁膜を形成する工程(d)と、上記第2の絶縁膜の上に、第3の絶縁膜を形成する工程(e)と、上記第3の絶縁膜および上記第2の絶縁膜のうち上記配線層の上方に位置する部分を、フォトレジストをマスクにして除去する工程(f)と、上記フォトレジストを除去する工程(g)とを備える。
これにより、配線層の上を耐酸化性導体膜で覆うことができるので、工程(f)において、耐酸化性導体膜が露出させた後に、アッシング等の金属を腐食するおそれのある処理を行っても、配線層が腐食するのを防止することができる。一方、第2の絶縁膜のうち配線層の上に位置する部分以外の膜厚は厚くならないので、この方法により製造した半導体装置においては、層間の誘電率を一定に保つことができる。
上記工程(c)では、上記配線層の上部に、プラズマ処理、ウエット処理またはイオン注入を行って窒素を導入することにより、上記耐酸化性導体膜を形成してもよい。
上記工程(c)では、上記耐酸化性導体膜として、窒素を含む膜を上記配線層の上に堆積してもよい。
上記工程(b)では、上記配線層として、シールリング用の第1の配線層と、上記第1の配線層に囲まれる領域内に位置する第2の配線層とを形成し、上記工程(c)では、上記第1の配線層および上記第2の配線層の上に耐酸化性導体膜を形成し、上記工程(f)では、上記第3の絶縁膜および上記第2の絶縁膜のうち上記第1の配線層の上方に位置する部分の一部を除去することにより、シールリング用のトレンチパターンを形成し、上記第3の絶縁膜および上記第2の絶縁膜のうち上記第2の配線層の上方に位置する部分の一部を除去することにより、ホールパターンを形成してもよい。この場合には、トレンチパターンの開口面積は、ホールパターンの開口面積よりも広いので、工程(f)では、ホールパターンよりもトレンチパターンの方が深く形成されてしまう。しかし、第2の絶縁膜のうちトレンチパターンが形成される領域は厚く形成されているので、第1の配線層を露出しにくくすることができる。
上記工程(a)の前に、上記半導体基板内に素子を形成する工程(g)をさらに備え、
上記工程(b)では、上記素子と電気的に接続される上記配線層を形成してもよい。この場合には、工程(f)において、除去する深さに誤差が生じても、配線層を露出しにくくすることができる。
本発明の半導体装置およびその製造方法においては、配線層の腐食を防止することができる。
(第1の実施形態)
以下に、本発明の第1の実施形態に係る半導体装置の製造方法について、図1(a)〜(f)を参照しながら説明する。図1(a)〜(f)は、第1の実施形態の半導体装置の製造方法を示す断面図である。なお、図1(a)〜(f)には、配線形成領域R1と、配線形成領域R1を外部の湿気から保護するためのシールリング領域R2とを示している。シールリング領域R2は配線形成領域R1の側方を囲んでいる。
まず、図1(a)に示す工程で、シリコン基板(図示せず)上にシリコン酸化膜からなる、厚さ500nmの第1の絶縁膜101を形成する。そして、第1の絶縁膜101の上に、フォトリソグラフィにより、配線パターン形成位置に開口を有するフォトレジスト(図示せず)を形成する。そのフォトレジストをマスクとしてドライエッチングを行うことにより、第1の絶縁膜101の一部を除去して、深さ250nmの配線溝108, 109を形成する。その後、フォトレジストを除去した後、基板上に、タンタルナイトライドとタンタルとからなる厚さ30nmの積層膜(図示せず)と、厚さ1000nmの銅膜(図示せず)とを形成する。その後、化学的機械研磨法を用いて銅膜と積層膜とを研磨することにより、配線形成領域R1に、配線溝108の内表面を覆う表面導体膜102aと、表面導体膜102aを介して配線溝108を埋める金属配線膜102bとからなる第1の金属配線102を形成する。このとき、シールリング領域R2には、表面導体膜103aと金属配線膜103bとからなる第2の金属配線103を形成する。
次に、図1(b)に示す工程で、化学的機械研磨法か、または硝酸などを用いた金属の選択的なエッチングによって、第1の金属配線102および第2の金属配線103の上部をリセスさせることにより、深さ20nm〜40nm程度のリセス形状(凹部110)を形成する。
次に、図1(c)に示す工程で、基板上に、凹部110を埋め、厚さ100nm〜150nmのシリコン窒化炭化膜からなる第2の絶縁膜104を堆積する。このとき、第2の絶縁膜104の材料としては、シリコン窒化膜、シリコン炭化膜、シリコン酸化炭化膜などを用いてもよい。また、これらの膜の積層体を形成してもよい。
この時点では、第1の金属配線102および第2の金属配線103のリセス形状を反映して、第2の絶縁膜104の上面には段差が形成されている。
次に、図1(d)に示す工程で、化学的機械研磨、硫酸や硝酸等を用いたウエットエッチング、またはエッチバックを行うことにより、第2の絶縁膜104の上面の平坦化を行う。これにより、第2の絶縁膜104のうち第1の金属配線102および第2の金属配線103の上に位置する部分の膜厚は70nm〜90nm程度になり、それ以外の部分の厚さは50nmになる。
次に、図1(e)に示す工程で、基板上に、炭素含有シリコン酸化膜からなる第3の絶縁膜105を堆積する。このとき、第3の絶縁膜105としては、FSG(Fluorinated Silicate Glass)膜、BPSG(Boron Phospho Silicate Glass)膜または多孔質膜を用いてもよい。また、これらの膜の積層膜を用いてもよい。
その後、フォトリソグラフィーによって、第3の絶縁膜105の上に、ホールパターン形成領域およびトレンチパターン形成領域に開口を有するフォトレジスト(図示せず)を形成する。その後、フォトレジストをマスクとしてドライエッチングを行うことにより、配線形成領域R1にはホールパターン(スルーホール)106を形成し、シールリング領域R2にはトレンチパターン107を形成する。このドライエッチングは、ホールパターン106およびトレンチパターン107が第2の絶縁膜104の途中の深さになるまで行う。このとき、トレンチパターン107の開口面積はホールパターン106の開口面積よりも広いので、トレンチパターン107の方が深く除去される。その後、アッシングによりフォトレジストを除去し、ウエットエッチング時およびドライエッチング時のポリマーを除去する。
次に、図1(f)に示す工程で、パターン内に残存する第2の絶縁膜104をエッチングしてホールパターン106とトレンチパターン107とを第1の金属配線102および第2の金属配線103に到達させ、それを導体で埋めることにより、平面形状が円形あるいは正方形のホールコンタクト導体部106'、および、リング状のトレンチコンタクト導体部107'を形成する。なお、この工程は、アッシング、ポリマー除去等の金属を腐食させるおそれのある処理を終了した後に行う。
次に、本実施形態の半導体装置のうち配線およびシールリングの構造について、図1(f)を再度参照しながら説明する。
図1(f)に示すように、本実施形態の半導体装置は、配線形成領域R1と、配線形成領域R1の側方を囲むシールリング領域R2とを有している。そして、配線形成領域R1には第1の金属配線102が設けられ、シールリング領域R2には第2の金属配線103が設けられている。第1の金属配線102は、配線溝108の表面を覆う表面導体膜102aと、その上を覆う金属配線膜102bとを有している。第2の金属配線103も、配線溝109の表面を覆う表面導体膜103aと、その上に設けられた金属配線膜103bとを有している。第1の金属配線102および第2の金属配線103の上部はリセスされており、凹部110が形成されている。
第1の絶縁膜101、第1の金属配線102および第2の金属配線103の上には、第2の絶縁膜104が設けられている。第2の絶縁膜104は凹部110を埋めているので、第2の絶縁膜104は、第1の金属配線102および第2の金属配線103の上に位置する部分が他の部分よりも厚く形成されている。第2の絶縁膜104の上面は平坦化されている。
第2の絶縁膜104の上には第3の絶縁膜105が設けられている。そして、配線形成領域R1には、第3の絶縁膜105と第2の絶縁膜104とを貫通するホールコンタクト導体部106'が設けられている。同様に、シールリング領域R2には、トレンチコンタクト導体部107'が形成されている。従って、シールリング領域R2には、配線形成領域R1の第1の金属配線102およびホールコンタクト導体部106’を取り囲むように、第2の金属配線103及びトレンチコンタクト導体部107’からなるリング状のシールリングが設けられる。
以下に、本実施形態で得られる効果について、従来と比較しながら説明する。
従来では、第1の金属配線および第2の金属配線の上面は平坦なままであり、第1の金属配線および第2の金属配線の上には、均一な厚さの第2の絶縁膜が形成されていた。そのため、ホールパターンおよびトレンチパターンを形成すると、トレンチパターンが第2の絶縁膜を貫通してしまい、第2の金属配線を露出させてしまうおそれがあった。
これに対し、本実施形態では、第2の絶縁膜104のうち第1の金属配線102および第2の金属配線103の上に位置する部分のみを厚く形成することができる。そのため、トレンチパターン107が第2の金属配線103に到達するのを防止することができる。そのため、アッシングやポリマー洗浄を行っても、第1の金属配線102の腐食が起こらない。ここで、第2の絶縁膜104のうち第1の絶縁膜101の上に位置する部分は厚くならないため、層間の誘電率を一定に保つことができる。
さらに、第1の金属配線102および第2の金属配線103の上部をリセスさせるために化学的機械研磨法あるいは選択エッチングを行うことにより、第1の絶縁膜101と第2の絶縁膜104との密着性を向上させることができる。
なお、本実施形態では、化学的機械研磨法等により、第1の金属配線102および第2の金属配線103の上部にリセス形状を形成した。しかし、本発明では、熱を加えると圧縮するという銅の性質を利用して、リセス形状を形成してもよい。具体的には、図1(a)に示す工程で、第1の金属配線102および第2の金属配線103を形成した後に、200度〜500度の熱処理を行う。これにより、銅からなる金属配線膜102b, 103bが圧縮して、第1の金属配線102および第2の金属配線103の上部にリセスが形成される。この方法によっても、上述した効果と同様の効果を得ることができる。
(第2の実施形態)
以下に、本発明の第2の実施形態に係る半導体装置の製造方法について、図2(a)〜(f)を参照しながら説明する。図2(a)〜(f)は、第2の実施形態の半導体装置の製造方法を示す断面図である。なお、図2(a)〜(f)には、配線形成領域R1と、配線形成領域R1を外部の湿気から保護するためのシールリング領域R2とを示している。シールリング領域R2は配線形成領域R1の側方を囲んでいる。
まず、図2(a)に示す工程で、シリコン基板(図示せず)上にシリコン酸化膜からなる、厚さ500nmの第1の絶縁膜111を形成する。そして、第1の絶縁膜111の上に、フォトリソグラフィー法により、金属配線パターン形成領域に開口を有するフォトレジスト(図示せず)を形成する。そのフォトレジストをマスクとしてドライエッチングを行うことにより、配線形成領域R1には配線溝118を形成し、シールリング形成領域R2には配線溝119を形成する。このとき、配線溝119の幅を配線溝118の幅よりも広くする。具体的には、配線溝118の幅が2μmより小さい場合に、配線溝119の幅を2μm以上とする。
その後、フォトレジストを除去した後、基板上に、タンタルナイトライドとタンタルとからなる厚さ30nmの積層膜(図示せず)と、厚さ1000nmの銅膜(図示せず)とを形成する。その後、化学的機械研磨法を用いて銅と積層膜とを研磨することにより、配線形成領域R1に、配線溝118の内表面を覆う表面導体膜112aと、表面導体膜112aを介して配線溝118を埋める金属配線膜112bとからなる、幅が2μm以上の第1の金属配線112を形成する。このとき、シールリング領域R2には、表面導体膜113aと金属配線膜113bとからなる、幅が2μm以上の第2の金属配線113を形成する。
次に、図2(b)に示す工程で、化学的機械研磨法を行う。このとき、ディッシングの金属占有率依存性を利用して、第2の金属配線113の上部をリセスさせる。以下に詳細に説明する。金属が埋め込まれた基板に対して化学的機械研磨法を行うと、金属が除去される深さは、その領域のうち金属の占める割合が高くなるにつれて深くなる性質がある。本実施形態では、第2の金属配線113の幅が、第1の金属配線112の幅よりも広く形成されている。言い換えると、単位面積あたりに占める金属の割合は第2の金属配線113の形成された領域の方が高い。そのため、第2の金属配線133の上部が、他の領域よりも深くリセスされるのである。
なお、第2の金属配線113の幅を第1の金属配線112よりも広くする方法のかわりに、第2の金属配線113の周りにダミーパターンを形成することによって単位面積あたりの金属の占有率を上げてもよい。
次に、図2(c)に示す工程で、第1の絶縁膜111、第1の金属配線112および第2の金属配線113の上にシリコン窒化炭化膜からなる第2の絶縁膜114を堆積する。
このとき、第2の絶縁膜114の材料としては、シリコン窒化膜、シリコン酸化膜、シリコン酸化炭化膜などを用いてもよい。また、これらの膜の積層体を形成してもよい。
この時点では、第2の金属配線113のリセス形状を反映して、第2の絶縁膜114の上には段差が形成されている。
次に、図2(d)に示す工程で、化学的機械研磨、ウエットエッチングまたはエッチバックを行うことにより、第2の絶縁膜114の平坦化を行う。
次に、図2(e)に示す工程で、基板上に、炭素含有シリコン酸化膜からなる第3の絶縁膜115を堆積する。このとき、第3の絶縁膜115としては、FSG(Fluorinated Silicate Glass)膜、BPSG(Boron Phospho Silicate Glass)膜または多孔質膜を用いてもよい。また、これらの膜の積層膜を用いてもよい。
その後、フォトリソグラフィによって、第3の絶縁膜115の上に、ホールパターン形成領域およびトレンチパターン形成領域に開口を有するフォトレジスト(図示せず)を形成する。その後、フォトレジストをマスクとしてドライエッチングを行うことにより、配線形成領域R1にはホールパターン116を形成し、シールリング領域R2にはトレンチパターン117を形成する。このドライエッチングは、トレンチパターン117が第2の絶縁膜114の途中の深さになるまで行う。このとき、トレンチパターン117の開口面積はホールパターン116の開口面積よりも広いので、トレンチパターン117のほうが深く除去される。その後、アッシングによりフォトレジストを除去し、ウエットエッチング時およびドライエッチング時のポリマーを除去する。
次に、図2(f)に示す工程で、パターン内に残存する第2の絶縁膜114を除去してホールパターン116とトレンチパターン117とを第1の金属配線112および第2の金属配線113に到達させて、それを導体で埋めることにより、平面形状が円形あるいは正方形のホールコンタクト導体部116'、および、リング状のトレンチコンタクト導体部117'を形成する。なお、この工程は、アッシング、ポリマー除去等の金属を腐食させるおそれのある処理を終了した後に行う。
次に、本実施形態の半導体装置のうち配線およびシールリングの構造について、図2(f)を再度参照しながら説明する。
図2(f)に示すように、本実施形態の半導体装置は、配線形成領域R1と、配線形成領域R1の側方を囲むシールリング領域R2とを有している。そして、配線形成領域R1には第1の金属配線112が設けられ、シールリング領域R2には第2の金属配線113が設けられている。ここで、第2の金属配線113の幅は、第1の金属配線112の幅よりも広く設けられている。
第1の金属配線112は、配線溝118の表面を覆う表面導体膜112aと、その上を覆う金属配線膜112bとを有している。第2の金属配線113も、配線溝119の表面を覆う表面導体膜113aと、その上に設けられた金属配線膜113bとを有している。第2の金属配線113の上部はリセスされており、凹部120が形成されている。
第1の絶縁膜111、第1の金属配線112および第2の金属配線113の上には、第2の絶縁膜114が設けられている。第2の絶縁膜114は凹部120を埋めているので、第2の絶縁膜114は、第2の金属配線113の上に位置する部分が他の部分よりも厚く形成されている。第2の絶縁膜114の上面は平坦化されている。
第2の絶縁膜114の上には第3の絶縁膜115が設けられている。そして、配線形成領域R1には、第3の絶縁膜115と第2の絶縁膜114と貫通するホールコンタクト導体部116'が設けられている。同様に、シールリング領域R2には、トレンチコンタクト導体部117’が形成されている。従って、シールリング領域R2には、配線形成領域R1の第1の金属配線112およびホールコンタクト導体部116’を取り囲むように、第2の金属配線113及びトレンチコンタクト導体部117’からなるリング状のシールリングが設けられる。
以下に、本実施形態で得られる効果について、従来と比較しながら説明する。
従来では、第2の金属配線の上面は平坦なままであり、第2の金属配線の上には、均一な厚さの第2の絶縁膜が形成されていた。そのため、ホールパターンおよびトレンチパターンを形成すると、トレンチパターンが第2の絶縁膜を貫通してしまい、第2の金属配線を露出させてしまうおそれがあった。
これに対し、本実施形態では、工程数が増加することなく、第2の絶縁膜114のうち第2の金属配線113の上に位置する部分のみを厚く形成することができる。そのため、トレンチパターン117が第2の金属配線113に到達するのを防止することができる。そのため、アッシングやポリマー洗浄を行っても、第1の金属配線の腐食が起こらない。ここで、第2の絶縁膜114のうち第1の絶縁膜111の上に位置する部分は厚くならないため、層間の誘電率を一定に保つことができる。
さらに、第2の金属配線113の上部をリセスさせるために化学的機械研磨法あるいはエッチングを行うことにより、第1の絶縁膜111と第2の絶縁膜114との密着性を向上させることができる。
なお、本実施形態では、ディッシングの金属占有率依存性を利用してリセス形状を形成したが、パターン密度依存性を利用してエッチングにより形成してもよい。あるいは、本発明の図2(b)に示す工程において、第2の金属配線113を除く領域の上をフォトレジスト等で覆った状態でエッチングを行うことにより、第2の金属配線113の上部にリセス形状を形成してもよい。この場合には、工程数が増加するという点を除いて、上述した効果と同様の効果を得ることができる。
また、本実施形態では、図2(b)に示す工程で化学的機械研磨を行う際に、例えば過酸化水素などの熔解性の強いスラリーを用いてもよい。これにより、金属の研磨レートが上昇するので、第2の金属配線113をより深くリセスさせることができる。
(第3の実施形態)
本発明の第3の実施形態に係る半導体装置の製造方法について、図3(a)〜(e)を参照しながら説明する。図3(a)〜(e)は、第3の実施形態の半導体装置の製造工程を示す断面図である。なお、図3(a)〜(e)には、配線形成領域R1と、配線形成領域R1を外部の湿気から保護するためのシールリング領域R2とを示している。なお、シールリング領域R2は配線形成領域R1の側方を囲んでいる。
まず、図3(a)に示す工程で、シリコン基板(図示せず)上にシリコン酸化膜からなる、厚さ500nmの第1の絶縁膜121を形成する。そして、第1の絶縁膜121の上に、フォトリソグラフィーにより、金属配線パターン形成領域に開口を有するフォトレジスト(図示せず)を形成する。そのフォトレジストをマスクとしてドライエッチングを行うことにより、第1の絶縁膜121の一部を除去して、深さ250nmの配線溝128, 129を形成する。その後、フォトレジストを除去した後、基板上に、タンタルナイトライドとタンタルとからなる厚さ30nmの積層膜(図示せず)と、厚さ1000nmの銅膜(図示せず)とを形成する。その後、化学的機械研磨法を用いて銅膜と積層膜とを研磨することにより、配線形成領域R1に、配線溝128の内表面を覆う表面導体膜122aと、表面導体膜122aを介して配線溝128を埋める金属配線膜122bとからなる第1の金属配線122を形成する。このとき、シールリング領域R2には、表面導体膜123aと金属配線膜123bとからなる第2の金属配線123を形成する。
つぎに、図3(b)に示す工程で、窒素を含むガスを用いたプラズマ処理、アンモニア、ベンゾトリアゾール(BTA)またはキナルジン酸を用いたウエット処理、またはイオン注入を行うことによって、第1の金属配線122および第2の金属配線123の上部に窒素を供給する。これにより、第1の金属配線122および第2の金属配線123の上部が耐酸化性膜130に変質する。このとき同時に、第1の絶縁膜121と後工程で形成する第2の絶縁膜124との密着性を向上させることができる。
次に、図3(c)に示す工程で、第1の絶縁膜121および耐酸化性膜130の上に、シリコン窒化炭化膜からなる第2の絶縁膜124を堆積する。このとき、第2の絶縁膜124の材料としては、シリコン窒化膜、シリコン炭化膜、シリコン酸化炭化膜などを用いてもよい。また、これらの膜の積層体を形成してもよい。
次に、図3(d)に示す工程で、基板上に、炭素含有シリコン酸化膜からなる第3の絶縁膜125を堆積する。このとき、第3の絶縁膜125としては、FSG(Fluorinated Silicate Glass)膜、BPSG(Boron Phospho Silicate Glass)膜または多孔質膜を用いてもよい。また、これらの膜の積層膜を用いてもよい。
その後、フォトリソグラフィーによって、第3の絶縁膜125の上に、ホールパターン形成領域およびトレンチパターン形成領域に開口を有するフォトレジスト(図示せず)を形成する。その後、フォトレジストをマスクとしてドライエッチングを行うことにより、配線形成領域R1にはホールパターン126を形成し、シールリング領域R2にはトレンチパターン127を形成する。このドライエッチングは、トレンチパターン127が第2の絶縁膜124の途中で止まっていてもよいし、第2の絶縁膜124を貫通して、耐酸化性膜130に到達してもよい。その後、アッシングによりフォトレジストを除去し、ウエットエッチング時およびドライエッチング時のポリマーを除去する。
次に、図3(e)に示す工程で、パターン内に残存している第2の絶縁膜124を除去してホールパターン126とトレンチパターン127とを耐酸化性膜130に到達させて、トレンチを導体で埋めることにより、平面形状が円形あるいは正方形のホールコンタクト導体部126'、および、リング状のトレンチコンタクト導体部127'を形成する。
次に、本実施形態の半導体装置のうち配線およびシールリングの構造について、図3(e)を再度参照しながら説明する。
図3(e)に示すように、本実施形態の半導体装置は、配線形成領域R1と、配線形成領域R1の側方を囲むシールリング領域R2とを有している。そして、配線形成領域R1には第1の金属配線122が設けられ、シールリング領域R2には第2の金属配線123が設けられている。第1の金属配線122は、配線溝128の表面を覆う表面導体膜122aと、その上を覆う金属配線膜122bとを有している。第2の金属配線123も、配線溝129の表面を覆う表面導体膜123aと、その上に設けられた金属配線膜123bとを有している。金属配線膜122b, 123bの上部には窒素が含まれており、耐酸化性膜130が形成されている。
第1の絶縁膜121、第1の金属配線122および第2の金属配線123の上には、第2の絶縁膜124が設けられている。第2の絶縁膜124の上には第3の絶縁膜125が設けられている。そして、配線形成領域R1には、第3の絶縁膜125と、第2の絶縁膜124とを貫通するホールコンタクト導体部126’が設けられている。同様に、シールリング領域R2には、トレンチコンタクト導体部127’が形成されている。従って、シールリング領域R2には、配線形成領域R1の第1の金属配線122およびホールコンタクト導体部126’を取り囲むように、第2の金属配線123及びトレンチコンタクト導体部127’からなるリング状のシールリングが設けられる。
以下に、本実施形態で得られる効果について、従来と比較しながら説明する。
従来では、ホールパターンおよびトレンチパターンを形成する際にトレンチパターンが第2の金属配線に到達すると、その後のアッシングやポリマー除去の工程において、第2の金属配線が腐食してしまっていた。
これに対し、本実施形態では、第2の金属配線123の上部が耐酸化性膜130に変化している。そのため、トレンチパターン127が第2の金属配線123に到達している状態でアッシングやポリマー除去の工程を行っても、第2の金属配線123の上部が腐食されることはない。ここで、第2の絶縁膜124のうち第1の絶縁膜121の上に位置する部分は厚くならないため、層間の誘電率を一定に保つことができる。
また、第1の金属配線122および第2の金属配線123の上部に窒素を含有させることにより、ホールパターン126およびトレンチパターン127を形成するときのエッチング耐性が向上するという利点もある。
また、図3(d)に示す工程で、ホールパターン126およびトレンチパターン127を耐酸化性膜130に到達させた場合には、後に、ホールパターン126やトレンチパターン127と耐酸化性膜130との間に残存する第2の絶縁膜124を除去する工程を省略することができるという利点もある。
(第4の実施形態)
本発明の第4の実施形態に係る半導体装置の製造方法について、図4(a)〜(e)を参照しながら説明する。図4(a)〜(e)は、第4の実施形態の半導体装置の製造工程を示す断面図である。なお、図4(a)〜(e)には、配線形成領域R1と、配線形成領域R1を外部の湿気から保護するためのシールリング領域R2とを示している。なお、シールリング領域R2は配線形成領域R1の側方を囲んでいる。
まず、図4(a)に示す工程で、シリコン基板(図示せず)上にシリコン酸化膜からなる、厚さ500nmの第1の絶縁膜131を形成する。そして、第1の絶縁膜131の上に、フォトリソグラフィーにより、金属配線パターン形成領域に開口を有するフォトレジスト(図示せず)を形成する。そのフォトレジストをマスクとしてドライエッチングを行うことにより、第1の絶縁膜131の一部を除去して、深さ250nmの配線溝138, 139を形成する。その後、フォトレジストを除去した後、基板上に、タンタルナイトライドとタンタルとからなる厚さ30nmの積層膜(図示せず)と、厚さ1000nmの銅膜(図示せず)とを形成する。その後、化学的機械研磨法を用いて銅膜と積層膜とを研磨することにより、配線形成領域R1に、配線溝138の内表面を覆う表面導体膜132aと、表面導体膜132aを介して配線溝138を埋める金属配線膜132bとからなる第1の金属配線132を形成する。このとき、シールリング領域R2には、表面導体膜133aと金属配線膜133bとからなる第2の金属配線133を形成する。
次に、図4(b)に示す工程で、第1の金属配線132および第2の金属配線133の上に、選択的に耐酸化性の金属膜140を堆積する。金属膜140の材料としては、例えば窒化チタン(TiN)がある。
その後、図4(c)に示す工程で、第1の絶縁膜131および金属膜140の上に、シリコン窒化炭化膜からなる第2の絶縁膜134を堆積する。このとき、第2の絶縁膜134の材料としては、シリコン窒化膜、シリコン炭化膜、シリコン酸化炭化膜などを用いてもよい。また、これらの膜の積層体を形成してもよい。その後、化学的機械研磨、ウエットエッチングまたはエッチバックを行うことにより、第2の絶縁膜134の平坦化を行う。
次に、図4(d)に示す工程で、基板上に、炭素含有シリコン酸化膜からなる第3の絶縁膜135を堆積する。このとき、第3の絶縁膜135としては、FSG(Fluorinated Silicate Glass)膜、BPSG(Boron Phospho Silicate Glass)膜または多孔質膜を用いてもよい。また、これらの膜の積層膜を用いてもよい。
その後、フォトリソグラフィーによって、第3の絶縁膜135の上に、ホールパターン形成領域およびトレンチパターン形成領域に開口を有するフォトレジスト(図示せず)を形成する。その後、フォトレジストをマスクとしてドライエッチングを行うことにより、配線形成領域R1にはホールパターン136を形成し、シールリング領域R2にはトレンチパターン137を形成する。このドライエッチングは、トレンチパターン137が第2の絶縁膜134の途中の深さまで形成されていてもよいし、第2の絶縁膜134を貫通して、金属膜140に到達してもよい。その後、アッシングによりフォトレジストを除去し、ウエットエッチング時およびドライエッチング時のポリマーを除去する。
次に、図4(e)に示す工程で、パターン内に残存する第2の絶縁膜134を除去してホールパターン136とトレンチパターン137とを第1の金属配線132および第2の金属配線133に到達させて、それを導体で埋めることにより、平面形状が円形あるいは正方形のホールコンタクト導体部136'、および、リング状のトレンチコンタクト導体部137'を形成する。
次に、本実施形態の半導体装置のうち配線およびシールリングの構造について、図4(e)を再度参照しながら説明する。
図4(e)に示すように、本実施形態の半導体装置は、配線形成領域R1と、配線形成領域R1の側方を囲むシールリング領域R2とを有している。そして、配線形成領域R1には第1の金属配線132が設けられ、シールリング領域R2には第2の金属配線133が設けられている。第1の金属配線132は、配線溝138の表面を覆う表面導体膜132aと、その上を覆う金属配線膜132bとを有している。第2の金属配線133も、配線溝139の表面を覆う表面導体膜133aと、その上に設けられた金属配線膜133bとを有している。
第1の金属配線132および第2の金属配線133の上には、窒化チタンなどの耐酸化性の金属膜140が設けられている。そして、第1の絶縁膜131および金属膜140の上には、第2の絶縁膜134が設けられている。第2の絶縁膜134の上には第3の絶縁膜135が設けられている。そして、配線形成領域R1には、第3の絶縁膜135と第2の絶縁膜134とを貫通するホールコンタクト導体部136’が設けられている。同様に、シールリング領域R2には、トレンチコンタクト導体部137’が形成されている。従って、シールリング領域R2には、配線形成領域R1の第1の金属配線132およびホールコンタクト導体部136’を取り囲むように、第2の金属配線133及びトレンチコンタクト導体部137’からなるリング状のシールリングが設けられる。
本実施形態では、第2の金属配線133の上が耐酸化性の金属膜140によって覆われている。そのため、トレンチパターン137が金属膜140に到達している状態でアッシングやポリマー除去の工程を行っても、第2の金属配線133の上部が腐食することはない。ここで、第2の絶縁膜134のうち第1の絶縁膜131の上に位置する部分は厚くならないため、層間の誘電率を一定に保つことができる。
また、第1の金属配線132および第2の金属配線133の上部に耐酸化性の金属膜140を形成することにより、ホールパターン136およびトレンチパターン137を形成するときのエッチング耐性が向上するという利点もある。
(第5の実施形態)
以下に、本発明の第5の実施形態に係る半導体装置の製造方法について、図5(a)〜(f)を参照しながら説明する。図5(a)〜(f)は、第5の実施形態において、半導体装置のうち配線領域の製造方法を示す断面図である。なお、第1〜第4の実施形態では、配線領域およびシールリング領域において、ホールパターンとトレンチパターンとの深さの違いが生じる場合を示した。それに対し、本実施形態では、配線領域に多数のホールパターンを形成する場合に、ホールパターンごとに深さの違いが生じる場合について示す。
まず、図5(a)に示す工程で、シリコン基板(図示せず)上にシリコン酸化膜からなる、厚さ500nmの第1の絶縁膜141を形成する。そして、第1の絶縁膜141の上に、フォトリソグラフィにより、金属配線パターン形成領域に開口を有するフォトレジスト(図示せず)を形成する。そのフォトレジストをマスクとしてドライエッチングを行うことにより、第1の絶縁膜141の一部を除去して、深さ250nmの配線溝148を形成する。その後、フォトレジストを除去した後、基板上に、タンタルナイトライドとタンタルとからなる厚さ30nmの積層膜(図示せず)と、厚さ1000nmの銅膜(図示せず)とを形成する。その後、化学的機械研磨法を用いて銅膜と積層膜とを研磨することにより、配線溝148の内表面を覆う表面導体膜142aと、表面導体膜142aを介して配線溝148を埋める金属配線膜142bとからなる金属配線142を形成する。
次に、図5(b)に示す工程で、化学的機械研磨法か、または硝酸などを用いた金属の選択的なエッチングによって、金属配線142の上部をリセスさせることにより、深さ20nm〜40nm程度のリセス形状(凹部150)を形成する。
次に、図5(c)に示す工程で、基板上に、凹部150を埋め、厚さ100nm〜150nmのシリコン窒化炭化膜からなる第2の絶縁膜144を堆積する。このとき、第2の絶縁膜144の材料としては、シリコン窒化膜、シリコン炭化膜、シリコン酸化炭化膜などを用いてもよい。また、これらの膜の積層体を形成してもよい。
この時点では、金属配線142のリセス形状を反映して、第2の絶縁膜144の上には段差が形成されている。
次に、図5(d)に示す工程で、化学的機械研磨、ウエットエッチングまたはエッチバックを行うことにより、第2の絶縁膜144の上面の平坦化を行う。これにより、第2の絶縁膜144のうち金属配線142の上に位置する部分の膜厚は70nm〜90nm程度になり、それ以外の部分の厚さは50nmになる。
次に、図5(e)に示す工程で、基板上に、炭素含有シリコン酸化膜からなる第3の絶縁膜145を堆積する。このとき、第3の絶縁膜145としては、FSG(Fluorinated Silicate Glass)膜、BPSG(Boron Phospho Silicate Glass)膜または多孔質膜を用いてもよい。また、これらの膜の積層膜を用いてもよい。
その後、フォトリソグラフィーによって、第3の絶縁膜145の上に、ホールパターン形成領域に開口を有するフォトレジスト(図示せず)を形成する。その後、フォトレジストをマスクとしてドライエッチングを行うことにより、ホールパターン146を形成する。このドライエッチングは、ホールパターン146が第2の絶縁膜144の途中の深さになるまで行う。このとき、パターンの疎密等の影響により、複数のホールパターン146の深さはそれぞれ異なる。その後、アッシングによりフォトレジストを除去し、ウエットエッチング時およびドライエッチング時のポリマーを除去する。
次に、図5(f)に示す工程で、パターン内に残存する第2の絶縁膜144を除去してホールパターン146を金属配線142に到達させ、それを導体で埋めることにより、平面形状が円形あるいは正方形のホールコンタクト導体部146'を形成する。なお、この工程は、アッシング、ポリマー除去等の金属を腐食させるおそれのある処理を終了した後に行う。
次に、本実施形態の半導体装置のうち配線およびホールコンタクト導体部の構造について、図5(f)を再度参照しながら説明する。
図5(f)に示すように、本実施形態の半導体装置では、第1の絶縁膜141中に、表面導体膜142aと、その上を覆う金属配線膜142bとを有する金属配線142が設けられている。金属配線142の上部はリセスされており、凹部150が設けられている。
第1の絶縁膜141および金属配線142の上には、第2の絶縁膜144が設けられている。第2の絶縁膜144は凹部150を埋めているので、第2の絶縁膜144は、金属配線142の上に位置する部分が他の部分よりも厚く形成されている。第2の絶縁膜144の上面は平坦化されている。
第2の絶縁膜144の上には第3の絶縁膜145が設けられている。そして、第3の絶 縁膜145と第2の絶縁膜144とを貫通するホールコンタクト導体部146’が設けられている。
以下に、本実施形態で得られる効果について、従来と比較しながら説明する。
従来では、金属配線の上面は平坦なままであり、金属配線の上には第2の絶縁膜と第3の絶縁膜が形成されていた。この状態で、複数のホールパターンを形成すると、パターンの疎密やプロセスのばらつきにより、ホールパターンごとに深さが異なってしまっていた。そのため、ホールパターンのうちのいくつかが、第2の絶縁膜を貫通して金属配線に到達するおそれがあった。特に多数のホールパターンを形成する場合には、このおそれが大きくなっていた。
これに対し、本実施形態では、第2の絶縁膜144のうち金属配線142の上に位置する部分のみを厚く形成することができる。そのため、ホールパターン146が金属配線142に到達するのを防止することができる。そのため、アッシングやポリマー洗浄を行っても、金属配線142の腐食が起こらない。ここで、第2の絶縁膜144のうち第1の絶縁膜141の上に位置する部分は厚くならないため、層間の誘電率を一定に保つことができる。
さらに、金属配線142の上部をリセスさせるために化学的機械研磨法あるいは選択エッチングを行うことにより、第1の絶縁膜141と第2の絶縁膜144との密着性を向上させることができる。
なお、本実施形態では、第1の実施形態の方法を、配線領域に複数のホールパターンを形成する場合に適用したものである。しかし、本発明では、第3および第4の実施形態の方法を、配線領域に複数のホールパターンを形成する場合にも適用することができる。
また、第1〜第4の実施形態では、トレンチコンタクト導体部をリング状に形成しシールリングに用いた場合について説明したが、矩形あるいは帯状に形成して電極の一部として用いてもよい。
以上説明したように、層間絶縁膜における誘電率を一定に保ちつつ、ホールパターンやトレンチパターンの下に位置する配線の金属が腐食するのを防止することができる点で、産業利用可能性は高い。
(a)〜(f)は、第1の実施形態の半導体装置の製造方法を示す断面図である。 (a)〜(f)は、第2の実施形態の半導体装置の製造方法を示す断面図である。 (a)〜(e)は、第3の実施形態の半導体装置の製造工程を示す断面図である。 (a)〜(e)は、第4の実施形態の半導体装置の製造工程を示す断面図である。 (a)〜(f)は、第5の実施形態において、半導体装置のうち配線領域の製造方法を示す断面図である。
符号の説明
101 第1の絶縁膜
102 第1の金属配線
102a 表面導体膜
102b 金属配線膜
103 第2の金属配線
103a 表面導体膜
103b 金属配線膜
104 第2の絶縁膜
105 第3の絶縁膜
106 ホールパターン
106’ ホールコンタクト導体部
107 トレンチパターン
107’ トレンチコンタクト導体部
108 配線溝
109 配線溝
110 凹部
111 第1の絶縁膜
112 第1の金属配線
112a 表面導体膜
112b 金属配線膜
113 第2の金属配線
113a 表面導体膜
113b 金属配線膜
114 第2の絶縁膜
115 第3の絶縁膜
116 ホールパターン
116’ ホールコンタクト導体部
117 トレンチパターン
117’ トレンチコンタクト導体部
118 配線溝
119 配線溝
120 凹部
121 第1の絶縁膜
122 第1の金属配線
122a 表面導体膜
122b 金属配線膜
123 第2の金属配線
123a 表面導体膜
123b 金属配線膜
124 第2の絶縁膜
125 第3の絶縁膜
126’ ホールコンタクト導体部
126 ホールパターン
127 トレンチパターン
127’ トレンチコンタクト導体部
128 配線溝
129 配線溝
130 耐酸化性膜
131 第1の絶縁膜
132 第1の金属配線
132a 表面導体膜
132b 金属配線膜
133 第2の金属配線
133a 表面導体膜
133b 金属配線膜
134 第2の絶縁膜
135 第3の絶縁膜
136 ホールパターン
136’ ホールコンタクト導体部
137 トレンチパターン
137’ トレンチコンタクト導体部
138 配線溝
139 配線溝
140 金属膜
141 第1の絶縁膜
142 金属配線
142a 表面導体膜
142b 金属配線膜
143 金属配線
144 第2の絶縁膜
145 第3の絶縁膜
146 ホールパターン
146’ ホールコンタクト導体部
148 配線溝
150 凹部

Claims (10)

  1. 半導体基板の上方に、第1の絶縁膜を形成する工程(a)と、
    上記第1の絶縁膜の少なくとも上部に、第1の金属配線膜と第2の金属配線膜とを形成する工程(b)と、
    上記第2の金属配線膜の上部に凹部を形成する工程(c)と、
    上記第1の絶縁膜上記第1の金属配線膜および上記第2の金属配線膜の上に、上記凹部を埋め込むように、第2の絶縁膜を形成する工程(d)と、
    上記第2の絶縁膜の上面を平坦化することにより、上記第2の絶縁膜のうち上記第2の金属配線膜の上方に位置する部分の膜厚を、上記第2の絶縁膜のうち上記第1の金属配線膜の上方に位置する部分の膜厚よりも厚くする工程(e)と、
    上記工程(e)の後に、上記第2の絶縁膜の上に第3の絶縁膜を形成する工程(f)と、
    フォトレジストをマスクに用いたエッチングにより、上記第3の絶縁膜および上記第2の絶縁膜のうち上記第1の金属配線膜の上方に位置する部分を上記第1の金属配線膜に到達しない深さまで除去することによってホールパターンを形成すると共に、上記第3の絶縁膜および上記第2の絶縁膜のうち上記第2の金属配線膜の上方に位置する部分を上記第2の金属配線膜に到達しない深さまで除去することによって開口面積が前記ホールパターンの開口面積よりも広いトレンチパターンを形成する工程(g)と、
    上記フォトレジストを除去する工程(h)と
    前記工程(h)の後に、前記ホールパターンの底部における前記第2の絶縁膜を除去して前記第1の金属配線膜を露出させると共に、前記トレンチパターンの底部における前記第2の絶縁膜を除去して前記第2の金属配線膜を露出させる工程(i)とを備える、半導体装置の製造方法。
  2. 請求項1に記載の半導体装置の製造方法において
    上記工程(c)、化学的機械研磨によって、前記凹部を形成する工程である、半導体装置の製造方法。
  3. 請求項1に記載の半導体装置の製造方法において
    上記工程(c)エッチングによって、前記凹部を形成する工程である、半導体装置の製造方法。
  4. 請求項1に記載の半導体装置の製造方法において
    上記トレンチパターンは矩形あるいは帯状の平面形状を有し、
    上記ホールパターンは円形あるいは正方形の平面形状を有している、半導体装置の製造方法。
  5. 請求項1に記載の半導体装置の製造方法において
    上記工程(i)の後に、前記ホールパターンに導体を埋め込んでホールコンタクト導体部を形成すると共に前記トレンチパターンに導体を埋め込んでトレンチコンタクト導体部を形成する工程をさらに備え、
    上記第2の金属配線膜およびトレンチコンタクト導体部は、リング状に形成されたシールリングである、半導体装置の製造方法。
  6. 請求項1に記載の半導体装置の製造方法において
    上記工程(b)において、前記第2の金属配線膜の幅は、前記第1の金属配線膜の幅よりも広い、半導体装置の製造方法。
  7. 請求項1に記載の半導体装置の製造方法において
    上記工程(b)前記第2の金属配線膜の周りにダミーパターンを形成することによって単位面積あたりの金属の占有率を上昇させる工程を含む、半導体装置の製造方法。
  8. 請求項1〜のいずれか1項に記載の半導体装置の製造方法において
    上記工程(a)の前に、上記半導体基板内に素子を形成する工程(j)をさらに備え、
    上記工程(b)では、上記第1の金属配線膜または上記第2の金属配線膜が上記素子と電気的に接続されるように形成する、半導体装置の製造方法。
  9. 請求項1〜のいずれか1項に記載の半導体装置の製造方法において
    上記第2の絶縁膜は、シリコン窒化炭化膜である、半導体装置の製造方法。
  10. 請求項1〜8のいずれか1項に記載の半導体装置の製造方法において、
    上記第2の絶縁膜は、シリコン酸化炭化膜である、半導体装置の製造方法。
JP2003335185A 2003-09-26 2003-09-26 半導体装置の製造方法 Expired - Fee Related JP4041785B2 (ja)

Priority Applications (8)

Application Number Priority Date Filing Date Title
JP2003335185A JP4041785B2 (ja) 2003-09-26 2003-09-26 半導体装置の製造方法
US10/945,920 US7215028B2 (en) 2003-09-26 2004-09-22 Semiconductor device and method for fabricating the same
CN2008100919970A CN101257001B (zh) 2003-09-26 2004-09-22 半导体器件及其制造方法
CNB2004100118423A CN100431145C (zh) 2003-09-26 2004-09-22 半导体器件及其制造方法
US11/716,704 US7400045B2 (en) 2003-09-26 2007-03-12 Semiconductor device and method for fabricating the same
US12/153,028 US7935623B2 (en) 2003-09-26 2008-05-13 Semiconductor device and method for fabricating the same
US13/051,415 US8329572B2 (en) 2003-09-26 2011-03-18 Semiconductor device and method for fabricating the same
US13/674,749 US8648472B2 (en) 2003-09-26 2012-11-12 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003335185A JP4041785B2 (ja) 2003-09-26 2003-09-26 半導体装置の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006281346A Division JP4714659B2 (ja) 2006-10-16 2006-10-16 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2005101433A JP2005101433A (ja) 2005-04-14
JP4041785B2 true JP4041785B2 (ja) 2008-01-30

Family

ID=34373194

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003335185A Expired - Fee Related JP4041785B2 (ja) 2003-09-26 2003-09-26 半導体装置の製造方法

Country Status (3)

Country Link
US (5) US7215028B2 (ja)
JP (1) JP4041785B2 (ja)
CN (2) CN101257001B (ja)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4701017B2 (ja) * 2005-06-21 2011-06-15 パナソニック株式会社 半導体装置の製造方法及び半導体装置
KR100799133B1 (ko) * 2006-08-21 2008-01-29 주식회사 하이닉스반도체 반도체소자의 리세스게이트 제조 방법
KR100790452B1 (ko) * 2006-12-28 2008-01-03 주식회사 하이닉스반도체 다마신 공정을 이용한 반도체 소자의 다층 금속배선형성방법
DE102007004860B4 (de) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
JP5117791B2 (ja) * 2007-08-22 2013-01-16 ルネサスエレクトロニクス株式会社 半導体装置
JP5504693B2 (ja) * 2009-05-20 2014-05-28 ソニー株式会社 半導体装置の製造方法、半導体装置の製造装置、半導体装置の製造プログラム、マスクデータの生成プログラム
JP2011258740A (ja) * 2010-06-09 2011-12-22 Toshiba Corp 半導体装置、カメラモジュールおよび半導体装置の製造方法
US8575000B2 (en) * 2011-07-19 2013-11-05 SanDisk Technologies, Inc. Copper interconnects separated by air gaps and method of making thereof
US8592983B2 (en) * 2011-12-02 2013-11-26 The Boeing Company Method of integrating a plurality of benzocyclobutene layers with a substrate and an associated device
US8828875B1 (en) * 2013-03-08 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for improving CMP planarity
CN104797085B (zh) * 2015-04-23 2018-01-16 广州杰赛科技股份有限公司 电路板埋铜块盲槽制作方法
KR102545253B1 (ko) * 2015-05-28 2023-06-19 엘지디스플레이 주식회사 유기 발광 표시 장치 및 그 제조 방법
US9953865B1 (en) * 2016-10-26 2018-04-24 International Business Machines Corporation Structure and method to improve FAV RIE process margin and electromigration
JP2018072669A (ja) * 2016-11-01 2018-05-10 株式会社ジャパンディスプレイ 表示装置
US10115679B1 (en) 2017-06-19 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Trench structure and method
KR102534051B1 (ko) * 2018-04-06 2023-05-18 삼성디스플레이 주식회사 도전층의 연결 구조
US20210214746A1 (en) 2018-05-25 2021-07-15 Basf Se Plants comprising wheat g-type cytoplasmic male sterility restorer genes and uses thereof
WO2019224359A1 (en) 2018-05-25 2019-11-28 Basf Se Plants comprising wheat g-type cytoplasmic male sterility restorer genes and uses thereof
WO2019234231A1 (en) 2018-06-08 2019-12-12 Basf Se Plants comprising wheat g-type cytoplasmic male sterility restorer genes and uses thereof
US11482495B2 (en) * 2018-11-30 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement and method for making
DE102019131408A1 (de) * 2019-06-28 2020-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Margenverbesserung für durchkontaktierung am hinteren ende der leitung zu einer metallleitung
KR20220034337A (ko) 2020-09-11 2022-03-18 삼성전자주식회사 반도체 장치
US20220328434A1 (en) 2021-04-13 2022-10-13 Semiconductor Components Industries, Llc Oxidation and corrosion prevention in semiconductor devices and semiconductor device assemblies

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3397501B2 (ja) 1994-07-12 2003-04-14 株式会社東芝 研磨剤および研磨方法
JPH08264538A (ja) 1995-03-28 1996-10-11 Sumitomo Metal Ind Ltd 配線の形成方法
JP3660799B2 (ja) 1997-09-08 2005-06-15 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JPH11150185A (ja) * 1997-11-14 1999-06-02 Nippon Steel Corp 半導体装置及びその製造方法
JP3515363B2 (ja) * 1998-03-24 2004-04-05 株式会社東芝 半導体装置の製造方法
JP3469771B2 (ja) 1998-03-24 2003-11-25 富士通株式会社 半導体装置およびその製造方法
JP2000174123A (ja) 1998-12-09 2000-06-23 Nec Corp 半導体装置及びその製造方法
JP3708732B2 (ja) * 1998-12-25 2005-10-19 Necエレクトロニクス株式会社 半導体装置の製造方法
US6281535B1 (en) * 1999-01-22 2001-08-28 Agilent Technologies, Inc. Three-dimensional ferroelectric capacitor structure for nonvolatile random access memory cell
TW400619B (en) * 1999-03-05 2000-08-01 United Microelectronics Corp The manufacture method of dual damascene structure
TW473812B (en) * 1999-06-01 2002-01-21 Tokyo Electron Ltd Method of manufacturing semiconductor device and manufacturing apparatus
US6114243A (en) * 1999-11-15 2000-09-05 Chartered Semiconductor Manufacturing Ltd Method to avoid copper contamination on the sidewall of a via or a dual damascene structure
JP2002118078A (ja) 2000-10-12 2002-04-19 Toshiba Corp 半導体装置の製造方法及び半導体装置
US6984581B2 (en) * 2000-12-21 2006-01-10 Intel Corporation Structural reinforcement of highly porous low k dielectric films by ILD posts
JP4535629B2 (ja) 2001-02-21 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6887753B2 (en) * 2001-02-28 2005-05-03 Micron Technology, Inc. Methods of forming semiconductor circuitry, and semiconductor circuit constructions
JP4118029B2 (ja) * 2001-03-09 2008-07-16 富士通株式会社 半導体集積回路装置とその製造方法
JP4050876B2 (ja) 2001-03-28 2008-02-20 富士通株式会社 半導体集積回路装置とその製造方法
JP2002373937A (ja) 2001-06-15 2002-12-26 Fujitsu Ltd 半導体装置及びその製造方法
JP3967567B2 (ja) * 2001-07-30 2007-08-29 株式会社東芝 半導体装置およびその製造方法
JP2003133314A (ja) 2001-10-30 2003-05-09 Hitachi Ltd 半導体装置の製造方法
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
US20030118798A1 (en) * 2001-12-25 2003-06-26 Nec Electronics Corporation Copper interconnection and the method for fabricating the same
US20030143853A1 (en) * 2002-01-31 2003-07-31 Celii Francis G. FeRAM capacitor stack etch
JP4063619B2 (ja) * 2002-03-13 2008-03-19 Necエレクトロニクス株式会社 半導体装置の製造方法
US6727172B1 (en) * 2002-06-12 2004-04-27 Taiwan Semiconductor Manufacturing Company Process to reduce chemical mechanical polishing damage of narrow copper lines
KR100519169B1 (ko) * 2003-05-09 2005-10-06 매그나칩 반도체 유한회사 반도체 소자의 금속배선 형성방법
US7208404B2 (en) * 2003-10-16 2007-04-24 Taiwan Semiconductor Manufacturing Company Method to reduce Rs pattern dependence effect

Also Published As

Publication number Publication date
US7935623B2 (en) 2011-05-03
US8329572B2 (en) 2012-12-11
US20130062772A1 (en) 2013-03-14
CN101257001A (zh) 2008-09-03
CN100431145C (zh) 2008-11-05
CN101257001B (zh) 2010-06-16
US20070187832A1 (en) 2007-08-16
US20110171824A1 (en) 2011-07-14
US7400045B2 (en) 2008-07-15
US20090017611A1 (en) 2009-01-15
CN1601741A (zh) 2005-03-30
US7215028B2 (en) 2007-05-08
JP2005101433A (ja) 2005-04-14
US20050070086A1 (en) 2005-03-31
US8648472B2 (en) 2014-02-11

Similar Documents

Publication Publication Date Title
JP4041785B2 (ja) 半導体装置の製造方法
JP2003133415A (ja) 半導体素子の導電配線形成方法
JP2007142421A (ja) 半導体素子及びこの製造方法
JP5193542B2 (ja) 半導体装置の製造方法
JP2005026641A (ja) 半導体装置およびその製造方法
US20100052173A1 (en) Semiconductor device and semiconductor device manufacturing method
JP4499390B2 (ja) 半導体装置及びその製造方法
JP4425707B2 (ja) 半導体装置およびその製造方法
US6645864B1 (en) Physical vapor deposition of an amorphous silicon liner to eliminate resist poisoning
US7553759B2 (en) Semiconductor device and method of manufacturing a semiconductor device
JP4634180B2 (ja) 半導体装置及びその製造方法
JP2006054251A (ja) 半導体装置の製造方法
JP2006351732A (ja) 半導体装置の製造方法
JP4714659B2 (ja) 半導体装置の製造方法
JP4472286B2 (ja) 変形されたデュアルダマシン工程を利用した半導体素子の金属配線形成方法
KR100737701B1 (ko) 반도체 소자의 배선 형성 방법
JP2006216818A (ja) 半導体装置及びその製造方法
JP2006351731A (ja) 半導体装置の製造方法
KR100538634B1 (ko) 반도체 소자의 금속 배선 형성 방법
JP4379245B2 (ja) 半導体装置の製造方法
KR100678008B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100485180B1 (ko) 반도체 소자의 제조 방법
KR100579858B1 (ko) 금속-절연체-금속 커패시터의 제조 방법
KR100669663B1 (ko) 반도체 소자의 콘택홀 형성 방법
KR20100025715A (ko) 반도체 소자의 게이트 패턴 형성방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060807

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060822

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061016

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070626

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070723

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071016

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071112

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101116

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111116

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121116

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121116

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131116

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees