KR20220034337A - 반도체 장치 - Google Patents

반도체 장치 Download PDF

Info

Publication number
KR20220034337A
KR20220034337A KR1020200116578A KR20200116578A KR20220034337A KR 20220034337 A KR20220034337 A KR 20220034337A KR 1020200116578 A KR1020200116578 A KR 1020200116578A KR 20200116578 A KR20200116578 A KR 20200116578A KR 20220034337 A KR20220034337 A KR 20220034337A
Authority
KR
South Korea
Prior art keywords
contact
source
drain
pattern
connection
Prior art date
Application number
KR1020200116578A
Other languages
English (en)
Inventor
김상영
류병찬
전다운
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020200116578A priority Critical patent/KR20220034337A/ko
Priority to US17/325,466 priority patent/US11804528B2/en
Priority to TW110130685A priority patent/TW202211405A/zh
Publication of KR20220034337A publication Critical patent/KR20220034337A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Abstract

소자 성능 및 신뢰성을 개선할 수 있는 반도체 장치를 제공하는 것이다. 반도체 장치는 기판 상의 게이트 구조체, 기판 상에, 게이트 구조체의 측면에 배치된 소오스/드레인 패턴, 소오스/드레인 패턴 상에, 소오스/드레인 패턴과 연결된 소오스/드레인 필링 컨택, 및 소오스/드레인 필링 컨택 상에, 소오스/드레인 필링 컨택과 직접 연결된 연결 컨택을 포함하고, 소오스/드레인 필링 컨택의 상면 전체는 게이트 구조체의 상면보다 낮고, 연결 컨택의 상면은 게이트 구조체의 상면보다 높다.

Description

반도체 장치{Semiconductor device}
본 발명은 반도체 장치에 관한 것이다.
반도체 장치의 밀도를 높이기 위한 스케일링(scaling) 기술 중 하나로서, 기판 상에 핀(fin) 또는 나노 와이어(nanowire) 형상의 다채널 액티브 패턴(또는 실리콘 바디)을 형성하고 다채널 액티브 패턴의 표면 위에 게이트를 형성하는 멀티 게이트 트랜지스터(multi gate transistor)가 제안되었다.
이러한 멀티 게이트 트랜지스터는 3차원의 채널을 이용하기 때문에, 스케일링하는 것이 용이하다. 또한, 멀티 게이트 트랜지스터의 게이트 길이를 증가시키지 않아도, 전류 제어 능력을 향상시킬 수 있다. 뿐만 아니라, 드레인 전압에 의해 채널 영역의 전위가 영향을 받는 SCE(short channel effect)를 효과적으로 억제할 수 있다.
한편, 반도체 장치의 피치 크기가 줄어듦에 따라, 반도체 장치 내의 컨택들 사이에서 정전 용량 감소 및 전기적 안정성 확보하기 위한 연구가 필요하다.
본 발명이 해결하려는 과제는, 소자 성능 및 신뢰성을 개선할 수 있는 반도체 장치를 제공하는 것이다.
본 발명이 해결하려는 과제들은 이상에서 언급한 과제들로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 과제를 해결하기 위한 본 발명의 반도체 장치의 일 태양(aspect)은 기판 상의 게이트 구조체, 기판 상에, 게이트 구조체의 측면에 배치된 소오스/드레인 패턴, 소오스/드레인 패턴 상에, 소오스/드레인 패턴과 연결된 소오스/드레인 필링 컨택, 및 소오스/드레인 필링 컨택 상에, 소오스/드레인 필링 컨택과 직접 연결된 연결 컨택을 포함하고, 소오스/드레인 필링 컨택의 상면 전체는 게이트 구조체의 상면보다 낮고, 연결 컨택의 상면은 게이트 구조체의 상면보다 높다.
상기 과제를 해결하기 위한 본 발명의 반도체 장치의 다른 태양은 기판 상에, 게이트 전극과 게이트 전극 상의 게이트 캡핑 패턴을 포함하는 게이트 구조체, 기판 상에, 게이트 구조체의 측면에 배치된 소오스/드레인 패턴, 소오스/드레인 패턴 상에, 소오스/드레인 패턴과 연결된 소오스/드레인 컨택, 및 소오스/드레인 컨택 상에, 소오스/드레인 컨택과 직접 연결된 연결 컨택을 포함하고, 연결 컨택은 연결 필링 컨택과, 연결 필링 컨택의 바닥면을 따라 연장된 연결 배리어 컨택을 포함하고, 연결 컨택의 상면은 게이트 구조체의 상면보다 높고, 연결 필링 컨택의 바닥면은 상기 게이트 구조체의 상면보다 낮다.
상기 과제를 해결하기 위한 본 발명의 반도체 장치의 또 다른 태양은 기판 상의 다채널 활성 패턴, 다채널 활성 패턴 상에 배치되고, 게이트 전극 및 게이트 캡핑 패턴을 포함하는 게이트 구조체로, 게이트 캡핑 패턴은 게이트 전극 상에 배치된 게이트 구조체, 다채널 활성 패턴 상에, 게이트 구조체의 측면에 배치된 소오스/드레인 패턴, 소오스/드레인 패턴 상에, 소오스/드레인 패턴과 연결된 소오스/드레인 컨택, 소오스/드레인 컨택 상에, 소오스/드레인 컨택과 직접 연결된 연결 컨택, 및 연결 컨택 상에, 연결 컨택과 연결된 배선 구조체를 포함하고, 배선 구조체는 연결 컨택과 직접 연결되는 비아와, 비아와 연결된 배선 라인을 포함하고, 소오스/드레인 컨택은 필링 리세스를 정의하는 소오스/드레인 배리어 컨택과, 필링 리세스의 일부를 채우는 소오스/드레인 필링 컨택을 포함하고, 소오스/드레인 필링 컨택의 상면 전체는 게이트 캡핑 패턴의 상면보다 낮다.
본 발명의 기타 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
도 1은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 예시적인 레이아웃도이다.
도 2는 도 1의 A - A를 따라 절단한 단면도이다.
도 3은 도 2의 P 부분을 확대하여 도시한 도면이다.
도 4 및 도 5는 도 1의 B - B 및 C - C를 따라 절단한 단면도이다.
도 6은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다.
도 7은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다.
도 8은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다.
도 9는 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다.
도 10은 도 9의 P 부분을 확대한 도면이다.
도 11은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다.
도 12는 도 11의 P 부분을 확대한 도면이다.
도 13은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다.
도 14는 도 13의 P 부분을 확대한 도면이다.
도 15는 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다.
도 16은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다.
도 17은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다.
도 18은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다.
도 19는 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다.
도 20은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 예시적인 레이아웃도이다.
도 21a 및 도 21b는 도 20의 A - A를 따라 절단한 단면도들이다.
도 22는 도 20의 D - D를 따라 절단한 단면도이다.
몇몇 실시예에 따른 반도체 장치에 관한 도면에서는, 예시적으로, 핀형 패턴 형상의 채널 영역을 포함하는 핀형 트랜지스터(FinFET), 나노 와이어 또는 나노 시트를 포함하는 트랜지스터를 도시하였지만, 이에 제한되는 것은 아니다. 본 발명의 기술적 사상은 평면(planar) 트랜지스터에 적용될 수 있음은 물론이다. 덧붙여, 본 발명의 기술적 사상은 2차원 물질을 기반으로하는 트랜지스터(2D material based FETs) 및 이의 이종 구조(heterostructure)에 적용될 수 있다.
또한, 몇몇 실시예에 따른 반도체 장치는 터널링 트랜지스터(tunneling FET), 또는 3차원(3D) 트랜지스터를 포함할 수 있다. 몇몇 실시예에 따른 반도체 장치는 양극성 접합(bipolar junction) 트랜지스터, 횡형 이중 확산 트랜지스터(LDMOS) 등을 포함할 수도 있다.
도 1 내지 도 5를 참조하여, 몇몇 실시예들에 따른 반도체 장치에 대해 설명한다.
도 1은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 예시적인 레이아웃도이다. 도 2는 도 1의 A - A를 따라 절단한 단면도이다. 도 3은 도 2의 P 부분을 확대하여 도시한 도면이다. 도 4 및 도 5는 도 1의 B - B 및 C - C를 따라 절단한 단면도이다. 설명의 편의성을 위해, 도 1에서는 제1 및 제2 연결 컨택(180, 185)과, 배선 구조체(205)를 도시하지 않았다.
도 1 내지 도 5를 참고하면, 몇몇 실시예들에 따른 반도체 장치는 제1 활성 패턴(AP1)과, 제2 활성 패턴(AP2)과, 게이트 전극(120)과, 게이트 컨택(160)과, 제1 소오스/드레인 컨택(170)과, 제2 소오스/드레인 컨택(175)과, 제1 연결 컨택(180)과, 제2 연결 컨택(185)과, 배선 구조체(205)를 포함할 수 있다.
기판(100)은 벌크 실리콘 또는 SOI(silicon-on-insulator)일 수 있다. 이와 달리, 기판(100)은 실리콘 기판일 수도 있고, 또는 다른 물질, 예를 들어, 실리콘게르마늄, SGOI(silicon germanium on insulator), 안티몬화 인듐, 납 텔루르 화합물, 인듐 비소, 인듐 인화물, 갈륨 비소 또는 안티몬화 갈륨을 포함할 수 있으나, 이에 한정되는 것은 아니다.
제1 활성 패턴(AP1) 및 제2 활성 패턴(AP2)는 각각 기판(100)으로부터 돌출될 수 있다. 제1 활성 패턴(AP1) 및 제2 활성 패턴(AP2)는 각각 기판(100) 상에, 제1 방향(D1)을 따라 길게 연장될 수 있다. 예를 들어, 제1 활성 패턴(AP1) 및 제2 활성 패턴(AP2)는 각각 제1 방향(D1)으로 연장되는 장변과, 제2 방향(D2)으로 연장되는 단변을 포함할 수 있다. 여기에서, 제1 방향(D1)은 제2 방향(D2) 및 제3 방향(D3)과 교차될 수 있다. 또한, 제2 방향(D2)은 제3 방향(D3)과 교차될 수 있다.
제1 활성 패턴(AP1)은 깊은 트렌치(DT)에 의해 정의된 활성 영역에 배치될 수 있다. 제2 활성 패턴(AP2)은 깊은 트렌치(DT)에 의해 정의된 활성 영역에 배치될 수 있다. 제1 활성 패턴(AP1)과, 제2 활성 패턴(AP2) 사이에, 깊은 트렌치(DT)가 형성될 수 있다.
몇몇 실시예들에 따른 반도체 장치에서, 깊은 트렌치(DT)은 제1 활성 패턴(AP1)이 배치된 활성 영역과, 제2 활성 패턴(AP2)이 배치된 활성 영역을 구분할 수 있다.
일 예로, 제1 활성 패턴(AP1)과 제2 활성 패턴(AP2) 중 하나는 PMOS 형성 영역에 배치되고, 다른 하나는 NMOS 형성 영역에 배치될 수 있다. 다른 예로, 제1 활성 패턴(AP1)과 제2 활성 패턴(AP2)은 PMOS 형성 영역에 배치될 수 있다. 또 다른 예로, 제1 활성 패턴(AP1)과 제2 활성 패턴(AP2)은 NMOS 형성 영역에 배치될 수 있다.
제1 활성 패턴(AP1)과 제2 활성 패턴(AP2)은 각각 다채널 활성 패턴일 수 있다. 몇몇 실시예들에 따른 반도체 장치에서, 각각의 제1 활성 패턴(AP1)과 제2 활성 패턴(AP2)은 예를 들어, 핀형 패턴일 수 있다. 각각의 제1 활성 패턴(AP1)과 제2 활성 패턴(AP2)은 트랜지스터의 채널 패턴으로 사용될 수 있다.
각각의 제1 활성 패턴(AP1)과 제2 활성 패턴(AP2)은 각각 2개인 것으로 도시하였지만, 설명의 편의를 위한 것일 뿐, 이에 제한되는 것은 아니다. 각각의 제1 활성 패턴(AP1)과 제2 활성 패턴(AP2)은 하나일 수도 있고, 3개 이상일 수도 있다.
각각의 제1 활성 패턴(AP1)과 제2 활성 패턴(AP2)은 기판(100)의 일부일 수도 있고, 기판(100)으로부터 성장된 에피층(epitaxial layer)을 포함할 수 있다. 각각의 제1 활성 패턴(AP1)과 제2 활성 패턴(AP2)은 예를 들어, 원소 반도체 물질인 실리콘 또는 게르마늄을 포함할 수 있다. 또한, 각각의 제1 활성 패턴(AP1)과 제2 활성 패턴(AP2)은 화합물 반도체를 포함할 수 있고, 예를 들어, IV-IV족 화합물 반도체 또는 III-V족 화합물 반도체를 포함할 수 있다.
IV-IV족 화합물 반도체는 예를 들어, 탄소(C), 규소(Si), 게르마늄(Ge), 주석(Sn) 중 적어도 2개 이상을 포함하는 이원계 화합물(binary compound), 삼원계 화합물(ternary compound) 또는 이들에 IV족 원소가 도핑된 화합물일 수 있다.
III-V족 화합물 반도체는 예를 들어, III족 원소로 알루미늄(Al), 갈륨(Ga) 및 인듐(In) 중 적어도 하나와 V족 원소인 인(P), 비소(As) 및 안티모늄(Sb) 중 하나가 결합되어 형성되는 이원계 화합물, 삼원계 화합물 또는 사원계 화합물 중 하나일 수 있다.
일 예로, 제1 활성 패턴(AP1)과 제2 활성 패턴(AP2)은 동일한 물질을 포함할 수 있다. 다른 예로, 제1 활성 패턴(AP1)은 제2 활성 패턴(AP2)과 다른 물질을 포함할 수 있다.
필드 절연막(105)은 기판(100) 상에 형성될 수 있다. 필드 절연막(105)은 깊은 트렌치(DT)를 채울 수 있다.
필드 절연막(105)은 제1 활성 패턴(AP1)과 제2 활성 패턴(AP2)의 측벽의 일부 상에 형성될 수 있다. 제1 활성 패턴(AP1)과 제2 활성 패턴(AP2)은 각각 필드 절연막(105)의 상면보다 위로 돌출될 수 있다. 필드 절연막(105)은 예를 들어, 산화막, 질화막, 산질화막 또는 이들의 조합막을 포함할 수 있다.
게이트 구조체(GS)는 기판(100) 상에 배치될 수 있다. 게이트 구조체(GS)는 제2 방향(D2)으로 길게 연장될 수 있다. 게이트 구조체(GS)는 각각 제1 활성 패턴(AP1) 및 제2 활성 패턴(AP2)과 교차할 수 있다. 게이트 구조체(GS)는 예를 들어, 게이트 전극(120), 게이트 절연막(130), 게이트 스페이서(140) 및 게이트 캡핑 패턴(145)을 포함할 수 있다.
게이트 구조체(GS)는 깊은 트렌치(DT)를 채우는 필드 절연막(105)을 가로질러 배치되는 것으로 도시하였지만, 설명의 편의를 위한 것일 뿐, 이에 제한되는 것은 아니다. 즉, 게이트 구조체(GS) 중 적어도 하나는 필드 절연막(105) 상에 배치된 게이트 분리 구조체에 의해 두 부분으로 분리될 수도 있다.
게이트 전극(120)은 기판(100) 상에 배치될 수 있다. 게이트 전극(120)은 제1 활성 패턴(AP1)과 제2 활성 패턴(AP2) 상에 형성될 수 있다. 게이트 전극(120)은 게이트 전극(120)과 교차할 수 있다.
게이트 전극(120)은 필드 절연막(105)의 상면보다 돌출된 게이트 전극(120)을 감쌀 수 있다. 게이트 전극(120)은 제2 방향(D2)으로 연장될 수 있다. 게이트 전극(120)은 제2 방향(D2)으로 연장된 장변과, 제1 방향(D1)으로 연장된 단변을 포함할 수 있다.
게이트 전극(120)은 예를 들어, 티타늄 질화물(TiN), 탄탈륨 탄화물(TaC), 탄탈륨 질화물(TaN), 티타늄 실리콘 질화물(TiSiN), 탄탈륨 실리콘 질화물(TaSiN), 탄탈륨 티타늄 질화물(TaTiN), 티타늄 알루미늄 질화물(TiAlN), 탄탈륨 알루미늄 질화물(TaAlN), 텅스텐 질화물(WN), 루테늄(Ru), 티타늄 알루미늄(TiAl), 티타늄 알루미늄 탄질화물(TiAlC-N), 티타늄 알루미늄 탄화물(TiAlC), 티타늄 탄화물(TiC), 탄탈륨 탄질화물(TaCN), 텅스텐(W), 알루미늄(Al), 구리(Cu), 코발트(Co), 티타늄(Ti), 탄탈륨(Ta), 니켈(Ni), 백금(Pt), 니켈 백금(Ni-Pt), 니오븀(Nb), 니오븀 질화물(NbN), 니오븀 탄화물(NbC), 몰리브덴(Mo), 몰리브덴 질화물(MoN), 몰리브덴 탄화물(MoC), 텅스텐 탄화물(WC), 로듐(Rh), 팔라듐(Pd), 이리듐(Ir), 오스뮴(Os), 은(Ag), 금(Au), 아연(Zn), 바나듐(V) 및 이들의 조합 중 적어도 하나를 포함할 수 있다.
게이트 스페이서(140)은 게이트 전극(120)의 측벽 상에 배치될 수 있다. 게이트 스페이서(140)은 제2 방향(D2)으로 연장될 수 있다. 게이트 스페이서(140)은 예를 들어, 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 산화물(SiO2), 실리콘 산탄질화물(SiOCN), 실리콘 붕소질화물(SiBN), 실리콘 산붕소질화물(SiOBN), 실리콘 산탄화물(SiOC) 및 이들의 조합 중 적어도 하나를 포함할 수 있다.
게이트 절연막(130)은 게이트 전극(120)의 측벽 및 바닥면을 따라 연장될 수 있다. 게이트 절연막(130)은 게이트 전극(120) 및 제1 활성 패턴(AP2) 사이와, 게이트 전극(120) 및 제2 활성 패턴(AP2) 사이와, 게이트 전극(120) 및 필드 절연막(105) 사이에 배치될 수 있다. 게이트 절연막(130)은 게이트 전극(120) 및 게이트 스페이서(140) 사이에 배치될 수 있다.
게이트 절연막(130)은 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물, 또는 실리콘 산화물보다 유전 상수가 큰 고유전율 물질을 포함할 수 있다. 고유전율 물질은 예를 들어, 보론 질화물(boron nitride), 하프늄 산화물(hafnium oxide), 하프늄 실리콘 산화물(hafnium silicon oxide), 하프늄 알루미늄 산화물(hafnium aluminum oxide), 란타늄 산화물(lanthanum oxide), 란타늄 알루미늄 산화물(lanthanum aluminum oxide), 지르코늄 산화물(zirconium oxide), 지르코늄 실리콘 산화물(zirconium silicon oxide), 탄탈륨 산화물(tantalum oxide), 티타늄 산화물(titanium oxide), 바륨 스트론튬 티타늄 산화물(barium strontium titanium oxide), 바륨 티타늄 산화물(barium titanium oxide), 스트론튬 티타늄 산화물(strontium titanium oxide), 이트륨 산화물(yttrium oxide), 알루미늄 산화물(aluminum oxide), 납 스칸듐 탄탈륨 산화물(lead scandium tantalum oxide), 또는 납 아연 니오브산염(lead zinc niobate) 중에서 하나 이상을 포함할 수 있다.
몇몇 실시예들에 따른 반도체 장치는 네거티브 커패시터(Negative Capacitor)를 이용한 NC(Negative Capacitance) FET을 포함할 수 있다. 예를 들어, 게이트 절연막(130)은 강유전체 특성을 갖는 강유전체 물질막과, 상유전체 특성을 갖는 상유전체 물질막을 포함할 수 있다.
강유전체 물질막은 음의 커패시턴스를 가질 수 있고, 상유전체 물질막은 양의 커패시턴스를 가질 수 있다. 예를 들어, 두 개 이상의 커패시터가 직렬 연결되고, 각각의 커패시터의 커패시턴스가 양의 값을 가질 경우, 전체 커패시턴스는 각각의 개별 커패시터의 커패시턴스보다 감소하게 된다. 반면, 직렬 연결된 두 개 이상의 커패시터의 커패시턴스 중 적어도 하나가 음의 값을 가질 경우, 전체 커패시턴스는 양의 값을 가지면서 각각의 개별 커패시턴스의 절대값보다 클 수 있다.
음의 커패시턴스를 갖는 강유전체 물질막과, 양의 커패시턴스를 갖는 상유전체 물질막이 직렬로 연결될 경우, 직렬로 연결된 강유전체 물질막 및 상유전체 물질막의 전체적인 커패시턴스 값은 증가할 수 있다. 전체적인 커패시턴스 값이 증가하는 것을 이용하여, 강유전체 물질막을 포함하는 트랜지스터는 상온에서 60 mV/decade 미만의 문턱전압이하 스윙(subthreshold swing(SS))을 가질 수 있다.
강유전체 물질막은 강유전체 특성을 가질 수 있다. 강유전체 물질막은 예를 들어, 하프늄 산화물(hafnium oxide), 하프늄 지르코늄 산화물(hafnium zirconium oxide), 바륨 스트론튬 티타늄 산화물(barium strontium titanium oxide), 바륨 티타늄 산화물(barium titanium oxide) 및 납 지르코늄 티타늄 산화물(lead zirconium titanium oxide) 중 적어도 하나를 포함할 수 있다. 여기에서, 일 예로, 하프늄 지르코늄 산화물(hafnium zirconium oxide)은 하프늄 산화물(hafnium oxide)에 지르코늄(Zr)이 도핑된 물질일 수 있다. 다른 예로, 하프늄 지르코늄 산화물(hafnium zirconium oxide)은 하프늄(Hf)과 지르코늄(Zr)과 산소(O)의 화합물일 수도 있다.
강유전체 물질막은 도핑된 도펀트를 더 포함할 수 있다. 예를 들어, 도펀트는 알루미늄(Al), 티타늄(Ti), 니오븀(Nb), 란타넘(La), 이트륨(Y), 마그네슘(Mg), 실리콘(Si), 칼슘(Ca), 세륨(Ce), 디스프로슘(Dy), 어븀(Er), 가돌리늄(Gd), 게르마늄(Ge), 스칸듐(Sc), 스트론튬(Sr) 및 주석(Sn) 중 적어도 하나를 포함할 수 있다. 강유전체 물질막이 어떤 강유전체 물질을 포함하냐에 따라, 강유전체 물질막에 포함된 도펀트의 종류는 달라질 수 있다.
강유전체 물질막이 하프늄 산화물을 포함할 경우, 강유전체 물질막에 포함된 도펀트는 예를 들어, 가돌리늄(Gd), 실리콘(Si), 지르코늄(Zr), 알루미늄(Al) 및 이트륨(Y) 중 적어도 하나를 포함할 수 있다.
도펀트가 알루미늄(Al)일 경우, 강유전체 물질막은 3 내지 8 at%(atomic %)의 알루미늄을 포함할 수 있다. 여기에서, 도펀트의 비율은 하프늄 및 알루미늄의 합에 대한 알루미늄의 비율일 수 있다.
도펀트가 실리콘(Si)일 경우, 강유전체 물질막은 2 내지 10 at%의 실리콘을 포함할 수 있다. 도펀트가 이트륨(Y)일 경우, 강유전체 물질막은 2 내지 10 at%의 이트륨을 포함할 수 있다. 도펀트가 가돌리늄(Gd)일 경우, 강유전체 물질막은 1 내지 7 at%의 가돌리늄을 포함할 수 있다. 도펀트가 지르코늄(Zr)일 경우, 강유전체 물질막은 50 내지 80 at%의 지르코늄을 포함할 수 있다.
상유전체 물질막은 상유전체 특성을 가질 수 있다. 상유전체 물질막은 예를 들어, 실리콘 산화물(silicon oxide) 및 고유전율을 갖는 금속 산화물 중 적어도 하나를 포함할 수 있다. 상유전체 물질막에 포함된 금속 산화물은 예를 들어, 하프늄 산화물(hafnium oxide), 지르코늄 산화물(zirconium oxide) 및 알루미늄 산화물(aluminum oxide) 중 적어도 하나를 포함할 수 있지만, 이에 제한되는 것은 아니다.
강유전체 물질막 및 상유전체 물질막은 동일한 물질을 포함할 수 있다. 강유전체 물질막은 강유전체 특성을 갖지만, 상유전체 물질막은 강유전체 특성을 갖지 않을 수 있다. 예를 들어, 강유전체 물질막 및 상유전체 물질막이 하프늄 산화물을 포함할 경우, 강유전체 물질막에 포함된 하프늄 산화물의 결정 구조는 상유전체 물질막에 포함된 하프늄 산화물의 결정 구조와 다르다.
강유전체 물질막은 강유전체 특성을 갖는 두께를 가질 수 있다. 강유전체 물질막의 두께는 예를 들어, 0.5 내지 10nm 일 수 있지만, 이에 제한되는 것은 아니다. 각각의 강유전체 물질마다 강유전체 특성을 나타내는 임계 두께가 달라질 수 있으므로, 강유전체 물질막의 두께는 강유전체 물질에 따라 달라질 수 있다.
일 예로, 게이트 절연막(130)은 하나의 강유전체 물질막을 포함할 수 있다. 다른 예로, 게이트 절연막(130)은 서로 간에 이격된 복수의 강유전체 물질막을 포함할 수 있다. 게이트 절연막(130)은 복수의 강유전체 물질막과, 복수의 상유전체 물질막이 교대로 적층된 적층막 구조를 가질 수 있다.
게이트 캡핑 패턴(145)은 게이트 전극의 상면(120) 및 게이트 스페이서(140)의 상면 상에 배치될 수 있다. 게이트 캡핑 패턴의 상면(145US)은 게이트 구조체(GS)의 상면일 수 있다.
게이트 캡핑 패턴(145)은 예를 들어, 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 산화물(SiO2), 실리콘 탄질화물(SiCN), 실리콘 산탄질화물(SiOCN) 및 이들의 조합 중 적어도 하나를 포함할 수 있다.
도시된 것과 달리, 게이트 캡핑 패턴(145)은 게이트 스페이서(140) 사이에 배치될 수 있다. 이와 같은 경우, 게이트 캡핑 패턴(145)의 상면은 게이트 스페이서(140)의 상면과 동일 평면에 놓일 수 있다.
소오스/드레인 패턴(150)은 제1 활성 패턴(AP1) 상에 형성될 수 있다. 소오스/드레인 패턴(150)은 기판(100) 상에 위치할 수 있다. 소오스/드레인 패턴(150)은 게이트 구조체(GS)의 측면에 배치될 수 있다. 소오스/드레인 패턴(150)은 게이트 구조체(GS) 사이에 배치될 수 있다.
예를 들어, 소오스/드레인 패턴(150)은 게이트 구조체(GS)의 양측에 배치될 수 있다. 도시된 것과 달리, 소오스/드레인 패턴(150)은 게이트 구조체(GS)의 일측에 배치되고, 게이트 구조체(GS)의 타측에는 배치되지 않을 수 있다.
소오스/드레인 패턴(150)은 에피택셜 패턴을 포함할 수 있다. 소오스/드레인 패턴(150)은 제1 활성 패턴(AP1)을 채널 영역으로 사용하는 트랜지스터의 소오스/드레인에 포함될 수 있다.
소오스/드레인 패턴(150)은 제1 활성 패턴(AP1) 중 채널로 사용되는 채널 패턴부와 연결될 수 있다. 소오스/드레인 패턴(150)은 각각의 제1 활성 패턴(AP1) 상에 형성된 2개의 에피택셜 패턴이 합쳐진(merged) 것으로 도시하였지만, 설명의 편의를 위한 것일 뿐, 이에 제한되는 것은 아니다. 즉, 각각의 제1 활성 패턴(AP1) 상에 형성된 에피택셜 패턴은 서로 간에 분리되어 있을 수 있다.
일 예로, 필드 절연막(105)과 합쳐진 소오스/드레인 패턴(150)사이 공간에, 에어갭(air gap)이 배치될 수 있다. 다른 예로, 필드 절연막(105)과 합쳐진 소오스/드레인 패턴(150) 사이 공간에, 절연 물질이 채워질 수 있다.
도시되지 않았지만, 게이트 구조체(GS) 사이의 제2 활성 패턴(AP2) 상에, 상술한 것과 같은 소오스/드레인 패턴이 배치될 수 있다.
식각 정지막(195)는 필드 절연막(105)의 상면과, 게이트 구조체(GS)의 측벽과, 소오스/드레인 패턴(150) 상에 배치될 수 있다. 식각 정지막(195)은 이 후에 설명될 제1 층간 절연막(191)에 대해 식각 선택비를 갖는 물질을 포함할 수 있다. 식각 정지막(195)은 예를 들어, 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 산탄질화물(SiOCN), 실리콘 붕소질화물(SiBN), 실리콘 산붕소질화물(SiOBN), 실리콘 산탄화물(SiOC) 및 이들의 조합 중 적어도 하나를 포함할 수 있다.
제1 층간 절연막(191)은 필드 절연막(105) 상에 형성될 수 있다. 제1 층간 절연막(191)은 소오스/드레인 패턴(150) 상에 배치될 수 있다. 제1 층간 절연막(191)은 게이트 구조체(GS)의 상면을 덮지 않는다. 즉, 제1 층간 절연막(191)은 게이트 캡핑 패턴(145US)의 상면을 덮지 않는다.
제1 층간 절연막(191)은 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 및 저유전율 물질 중 적어도 하나를 포함할 수 있다. 저유전율 물질은 예를 들어, Fluorinated TetraEthylOrthoSilicate (FTEOS), Hydrogen SilsesQuioxane (HSQ), Bis-benzoCycloButene (BCB), TetraMethylOrthoSilicate (TMOS), OctaMethyleyCloTetraSiloxane (OMCTS), HexaMethylDiSiloxane (HMDS), TriMethylSilyl Borate (TMSB), DiAcetoxyDitertiaryButoSiloxane (DADBS), TriMethylSilil Phosphate (TMSP), PolyTetraFluoroEthylene (PTFE), TOSZ(Tonen SilaZen), FSG(Fluoride Silicate Glass), polypropylene oxide와 같은 polyimide nanofoams, CDO(Carbon Doped silicon Oxide), OSG(Organo Silicate Glass), SiLK, Amorphous Fluorinated Carbon, silica aerogels, silica xerogels, mesoporous silica 또는 이들의 조합을 포함할 수 있지만, 이에 제한되는 것은 아니다.
제1 소오스/드레인 컨택(170)은 제1 활성 패턴(AP1) 상에 배치될 수 있다. 도시되지 않았지만, 제2 소오스/드레인 컨택(175)은 제2 활성 패턴(AP2) 상에 배치될 수 있다.
도시된 것과 달리, 제1 소오스/드레인 컨택(170)의 적어도 일부는 제2 방향(D2)으로 대응된 제2 소오스/드레인 컨택(175)과 직접 연결될 수 있다. 다르게 설명하면, 제1 소오스/드레인 컨택(170)은 제1 활성 패턴(AP1) 뿐만 아니라, 제2 활성 패턴(AP2) 상에도 배치될 수 있다.
제2 소오스/드레인 컨택(175)에 관한 사항은 제1 소오스/드레인 컨택(170)에 관한 사항과 실질적으로 동일하므로, 이하의 설명은 제1 활성 패턴(AP1) 상의 제1 소오스/드레인 컨택(170)을 이용하여 설명한다.
제1 소오스/드레인 컨택(170)은 소오스/드레인 패턴(150)과 연결될 수 있다. 제1 소오스/드레인 컨택(170)은 소오스/드레인 패턴(150) 상에 배치될 수 있다.
제1 소오스/드레인 컨택(170)은 제1 층간 절연막(191) 내에 배치될 수 있다. 제1 소오스/드레인 컨택(170)은 제1 층간 절연막(191)에 의해 둘러싸일 수 있다. 제1 소오스/드레인 컨택(170)은 식각 정지막(195)를 관통하여 소오스/드레인 패턴(150)과 연결될 수 있다.
제1 소오스/드레인 컨택(170)은 게이트 구조체(GS)의 측벽과 접촉하지 않는 것으로 도시하였지만, 이에 제한되는 것은 아니다. 도시된 것과 달리, 제1 소오스/드레인 컨택(170)은 제1 방향(D1)으로 마주보는 인접하는 게이트 구조체(GS)의 측벽 중 적어도 하나와 접촉할 수 있다.
제1 소오스/드레인 컨택(170)과, 소오스/드레인 패턴(150) 사이에, 컨택 실리사이드막(155)이 형성될 수 있다. 컨택 실리사이드막(155)은 소오스/드레인 패턴(150)과 제1 소오스/드레인 컨택(170) 사이의 경계면의 프로파일을 따라 형성될 수 있다.
도시된 것과 달리, 컨택 실리사이드막(155)은 제1 소오스/드레인 컨택(170)과 경계를 이루지 않는 소오스/드레인 패턴(150)의 프로파일을 따라 형성될 수 있다. 컨택 실리사이드막(155)는 예를 들어, 금속 실리사이드 물질을 포함할 수 있다.
제1 소오스/드레인 컨택(170)은 소오스/드레인 패턴(150) 상에서 제3 방향(D3)으로 연장될 수 있다. 제1 소오스/드레인 컨택(170)은 게이트 구조체의 상면(145US)보다 위로 돌출되지 않는다. 다르게 설명하면, 제1 소오스/드레인 컨택의 상면(170US)은 게이트 구조체의 상면(145US)보다 낮거나 같은 수 있다.
제1 소오스/드레인 컨택(170)은 소오스/드레인 배리어 컨택(171)과, 소오스/드레인 필링 컨택(172)을 포함할 수 있다. 소오스/드레인 필링 컨택(172)은 소오스/드레인 배리어 컨택(171) 상에 배치될 수 있다. 소오스/드레인 배리어 컨택(171)과, 소오스/드레인 필링 컨택(172)는 소오스/드레인 패턴(150)과 연결된다.
소오스/드레인 배리어 컨택(171)은 소오스/드레인 필링 컨택(172)의 측벽 및 바닥면을 따라 연장될 수 있다. 소오스/드레인 배리어 컨택(171)은 필링 리세스(171R)를 정의할 수 있다. 소오스/드레인 필링 컨택(172)은 필링 리세스(171R)의 적어도 일부를 채울 수 있다. 몇몇 실시예들에 따른 반도체 장치에서, 소오스/드레인 필링 컨택(172)은 필링 리세스(171R)의 일부를 채울 수 있다.
제1 소오스/드레인 컨택의 상면(170US)은 소오스/드레인 배리어 컨택의 상면(171US)과, 소오스/드레인 필링 컨택의 상면(172US)을 포함할 수 있다. 소오스/드레인 배리어 컨택의 상면(171US)은 소오스/드레인 배리어 컨택(171)의 최상면일 수 있다. 제1 활성 패턴(AP1)의 상면을 기준으로, 소오스/드레인 배리어 컨택의 상면(171US)은 소오스/드레인 필링 컨택의 상면(172US)보다 높을 수 있다.
예를 들어, 소오스/드레인 배리어 컨택의 상면(171US)은 소오스/드레인 필링 컨택의 상면(172US)보다 제3 방향(D3)으로 돌출될 수 있다. 제1 활성 패턴(AP1)의 상면을 기준으로, 소오스/드레인 배리어 컨택(171)의 일부는 소오스/드레인 필링 컨택의 상면(172US)보다 위로 돌출될 수 있다.
소오스/드레인 배리어 컨택의 상면(171US)과, 소오스/드레인 필링 컨택의 상면(172US)은, 소오스/드레인 필링 컨택의 상면(172US)보다 위로 돌출된 소오스/드레인 배리어 컨택(171)의 일부에 의해 정의된 필링 리세스(171R)에 의해 연결될 수 있다.
몇몇 실시예들에 따른 반도체 장치에서, 제1 소오스/드레인 컨택(170)은 소오스/드레인 필링 컨택의 상면(172US)과, 소오스/드레인 필링 컨택의 상면(172US)보다 위로 돌출된 소오스/드레인 배리어 컨택(171)에 의해 정의된 컨택 트렌치(170t)를 포함할 수 있다.
예를 들어, 제1 활성 패턴(AP1)의 상면을 기준으로, 소오스/드레인 필링 컨택의 상면(172US) 전체는 게이트 구조체의 상면(145US)보다 낮다. 소오스/드레인 필링 컨택의 상면(172US) 전체는 게이트 캡핑 패턴의 상면(145US)보다 낮다. 소오스/드레인 필링 컨택의 상면(172US) 전체는 게이트 캡핑 패턴의 상면(145US)보다 제1 활성 패턴(AP1)의 상면에 가깝다.
제1 활성 패턴(AP1)의 상면을 기준으로, 소오스/드레인 필링 컨택의 상면(172US)은 게이트 전극의 상면(120US)보다 높을 수 있다. 게이트 전극의 상면(120US)은 소오스/드레인 필링 컨택의 상면(172US)보다 제1 활성 패턴(AP1)의 상면에 가깝다.
몇몇 실시예들에 따른 반도체 장치에서, 소오스/드레인 배리어 컨택의 상면(171US)은 게이트 구조체의 상면(145US)과 동일 평면에 놓일 수 있다.
소오스/드레인 필링 컨택의 상면(172US) 전체가 게이트 구조체의 상면(145US)보다 낮아짐으로써, 소오스/드레인 필링 컨택(172)의 부피는 감소할 수 있다. 소오스/드레인 필링 컨택(172)의 부피는 감소함으로써, 소오스/드레인 필링 컨택(172)과 게이트 전극(120) 사이의 기생 커패시터를 감소시킬 수 있다.
또한, 소오스/드레인 필링 컨택의 상면(172US) 전체가 게이트 구조체의 상면(145US)보다 낮아짐으로써, 소오스/드레인 패턴(150)과 배선 구조체(205) 사이의 전류 경로 길이가 감소할 수 있다. 이를 통해, 소오스/드레인 패턴(150)과 배선 구조체(205) 사이의 저항이 감소할 수 있다.
게이트 컨택(160)은 게이트 전극(120) 상에 배치될 수 있다. 게이트 컨택(160)은 캡핑 패턴(145)을 관통하여, 게이트 전극(120)과 연결될 수 있다. 예를 들어, 게이트 컨택(180)은 캡핑 패턴(145)에 의해 둘러싸일 수 있다.
게이트 컨택(160)은 깊은 트렌치(DT)를 채우는 필드 절연막(105) 상에 배치되는 것으로 도시하였지만, 이에 제한되는 것은 아니다. 게이트 컨택(160)은 1개가 도시되었지만, 설명의 편의를 위한 것을 뿐, 이에 제한되는 것은 아니다.
게이트 컨택(160)은 게이트 배리어 컨택(161)과, 게이트 필링 컨택(162)을 포함할 수 있다. 게이트 필링 컨택(162)은 게이트 배리어 컨택(161) 상에 배치될 수 있다.
몇몇 실시예들에 따른 반도체 장치에서, 제1 활성 패턴(AP1)의 상면을 기준으로, 게이트 배리어 컨택(161)의 일부는 게이트 필링 컨택의 상면(162US)보다 위로 돌출될 수 있다.
예를 들어, 제1 활성 패턴(AP1)의 상면을 기준으로, 게이트 필링 컨택의 상면(162US)은 게이트 캡핑 패턴의 상면(145US)보다 낮다.
일 예로, 게이트 배리어 컨택(161)은 게이트 필링 컨택(162)의 측벽 및 바닥면을 따라 배치될 수 있다. 도시된 것과 달리, 다른 예로, 게이트 배리어 컨택(161)은 게이트 필링 컨택(162)의 측벽을 따라 연장되지만, 게이트 배리어 컨택(161)의 바닥면 상에 배치되지 않을 수 있다.
각각의 게이트 배리어 컨택(161) 및 소오스/드레인 배리어 컨택(171)은 예를 들어, 탄탈륨(Ta), 탄탈륨 질화물(TaN), 티타늄(Ti), 티타늄 질화물(TiN), 루테늄(Ru), 코발트(Co), 니켈(Ni), 니켈 보론(NiB), 텅스텐(W), 텅스텐 질화물(WN), 텅스텐 탄질화물(WCN), 지르코늄(Zr), 지르코늄 질화물(ZrN), 바나듐(V), 바나듐 질화물(VN), 니오븀(Nb), 니오븀 질화물(NbN), 백금(Pt), 이리듐(Ir), 로듐(Rh) 및 2차원 물질(Two-dimensional(2D) material) 중 적어도 하나를 포함할 수 있다. 몇몇 실시예들에 따른 반도체 장치에서, 2차원 물질은 금속성 물질 및/또는 반도체 물질일 수 있다. 2차원 물질(2D material)은 2차원 동소체(allotrope) 또는 2차원 화합물(compound)를 포함할 수 있고, 예를 들어, 그래핀(graphene), 몰리브덴 이황화물(MoS2), 몰리브덴 디셀레나이드(MoSe2), 텅스텐 디셀레나이드(WSe2), 텅스텐 이황화물(WS2), 중 적어도 하나를 포함할 수 있지만, 이에 제한되는 것은 아니다. 즉, 상술한 2차원 물질은 예시적으로 열거되었을 뿐이므로, 본 발명의 반도체 장치에 포함될 수 있는 2차원 물질은 상술한 물질에 의해 제한되지 않는다.
각각의 게이트 필링 컨택(162) 및 소오스/드레인 필링 컨택(172)은 예를 들어, 알루미늄(Al), 텅스텐(W), 코발트(Co), 구리(Cu), 루테늄(Ru), 은(Ag), 금(Au), 망간(Mn) 및 몰리브데넘(Mo) 중 적어도 하나를 포함할 수 있다.
제2 층간 절연막(192)은 제1 소오스/드레인 컨택(170), 제2 소오스/드레인 컨택(175) 및 게이트 컨택(160) 상에 배치될 수 있다. 제2 층간 절연막(192)은 제1 층간 절연막(191) 상에 배치될 수 있다. 제2 층간 절연막(192)은 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 및 저유전율 물질 중 적어도 하나를 포함할 수 있다.
제1 연결 컨택(180)은 제1 소오스/드레인 컨택(170) 상에 배치될 수 있다. 제1 연결 컨택(180)은 소오스/드레인 필링 컨택(172) 상에 배치될 수 있다.
제1 연결 컨택(180)은 제1 소오스/드레인 컨택(170)과 연결될 수 있다. 제1 연결 컨택(180)은 제1 소오스/드레인 컨택(170)과 직접 연결될 수 있다. 도시되지 않았지만, 제1 연결 컨택(180)은 제2 소오스/드레인 컨택(175) 상에도 배치될 수 있다.
제1 연결 컨택(180)은 각각의 제1 소오스/드레인 컨택(170) 상에 배치될 수 있다. 도시된 것과 달리, 제1 연결 컨택(180)은 제1 소오스/드레인 컨택(170)의 일부 상에 배치될 수 있다.
제1 연결 컨택(180)은 제2 층간 절연막(192) 내에 배치될 수 있다. 제1 연결 컨택(180)은 제2 층간 절연막(192)에 의해 둘러싸일 수 있다.
제1 연결 컨택(180)은 제1 소오스/드레인 컨택(170)과 직접 연결되므로, 제1 연결 컨택(180)의 바닥면은 게이트 구조체의 상면(145US)보다 낮다. 즉, 제1 활성 패턴(AP1)의 상면을 기준으로, 제1 연결 컨택(180)의 바닥면은 게이트 구조체의 상면(145US)보다 기판(100)에 가깝다.
몇몇 실시예들에 따른 반도체 장치에서, 제1 연결 컨택(180)의 바닥면 전체는 소오스/드레인 필링 컨택의 상면(172US)과 제3 방향(D3)으로 중첩될 수 있다. 다르게 설명하면, 제1 연결 컨택(180)의 일부는 컨택 트렌치(170t) 내에 배치될 수 있다.
예를 들어, 제1 연결 컨택(180) 및 제1 소오스/드레인 필링 컨택(172) 사이의 경계에서, 제1 연결 컨택(180)의 제1 방향(D1)으로의 폭(W2)은 제1 소오스/드레인 필링 컨택(172)의 제1 방향(D1)으로의 폭(W1)보다 작거나 같을 수 있다.
제1 활성 패턴(AP1)의 상면을 기준으로, 제1 연결 컨택의 상면(180US)은 게이트 구조체의 상면(145US)보다 높다. 제1 연결 컨택의 상면(180US)은 게이트 캡핑 패턴의 상면(145US)보다 위로 돌출된다. 제2 층간 절연막(192)은 제1 연결 컨택의 상면(180US)을 덮지 않는다.
제1 연결 컨택(180)은 제1 연결 배리어 컨택(181)과, 제1 연결 필링 컨택(182)을 포함할 수 있다. 제1 연결 필링 컨택(182)은 제1 연결 배리어 컨택(181) 상에 배치될 수 있다.
제1 연결 배리어 컨택(181)은 제1 연결 필링 컨택의 측벽(182SW) 및 제1 연결 필링 컨택의 바닥면(182BS)을 따라 연장될 수 있다. 제1 연결 배리어 컨택(181)의 일부는 컨택 트렌치(170t) 내에 배치될 수 있다.
몇몇 실시예들에 따른 반도체 장치에서, 제1 활성 패턴(AP1)의 상면을 기준으로, 제1 연결 필링 컨택의 바닥면(182BS)은 게이트 구조체의 상면(145US)보다 낮을 수 있다. 즉, 제1 연결 필링 컨택의 바닥면(182BS)은 게이트 캡핑 패턴(145US)보다 낮을 수 있다.
몇몇 실시예들에 따른 반도체 장치에서, 제1 활성 패턴(AP1)의 상면을 기준으로, 제1 연결 필링 컨택의 바닥면(182BS)은 소오스/드레인 배리어 컨택의 최상면(171US)보다 낮을 수 있다.
제2 연결 컨택(185)은 게이트 컨택(160) 상에 배치될 수 있다. 제2 연결 컨택(185)은 게이트 컨택(160)과 연결될 수 있다. 제2 연결 컨택(185)은 게이트 컨택(160)과 직접 연결될 수 있다.
제2 연결 컨택(180)은 제2 층간 절연막(192) 내에 배치될 수 있다. 제2 연결 컨택(185)은 게이트 컨택(160)과 직접 연결되므로, 제2 연결 컨택(185)의 바닥면은 게이트 구조체의 상면(145US)보다 낮을 수 있다. 제1 활성 패턴(AP1)의 상면을 기준으로, 제2 연결 컨택의 상면(185US)은 게이트 구조체의 상면(145US)보다 높다.
제2 연결 컨택(185)은 제2 연결 배리어 컨택(186)과, 제2 연결 필링 컨택(187)을 포함할 수 있다. 제2 연결 필링 컨택(187)은 제2 연결 배리어 컨택(186) 상에 배치될 수 있다. 제2 연결 배리어 컨택(182)은 제2 연결 필링 컨택(187)의 측벽 및 제2 연결 필링 컨택(187)의 바닥면을 따라 연장될 수 있다.
각각의 제1 연결 배리어 컨택(181) 및 제2 연결 배리어 컨택(186)은 예를 들어, 탄탈륨(Ta), 탄탈륨 질화물(TaN), 티타늄(Ti), 티타늄 질화물(TiN), 루테늄(Ru), 코발트(Co), 니켈(Ni), 니켈 보론(NiB), 텅스텐(W), 텅스텐 질화물(WN), 텅스텐 탄질화물(WCN), 지르코늄(Zr), 지르코늄 질화물(ZrN), 바나듐(V), 바나듐 질화물(VN), 니오븀(Nb), 니오븀 질화물(NbN), 백금(Pt), 이리듐(Ir), 로듐(Rh) 및 2차원 물질(Two-dimensional(2D) material) 중 적어도 하나를 포함할 수 있다. 각각의 제1 연결 필링 컨택(182) 및 제2 연결 필링 컨택(187)은 예를 들어, 알루미늄(Al), 텅스텐(W), 코발트(Co), 구리(Cu), 루테늄(Ru), 은(Ag), 금(Au), 망간(Mn) 및 몰리브데넘(Mo) 중 적어도 하나를 포함할 수 있다.
제3 층간 절연막(193)은 제1 연결 컨택(180) 및 제2 연결 컨택(185) 상에 배치될 수 있다. 제3 층간 절연막(193)은 제2 층간 절연막(192) 상에 배치될 수 있다. 제3 층간 절연막(193)은 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 및 저유전율 물질 중 적어도 하나를 포함할 수 있다.
배선 구조체(205)는 제1 연결 컨택(180) 및 제2 연결 컨택(185) 상에 배치될 수 있다. 배선 구조체(205)는 제1 연결 컨택(180) 및 제2 연결 컨택(185)과 연결될 수 있다. 배선 구조체(205)는 제3 층간 절연막(193) 내에 배치될 수 있다.
배선 구조체(205)는 제1 연결 컨택(180) 및 제2 연결 컨택(185)과 직접 연결된 비아(206)와, 비아(206)와 연결된 배선 라인(207)을 포함할 수 있다.
비아(206)은 비아 배리어막(206a)과, 비아 필링막(206b)을 포함할 수 있다. 배선 라인(207)은 배선 배리어막(207a)과, 배선 필링막(207b)을 포함할 수 있다. 배선 필링막(207b) 및 비아 필링막(206b)은 배선 배리어막(207a)에 의해 분리될 수 있다.
각각의 비아 배리어막(206a) 및 배선 배리어막(207a)은 예를 들어, 탄탈륨(Ta), 탄탈륨 질화물(TaN), 티타늄(Ti), 티타늄 질화물(TiN), 루테늄(Ru), 코발트(Co), 니켈(Ni), 니켈 보론(NiB), 텅스텐(W), 텅스텐 질화물(WN), 텅스텐 탄질화물(WCN), 지르코늄(Zr), 지르코늄 질화물(ZrN), 바나듐(V), 바나듐 질화물(VN), 니오븀(Nb), 니오븀 질화물(NbN), 백금(Pt), 이리듐(Ir), 로듐(Rh) 및 2차원 물질(Two-dimensional(2D) material) 중 적어도 하나를 포함할 수 있다. 각각의 비아 필링막(206b) 및 배선 필링막(207b)은 예를 들어, 알루미늄(Al), 텅스텐(W), 코발트(Co), 구리(Cu), 루테늄(Ru), 은(Ag), 금(Au), 망간(Mn) 및 몰리브데넘(Mo) 중 적어도 하나를 포함할 수 있다.
도 6은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다. 도 7은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다. 도 8은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다. 설명의 편의상, 도 1 내지 도 5를 이용하여 설명한 것과 다른 점을 중심으로 설명한다.
참고적으로, 도 6 내지 도 8은 각각 도 2의 P 부분을 확대한 도면이다.
도 6을 참고하면, 몇몇 실시예들에 따른 반도체 장치에서, 제1 활성 패턴(AP1)의 상면을 기준으로, 제1 연결 필링 컨택의 바닥면(182BS)은 게이트 구조체의 상면(145US)과 동일한 높이에 위치할 수 있다.
즉, 제1 연결 필링 컨택의 바닥면(182BS)은 게이트 캡핑 패턴(145US)과 동일 평면에 놓일 수 있다.
또한, 제1 연결 필링 컨택의 바닥면(182BS)은 소오스/드레인 배리어 컨택의 최상면(171US)과 동일한 높이에 위치할 수 있다.
도 7을 참고하면, 몇몇 실시예들에 따른 반도체 장치에서, 제1 활성 패턴(AP1)의 상면을 기준으로, 제1 연결 필링 컨택의 바닥면(182BS)은 게이트 구조체의 상면(145US)보다 높을 수 있다.
즉, 제1 연결 필링 컨택의 바닥면(182BS)은 게이트 캡핑 패턴(145US)보다 위로 돌출될 수 있다.
또한, 제1 연결 필링 컨택의 바닥면(182BS)은 소오스/드레인 배리어 컨택의 최상면(171US)보다 높을 수 있다.
도 8을 참고하면, 몇몇 실시예들에 따른 반도체 장치에서, 제1 활성 패턴(AP1)의 상면을 기준으로, 소오스/드레인 배리어 컨택의 상면(171US)은 게이트 구조체의 상면(145US)보다 낮을 수 있다.
게이트 구조체의 상면(145US)을 기준으로, 소오스/드레인 필링 컨택의 상면(172US)은 소오스/드레인 배리어 컨택의 상면(171US)보다 낮은 위치에 배치된다.
제1 활성 패턴(AP1)의 상면을 기준으로, 소오스/드레인 컨택의 상면(170US) 전체는 게이트 구조체의 상면(145US)보다 낮다.
일 예로, 제1 연결 필링 컨택의 바닥면(182BS)은 소오스/드레인 배리어 컨택의 최상면(171US)보다 높을 수 있다. 다른 예로, 제1 연결 필링 컨택의 바닥면(182BS)은 소오스/드레인 배리어 컨택의 최상면(171US)보다 낮을 수 있다. 또 다른 예로, 제1 연결 필링 컨택의 바닥면(182BS)은 소오스/드레인 배리어 컨택의 최상면(171US)과 동일한 높이에 위치할 수 있다.
도 9는 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다. 도 10은 도 9의 P 부분을 확대한 도면이다. 설명의 편의상, 도 1 내지 도 5를 이용하여 설명한 것과 다른 점을 중심으로 설명한다.
도 9 및 도 10을 참고하면, 몇몇 실시예들에 따른 반도체 장치에서, 제1 활성 패턴(AP1)의 상면을 기준으로, 소오스/드레인 배리어 컨택의 상면(171US)은 소오스/드레인 필링 컨택의 상면(172US)과 동일한 높이에 위치할 수 있다.
소오스/드레인 배리어 컨택(171)은 소오스/드레인 필링 컨택의 상면(172US)보다 위로 돌출된 부분을 포함하지 않는다.
제1 활성 패턴(AP1)의 상면을 기준으로, 소오스/드레인 컨택의 상면(170US) 전체는 게이트 구조체의 상면(145US)보다 낮다.
도시된 것과 달리, 일 예로, 제1 활성 패턴(AP1)의 상면을 기준으로, 소오스/드레인 배리어 컨택의 상면(171US)은 소오스/드레인 필링 컨택의 상면(172US)보다 낮을 수도 있다.
도 11은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다. 도 12는 도 11의 P 부분을 확대한 도면이다. 설명의 편의상, 도 1 내지 도 5를 이용하여 설명한 것과 다른 점을 중심으로 설명한다.
도 11 및 도 12를 참고하면, 몇몇 실시예들에 따른 반도체 장치에서, 제1 연결 컨택(180)의 바닥면의 일부는 소오스/드레인 필링 컨택의 상면(172US)과 제3 방향(D3)으로 중첩될 수 있다.
다르게 설명하면, 제1 연결 컨택(180)은 소오스/드레인 필링 컨택(172)과 제3 방향(D3)으로 오정렬(misalign)될 수 있다.
제1 연결 컨택(180)의 일부는 소오스/드레인 필링 컨택(172)과 제3 방향(D3)으로 오정렬되고, 제1 연결 컨택(180)의 나머지는 소오스/드레인 필링 컨택(172)과 제3 방향(D3)으로 정렬된 것으로 도시하였지만, 설명의 편의를 위한 것일 뿐, 이에 제한되는 것은 아니다.
도 13은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다. 도 14는 도 13의 P 부분을 확대한 도면이다. 설명의 편의상, 도 1 내지 도 5를 이용하여 설명한 것과 다른 점을 중심으로 설명한다.
도 13 및 도 14를 참고하면, 몇몇 실시예들에 따른 반도체 장치에서, 제1 연결 배리어 컨택(181)은 제1 연결 필링 컨택의 측벽(182SW) 상에 배치되지 않을 수 있다.
제1 연결 배리어 컨택(181)은 제1 연결 필링 컨택의 바닥면(182BS)을 따라 연장된다. 하지만, 제1 연결 배리어 컨택(181)은 제1 연결 필링 컨택의 측벽(182SW)을 따라 연장되지 않는다.
도시되지 않았지만, 제2 연결 컨택(도 5의 185)도 제1 연결 컨택(180)과 유사한 구조를 가질 수 있다.
도 15는 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다. 도 16은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다. 설명의 편의상, 도 1 내지 도 5를 이용하여 설명한 것과 다른 점을 중심으로 설명한다.
도 15를 참고하면, 몇몇 실시예들에 따른 반도체 장치에서, 제1 소오스/드레인 컨택(170)은 단일막으로 형성된 통합 구조(integral structure)를 가질 수 있다.
제1 소오스/드레인 컨택(170)은 소오스/드레인 배리어 컨택(도 2의 171)없이 소오스/드레인 필링 컨택(172)만을 포함할 수 있다.
소오스/드레인 필링 컨택(172)은 컨택 실리사이드막(155)과 직접 접촉할 수 있다.
도시되지 않았지만, 제2 소오스/드레인 컨택(도 1의 175)도 제1 소오스/드레인 컨택(170)과 유사한 구조를 가질 수 있다.
도시되지 않았지만, 일 예로, 게이트 컨택(도 5의 160)도 제1 소오스/드레인 컨택(170)과 유사한 구조를 가질 수 있다. 다른 예로, 게이트 컨택(도 5의 160)은 게이트 배리어 컨택(도 5의 161) 및 게이트 필링 컨택(도 5의 162)을 포함할 수 있다.
도 16을 참고하면, 몇몇 실시예들에 따른 반도체 장치에서, 제1 연결 컨택(180)은 단일막으로 형성된 통합 구조를 가질 수 있다.
제1 연결 컨택(180)은 제1 연결 배리어 컨택(도 2의 181)없이 제1 연결 필링 컨택(182)만을 포함할 수 있다. 제1 연결 필링 컨택(182)은 소오스/드레인 필링 컨택(172)과 직접 접촉할 수 있다.
도시되지 않았지만, 제2 연결 컨택(도 5의 185)도 제1 연결 컨택(180)과 유사한 구조를 가질 수 있다.
도 17은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다. 도 18은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다. 도 19는 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 도면이다. 설명의 편의상, 도 1 내지 도 5를 이용하여 설명한 것과 다른 점을 중심으로 설명한다.
도 17을 참고하면, 몇몇 실시예들에 따른 반도체 장치에서, 배선 구조체(205)는 배선 구조 배리어막(205a)과, 배선 구조 필링막(205b)을 포함할 수 있다.
비아(206) 및 배선 라인(207)은 각각 배선 구조 배리어막(205a)과, 배선 구조 필링막(205b)을 포함할 수 있다. 비아(206)의 배선 구조 필링막(205b)은 배선 라인(207)의 배선 구조 필링막(205b)과 직접 연결된다.
도시된 것과 달리, 일 예로, 배선 구조체(205)은 단일막으로 형성된 배선 구조 필링막(205b)만을 포함할 수 있다.
도 18을 참고하면, 몇몇 실시예들에 따른 반도체 장치에서, 제1 소오스/드레인 컨택(170)은 제1_1 소오스/드레인 컨택(170_1)과, 제1_2 소오스/드레인 컨택(170_2)을 포함할 수 있다.
단면도적으로, 제1 소오스/드레인 컨택(170) 상에 제1 연결 컨택(180)이 배치된 컨택은 제1_1 소오스/드레인 컨택(170_1)일 수 있다. 단면도적으로, 제1 소오스/드레인 컨택(170) 상에 제1 연결 컨택(180)이 배치되지 않은 컨택은 제1_2 소오스/드레인 컨택(170_2)일 수 있다.
제1_1 소오스/드레인 컨택(170_1) 및 제1_2 소오스/드레인 컨택(170_2)에 포함된 소오스/드레인 필링 컨택의 상면(172US) 전체는 게이트 구조체의 상면(145US)보다 낮다.
소오스/드레인 패턴(150)은 제1_1 소오스/드레인 컨택(170_1)과 연결된 제1 소오스/드레인 패턴(150_1)과, 제1_2 소오스/드레인 컨택(170_2)과 연결된 제2 소오스/드레인 패턴(150_2)을 포함할 수 있다.
도 19를 참고하면, 몇몇 실시예들에 따른 반도체 장치는 제1 활성 패턴(AP1)과 제2 활성 패턴(AP2) 사이에 배치된 더미 돌출 패턴(DPF)을 포함할 수 있다.
제1 활성 패턴(AP1)과 제2 활성 패턴(AP2) 사이에 활성 영역을 정의하는 깊은 트렌치(도 5의 DT)는 형성되지 않는다.
더미 돌출 패턴(DPF)의 상면은 필드 절연막(105)에 의해 덮여 있다.
도 20은 몇몇 실시예들에 따른 반도체 장치를 설명하기 위한 예시적인 레이아웃도이다. 도 21a 및 도 21b는 도 20의 A - A를 따라 절단한 단면도들이다. 도 22는 도 20의 D - D를 따라 절단한 단면도이다. 설명의 편의상, 도 1 내지 도 5를 이용하여 설명한 것과 다른 점을 중심으로 설명한다.
도 20 내지 도 22를 참고하면, 몇몇 실시예들에 따른 반도체 장치에서, 제1 활성 패턴(AP1)은 하부 패턴(BP1)과 시트 패턴(UP1)을 포함할 수 있다.
도시되지 않았지만, 제2 활성 패턴(AP2)은 하부 패턴과 시트 패턴을 포함할 수 있다.
하부 패턴(BP1)은 제1 방향(D1)을 따라 연장될 수 있다. 시트 패턴(UP1)은 하부 패턴(BP1) 상에, 하부 패턴(BP1)과 이격되어 배치될 수 있다.
시트 패턴(UP1)은 복수의 시트 패턴을 포함할 수 있다. 시트 패턴(UP1)은 3개인 것으로 도시하였지만, 설명의 편의를 위한 것일 뿐, 이에 제한되는 것은 아니다.
시트 패턴(UP1)은 소오스/드레인 패턴(150)과 연결될 수 있다. 시트 패턴(UP1)은 트랜지스터의 채널 영역으로 사용되는 채널 패턴일 수 있다. 예를 들어, 시트 패턴(UP1)은 나노 시트 또는 나노 와이어일 수 있다.
게이트 절연막(130)은 하부 패턴(BP1)의 상면과, 필드 절연막(105)의 상면을 따라 연장될 수 있다. 게이트 절연막(130)은 시트 패턴(UP1)의 둘레를 감쌀 수 있다.
게이트 전극(120)은 하부 패턴(BP1) 상에 배치된다. 게이트 전극(120)은 하부 패턴(BP1)과 교차한다. 게이트 전극(120)은 시트 패턴(UP1)의 둘레를 감쌀 수 있다. 게이트 전극(120)은 하부 패턴(BP1) 및 시트 패턴(UP1) 사이와, 인접하는 시트 패턴(UP1) 사이에 배치될 수 있다.
도 21a에서, 게이트 스페이서(140)는 외측 스페이서(141)과, 내측 스페이서(142)를 포함할 수 있다. 내측 스페이서(142)는 하부 패턴(BP1) 및 시트 패턴(UP1) 사이와, 인접하는 시트 패턴(UP1) 사이에 배치될 수 있다.
도 21b에서, 게이트 스페이서(140)는 외측 스페이서(141)만 포함할 수 있다. 하부 패턴(BP1) 및 시트 패턴(UP1) 사이와, 인접하는 시트 패턴(UP1) 사이에, 내측 스페이서는 배치되지 않는다.
제1 소오스/드레인 컨택(170)의 바닥면은 복수의 시트 패턴(UP1) 중 최하부에 배치된 시트 패턴(UP1)의 상면과, 최상부에 배치된 시트 패턴(UP1)의 하면 사이에 위치할 수 있다.
이상 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
100: 기판 105: 필드 절연막
150: 소오스/드레인 패턴 160: 게이트 컨택
170, 175: 소오스/드레인 컨택 180, 185: 연결 컨택
AP1, AP2: 활성 패턴

Claims (10)

  1. 기판 상의 게이트 구조체;
    상기 기판 상에, 상기 게이트 구조체의 측면에 배치된 소오스/드레인 패턴;
    상기 소오스/드레인 패턴 상에, 상기 소오스/드레인 패턴과 연결된 소오스/드레인 필링 컨택; 및
    상기 소오스/드레인 필링 컨택 상에, 상기 소오스/드레인 필링 컨택과 직접 연결된 연결 컨택을 포함하고,
    상기 소오스/드레인 필링 컨택의 상면 전체는 상기 게이트 구조체의 상면보다 낮고,
    상기 연결 컨택의 상면은 상기 게이트 구조체의 상면보다 높은 반도체 장치.
  2. 제1 항에 있어서,
    상기 소오스/드레인 필링 컨택의 측벽을 따라 연장된 소오스/드레인 배리어 컨택을 더 포함하고,
    상기 소오스/드레인 필링 컨택은 상기 소오스/드레인 배리어 컨택에 의해 정의된 필링 리세스의 적어도 일부를 채우는 반도체 장치.
  3. 제2 항에 있어서,
    상기 소오스/드레인 배리어 컨택의 일부는 상기 소오스/드레인 필링 컨택의 상면보다 위로 돌출된 반도체 장치.
  4. 제1 항에 있어서,
    상기 소오스/드레인 패턴과 상기 소오스/드레인 필링 컨택 사이의 컨택 실리사이드막을 더 포함하고,
    상기 컨택 실리사이드막은 상기 소오스/드레인 필링 컨택과 직접 접촉하는 반도체 장치.
  5. 제1 항에 있어서,
    상기 연결 컨택 상의 배선 구조체를 더 포함하고,
    상기 배선 구조체는 상기 연결 컨택과 직접 연결되는 비아와, 상기 비아와 연결된 배선 라인을 포함하는 반도체 장치.
  6. 제1 항에 있어서,
    상기 연결 컨택은 연결 필링 컨택과, 상기 연결 필링 컨택의 바닥면을 따라 연장된 연결 배리어 컨택을 포함하는 반도체 장치.
  7. 제1 항에 있어서,
    상기 게이트 구조체는 게이트 전극과, 상기 게이트 전극 상의 게이트 캡핑 패턴을 포함하고,
    상기 소오스/드레인 필링 컨택의 상면은 상기 게이트 캡핑 패턴의 상면보다 낮고, 상기 게이트 전극의 상면보다 높은 반도체 장치.
  8. 제1 항에 있어서,
    상기 연결 컨택 및 상기 소오스/드레인 필링 컨택 사이의 경계에서, 상기 연결 컨택의 폭은 상기 소오스/드레인 필링 컨택의 폭보다 작거나 같은 반도체 장치.
  9. 기판 상에, 게이트 전극과 상기 게이트 전극 상의 게이트 캡핑 패턴을 포함하는 게이트 구조체;
    상기 기판 상에, 상기 게이트 구조체의 측면에 배치된 소오스/드레인 패턴;
    상기 소오스/드레인 패턴 상에, 상기 소오스/드레인 패턴과 연결된 소오스/드레인 컨택; 및
    상기 소오스/드레인 컨택 상에, 상기 소오스/드레인 컨택과 직접 연결된 연결 컨택을 포함하고,
    상기 연결 컨택은 연결 필링 컨택과, 상기 연결 필링 컨택의 바닥면을 따라 연장된 연결 배리어 컨택을 포함하고,
    상기 연결 컨택의 상면은 상기 게이트 구조체의 상면보다 높고,
    상기 연결 필링 컨택의 바닥면은 상기 게이트 구조체의 상면보다 낮은 반도체 장치.
  10. 기판 상의 다채널 활성 패턴;
    상기 다채널 활성 패턴 상에 배치되고, 게이트 전극 및 게이트 캡핑 패턴을 포함하는 게이트 구조체로, 상기 게이트 캡핑 패턴은 상기 게이트 전극 상에 배치된 게이트 구조체;
    상기 다채널 활성 패턴 상에, 상기 게이트 구조체의 측면에 배치된 소오스/드레인 패턴;
    상기 소오스/드레인 패턴 상에, 상기 소오스/드레인 패턴과 연결된 소오스/드레인 컨택;
    상기 소오스/드레인 컨택 상에, 상기 소오스/드레인 컨택과 직접 연결된 연결 컨택; 및
    상기 연결 컨택 상에, 상기 연결 컨택과 연결된 배선 구조체를 포함하고,
    상기 배선 구조체는 상기 연결 컨택과 직접 연결되는 비아와, 상기 비아와 연결된 배선 라인을 포함하고,
    상기 소오스/드레인 컨택은 필링 리세스를 정의하는 소오스/드레인 배리어 컨택과, 상기 필링 리세스의 일부를 채우는 소오스/드레인 필링 컨택을 포함하고,
    상기 소오스/드레인 필링 컨택의 상면 전체는 상기 게이트 캡핑 패턴의 상면보다 낮은 반도체 장치.
KR1020200116578A 2020-09-11 2020-09-11 반도체 장치 KR20220034337A (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020200116578A KR20220034337A (ko) 2020-09-11 2020-09-11 반도체 장치
US17/325,466 US11804528B2 (en) 2020-09-11 2021-05-20 Semiconductor device
TW110130685A TW202211405A (zh) 2020-09-11 2021-08-19 半導體裝置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200116578A KR20220034337A (ko) 2020-09-11 2020-09-11 반도체 장치

Publications (1)

Publication Number Publication Date
KR20220034337A true KR20220034337A (ko) 2022-03-18

Family

ID=80627111

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200116578A KR20220034337A (ko) 2020-09-11 2020-09-11 반도체 장치

Country Status (3)

Country Link
US (1) US11804528B2 (ko)
KR (1) KR20220034337A (ko)
TW (1) TW202211405A (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102612592B1 (ko) * 2018-10-15 2023-12-12 삼성전자주식회사 반도체 소자
US20220406653A1 (en) * 2021-06-17 2022-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100269878B1 (ko) 1997-08-22 2000-12-01 윤종용 반도체소자의금속배선형성방법
US6613664B2 (en) 2000-12-28 2003-09-02 Infineon Technologies Ag Barbed vias for electrical and mechanical connection between conductive layers in semiconductor devices
KR100539443B1 (ko) 2003-07-03 2005-12-27 매그나칩 반도체 유한회사 반도체 소자의 금속배선 형성방법
JP4041785B2 (ja) 2003-09-26 2008-01-30 松下電器産業株式会社 半導体装置の製造方法
US20090127711A1 (en) 2007-11-15 2009-05-21 International Business Machines Corporation Interconnect structure and method of making same
KR20090080281A (ko) 2008-01-21 2009-07-24 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20100006646A (ko) 2008-07-10 2010-01-21 삼성전자주식회사 텅스텐 재성장을 통한 금속 배선 패턴 및 그 배선 패턴형성 방법
KR20100011067A (ko) 2008-07-24 2010-02-03 주식회사 하이닉스반도체 반도체 소자의 금속 배선 및 이의 형성 방법
JP5501586B2 (ja) 2008-08-22 2014-05-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8232196B2 (en) 2009-10-29 2012-07-31 International Business Machines Corporation Interconnect structure having a via with a via gouging feature and dielectric liner sidewalls for BEOL integration
US20110186960A1 (en) 2010-02-03 2011-08-04 Albert Wu Techniques and configurations for recessed semiconductor substrates
JP2013165224A (ja) 2012-02-13 2013-08-22 Fujitsu Semiconductor Ltd 半導体装置及びその製造方法
US8669176B1 (en) 2012-08-28 2014-03-11 Globalfoundries Inc. BEOL integration scheme for copper CMP to prevent dendrite formation
US9935051B2 (en) 2016-08-18 2018-04-03 International Business Machines Corporation Multi-level metallization interconnect structure
KR20180087661A (ko) 2017-01-25 2018-08-02 삼성전자주식회사 핵형성 구조물을 갖는 도전성 구조물을 포함하는 반도체 소자 및 그 형성 방법
US10083863B1 (en) * 2017-05-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
KR102376508B1 (ko) * 2017-11-16 2022-03-18 삼성전자주식회사 집적회로 장치 및 그 제조 방법
US10818545B2 (en) 2018-06-29 2020-10-27 Sandisk Technologies Llc Contact via structure including a barrier metal disc for low resistance contact and methods of making the same
US10854506B2 (en) * 2018-09-27 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11342326B2 (en) * 2020-04-28 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned etch in semiconductor devices

Also Published As

Publication number Publication date
US11804528B2 (en) 2023-10-31
TW202211405A (zh) 2022-03-16
US20220085179A1 (en) 2022-03-17

Similar Documents

Publication Publication Date Title
US11923426B2 (en) Semiconductor device
KR20220080302A (ko) 반도체 장치
KR20220086217A (ko) 반도체 장치
US20220406939A1 (en) Semiconductor devices
US11804528B2 (en) Semiconductor device
US20220302310A1 (en) Semiconductor device
US11843053B2 (en) Semiconductor devices
KR20220144076A (ko) 반도체 장치
KR20220101377A (ko) 반도체 장치 및 이의 제조 방법
KR20220045314A (ko) 반도체 장치 및 이의 제조 방법
US11942551B2 (en) Semiconductor devices
US20220254881A1 (en) Semiconductor device
US20220310805A1 (en) Semiconductor devices
US20220208967A1 (en) Semiconductor device
US20230326964A1 (en) Semiconductor devices and methods for fabricating the same
US20230053379A1 (en) Semiconductor device
US20230207654A1 (en) Semiconductor device and method for fabricating the same
US20240105773A1 (en) Semiconductor device
US20220310811A1 (en) Semiconductor device and method for fabricating the same
US20230163076A1 (en) Semiconductor device
US20230395668A1 (en) Semiconductor device with deep silicide film
US20220399330A1 (en) Semiconductor devices having highly integrated sheet and wire patterns therein
KR20240050238A (ko) 반도체 장치
KR20230141013A (ko) 반도체 장치 제조 방법
KR20230154530A (ko) 반도체 장치