JP4472286B2 - 変形されたデュアルダマシン工程を利用した半導体素子の金属配線形成方法 - Google Patents

変形されたデュアルダマシン工程を利用した半導体素子の金属配線形成方法 Download PDF

Info

Publication number
JP4472286B2
JP4472286B2 JP2003281919A JP2003281919A JP4472286B2 JP 4472286 B2 JP4472286 B2 JP 4472286B2 JP 2003281919 A JP2003281919 A JP 2003281919A JP 2003281919 A JP2003281919 A JP 2003281919A JP 4472286 B2 JP4472286 B2 JP 4472286B2
Authority
JP
Japan
Prior art keywords
film
forming
wiring
interlayer insulating
via hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2003281919A
Other languages
English (en)
Other versions
JP2004072107A (ja
Inventor
在鶴 金
守根 李
敬雨 李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2004072107A publication Critical patent/JP2004072107A/ja
Application granted granted Critical
Publication of JP4472286B2 publication Critical patent/JP4472286B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明は半導体素子の金属配線形成方法に係り、より具体的には、変形されたデュアルダマシン工程を利用することによってシングルダマシン構造のビアコンタクトを含む半導体素子の金属配線を形成する方法に関する。
半導体素子の集積度が高まるにつれて金属配線間の間隔が漸次狭まるだけではなく、多層配線構造を有する金属配線層が必要になった。これによって、同一層上で相互隣接した金属配線層の間または上下に隣接した各配線層間に存在する寄生キャパシタンス(C)成分及び寄生抵抗(R)成分によって多層配線構造は影響を受ける。
金属配線システムにおいて寄生抵抗及び寄生キャパシタンス成分は、いわゆる寄生RCを誘導して素子のスピードを遅延させる原因となる。また、寄生キャパシタンスと寄生抵抗とはチップの総電力消耗量を増加させて信号漏れ量も増加させる。
したがって、動作スピードが向上されて超高集積された半導体素子を製造するにおいて、寄生RCの小さい多層配線技術を開発するのが非常に重要な課題である。寄生RCの小さい配線を形成するためには低比抵抗の金属を配線物質で使用したり低誘電率の物質で絶縁膜を形成したりする必要がある。
例えば、Cu、Al、Ag、Auなどの物質や、またはこれらの合金などが新しい配線物質として関心の対象となっている。このうちCuは比抵抗が低いだけではなく、コストが安くて工程の負担が少ない長所がある。また、Alとは異なりエレクトロマイグレーション現像に対する耐性が高いという長所もある。
前記した長所によってCuを配線物質で広く使用するが、最終配線層の配線をCuにする場合には配線のボンディングのためにアルミニウムパッドを追加製作せねばならない短所がある。最終配線層の配線をアルミニウムで製作すれば、アルミニウムパッドを追加製作する必要がないので、工程上、簡単であり経済的にも有利である。
しかし、その場合に最終配線とその下部に形成されている導電体とを連結するビアコンタクトはCuよりなる。言い換えれば、一般的にシングルダマシン構造よりなるビアコンタクトを製作する場合にはCuが広く使われる。
Cuを使用して製作されたシングルダマシン構造のビアコンタクトは前記した場合だけでなく、上下部導電体を連結する構造で広く利用されている。また、集積度の進展に伴い配線層の数が増加するにつれて上下部配線を連結するコンタクトの数も多くなってその深さも深くなる傾向にある。
前記のように、Cuをビアコンタクトやその他の配線物質に広く使用するが、Cuは次のような特性を帯びている。
まず、Cuはいろいろな物質との化学的な親和度が高いので、シリコン基板やシリコン酸化膜に容易に拡散される。Cuが拡散されることを防止する一方、接着力の向上のためにチタンやタンタル系列の金属合金を使用した障壁層をコンタクトとシリコン酸化膜との間に形成する方法が一般的に利用される。
また、Cuは酸化性も強いので外部に露出されれば容易に酸化される。Cuが酸化すれば配線の抵抗及びストレスを増加させてチップの電気的特性を劣化させる原因になりうる。したがって、Cuの酸化を防止するためにCu配線層の外部に酸化防止膜を追加に形成する場合もある。
そして、Cuの配線パターンを形成する方法としてはダマシン工程が一般的に使われる。Cuはエッチング工程を利用して配線パターンを形成し難いためである。ダマシン工程はその構造によってシングルダマシン工程またはデュアルダマシン工程に分けられる。ダマシン工程を使用すれば、不必要に蒸着されたCu膜を除去するために平坦化過程を実施しなければならない。Cuを使用してビアコンタクトを形成する場合に平坦化過程で物質間のエッチング率の差でビアコンタクトにリセスが生じる問題点がある。
図1は、隣接したビアパターンまでの距離変化による化学的機械的研磨(Chemical Mechanical Polishing、以下「CMP」という)工程後でビアコンタクトに発生したリセスの深さを測定してグラフにしたものである。
グラフから分かるように、Cuを蒸着した後でCMP工程を行えば、ビアコンタクトにはリセスがある程度生じる特徴を示す。そして、ビアコンタクトが細かく形成された隣接パターンの近い位置にある場合よりも、相当遠く離れており、ビアコンタクトが孤立している場合にこのリセスの大きさがさらに大きいことが分かる。
ビアコンタクトに前記したようにリセスが生じると、抵抗が大きくなるなど素子の電気的特性が悪くなる。特に、隣接パターンから孤立しているビアコンタクトでのようにリセスが相当大きくなれば、上下部配線の連結が途切れて不良が生じることもある。したがって、ビアコンタクトにリセスが形成されることを実質的に防止できる半導体素子の金属配線層形成方法についての要求が存在する。
本発明が解決しようとする技術的な課題は、ビアコンタクトにリセスが生じることを防止できる半導体素子の金属配線形成方法を提供するところにある。
前記技術的な課題を解決するために、半導体素子の金属配線形成方法はアッシング工程で使用する媒体とフォトレジスト膜の下部に形成されている膜に含まれている物質との間に化学反応を生じさせる。その後、化学反応で変成された膜を除去することによってデュアルダマシン構造のビアホール及び開口を形成する。次に、そこにCuなどのような導電物質を蒸着して平坦化することによって、シングルダマシン構造のビアコンタクトを形成する工程を含むことを特徴とする。
本発明の望ましい第1実施例によれば、導電層の形成された半導体基板上に第1層間絶縁膜、第1物質膜及び第2物質膜を順次形成し、その上にフォトレジスト膜を形成した後、パターニングする。ここで、第1物質膜はフォトレジスト除去工程で使われるアッシング媒体に対して高い反応性を有する物質を含む。次に、第2物質膜、第1物質膜及び第1層間絶縁膜をエッチングしてビアホールを形成した後、フォトレジスト膜を除去するアッシング工程を実施するが、その時、第1物質膜の一部はアッシング媒体と反応して変成され、同時にフォトレジストはほとんど除去される。引続き、前記した方法は第1物質膜の一部を除去して前記したビアホールよりも大きい開口を第1物質膜に形成する。次に、第2物質膜を除去し、その上に金属物質を蒸着した後で第1層間絶縁膜が表れるまで平坦化工程を実施することによって、リセスのないシングルダマシン構造のビアコンタクトを形成する工程を含む。
ここで、金属物質はCuを含む物質であって、前記した第1物質膜は酸素または酸素イオンに対して高い選択性を有する物質で形成するのが望ましい。
前記したフォトレジスト膜を除去する方法は酸素プラズマ放電を利用する方法またはオゾンを利用する方法を使用して遂行でき、この場合に前記した第1物質膜は炭素がドーピングされた酸化膜のように酸素または酸素イオンに対して高い選択性を有する物質を含むのが望ましい。
そして、前記したビアコンタクトの形成後には前記結果物上にビアコンタクトと連結される配線とそれを覆い包む第2層間絶縁膜とを含む配線層を形成する段階をさらに含むことができる。ここで、配線層は最終配線層であることもあって、この最終配線層の配線はアルミニウムで形成することもできる。
そして、前記した第2物質膜はフォトレジスト膜を除去する段階で変成されない物質で形成するのが望ましいが、例えば、SiONを含む膜、シリコン酸化膜、シリコン窒化膜、シリコンカーバイド膜、ポリシリコン膜、金属酸化物を含む膜または金属窒化物を含む物質の膜で形成できる。
そして、前記したビアコンタクトは隣接した地域の配線のパターン密度が相対的に低い位置に形成できる。
そして、前記した第1層間絶縁膜を形成する前に半導体基板上にエッチング防止膜を蒸着する段階をさらに含み、前記した第2物質膜を除去する段階でビアホールに露出されたエッチング防止膜をエッチングする段階をさらに含むことができる。
そして、前記した金属物質を蒸着する前にビアホールに露出された第1層間絶縁膜上には障壁層を形成する段階をさらに含むことができる。
本発明の望ましい第2実施例によれば、導電層が形成された半導体基板上に第1層間絶縁膜及び第1物質膜を形成した後、その上にフォトレジスト膜を形成してパターニングする。次に第1物質膜及び第1層間絶縁膜をエッチングしてビアホールを形成した後、フォトレジスト膜を除去するアッシング工程を実施するが、その時、第1物質膜のフォトレジスト膜と接触する部分及びビアホールに露出された部分はアッシング媒体と反応して変成され、フォトレジストは同時に実質的に除去される。次に変成された第1物質膜を除去して前記したビアホールよりも大きい開口を形成する。次に、ビアホール及び開口に金属物質を蒸着した後で、平坦化工程を実施してシングルダマシン構造のビアコンタクトを形成する。
ここで、金属物質はCuを含む物質であって、前記した第1物質膜は酸素または酸素イオンに対して高い選択性を有する物質で形成するのが望ましい。
前記したフォトレジスト膜を除去する方法は酸素プラズマ放電を利用する方法またはオゾンを利用する方法を使用して遂行でき、この場合に前記した第1物質膜は炭素がドーピングされた酸化膜のように酸素または酸素イオンに対して高い選択性を有する物質で形成するのが望ましい。
そして、前記したビアコンタクトの形成後には前記結果物上にビアコンタクトと連結される配線を含む配線層を形成する段階をさらに含むことができる。ここで配線層は最終配線層でもあり、この最終配線層の配線はアルミニウムを使用して形成することもできる。
そして、前記したビアコンタクトは隣接した地域の配線のパターン密度が相対的に低い位置に形成されうる。
そして、前記した第1層間絶縁膜を形成する前に半導体基板上にエッチング防止膜を蒸着する段階をさらに含み、前記した開口を形成する段階の後でビアホールに露出されたエッチング防止膜をエッチングする段階をさらに含むことができる。
そして、前記した金属物質を蒸着する前にビアホールに露出された第1層間絶縁膜上には障壁層を形成する段階をさらに含むことができる。
本発明による半導体素子の金属配線形成方法によれば、デュアルダマシン構造の配線パターンを含む層をアッシング工程を活用することによって容易に作ることができる。このようなデュアルダマシン構造の配線パターンを平坦化工程を利用してエッチングすることによってシングルダマシン構造のビアコンタクトを形成するようになれば、このビアコンタクトにはリセスがほとんど生じない。したがって、金属配線の電気的な特性が向上し、不良のない半導体素子を容易に形成できる。
以下、図面に基づき、本発明を詳しく説明する。しかし、本発明はここに説明される実施例に限定されずに他の形態に具体化されうる。むしろ、ここに紹介される実施例は本発明の技術的思想が徹底に完全に開示できるように、そして当業者に本発明の思想を十分に伝えるために例示的に提供されたものである。図面において、層領域の厚さは明確性を期するために誇張されたものである。また、ある層が他の層または基板「上」にあると言及される場合に、それは他の層または基板上に直接形成されたりまたはそれらの間に第3の層が介在されたりもする。明細書全体にかけて同じ参照番号は同じ構成要素を示す。
図2ないし図8は、本発明の望ましい第1実施例による半導体素子の金属配線形成方法を工程順序によって概略的に図示す断面図である。
図2を参照すれば、導電層105を含んでいる半導体基板100上に第1層間絶縁膜120、第1物質膜130及び第2物質膜140を順次蒸着する。
ここで、半導体基板100はシリコンウエーハ基板だけではなく、内部に特定の導電層105を含んでいる他の物質の層でもありうる。導電層105は半導体基板100に形成された不純物ドーピング領域であったり、あるいはCu配線層またはその他の導電体パターンであったりすることができる。
第1層間絶縁膜120を蒸着する前にエッチング防止膜110を半導体基板100上にまず蒸着することもできる。エッチング防止膜110はその上部に形成される第1層間絶縁膜120に対してエッチング選択比の大きい物質、例えばシリコン窒化膜(Si)またはシリコンカーバイド膜(SiC)などで形成するのが望ましい。エッチング防止膜110は、例えばおよそ200Åないし1000Å、望ましくは500Å程度の厚さに形成する。
次いで、エッチング防止膜110上に第1層間絶縁膜120を蒸着する。第1層間絶縁膜120は、例えば、多孔性シリコン酸化膜、PSG(phosphorous silicate glass)膜、BPSG(boron phosphorous silicate glass)膜、USG(undoped silicate glass)膜、FSG(fluorine doped silicate glass)膜、SiOC膜、HDP(high density plasma)膜、PE−TEOS(plasma enhanced−tetra ethyl ortho silicate)膜またはSOG(spin on glass)膜のような低誘電率を有する物質膜で形成するのが望ましい。第1層間絶縁膜120は、例えばおよそ1500Åないし15000Å程度、望ましくはおよそ3000Åないし5000Å程度の厚さに形成する。
次いで、第1層間絶縁膜120上に第1物質膜130を蒸着する。第1物質膜130は後続工程であるフォトレジスト膜を除去するためのアッシング工程で使用する媒体に対して高い選択性を有する物質を含んでいるのが望ましい。その理由は後述する。例えば、アッシング工程で酸素またはオゾンを主要媒体として使用する場合には、第1物質膜130は炭素がドーピングされた酸化膜、例えばAMT社のBlack Diamond、Novellus社のCoral、ASM社のAuroraなどが望ましい。第1物質膜130はおよそ500Åないし3000Å程度、望ましくはおよそ1500Å程度の厚さに形成する。
次いで、第1物質膜130上には第2物質膜140を蒸着する。第2物質膜140は第1物質膜130とは異なり、アッシング工程で使用する媒体と反応する物質を含んでいないか、又は反応をしてもその反応速度が第1物質膜130に対しての反応速度と比較して非常に低い物質を含むのが望ましい。その理由も後述する。例えば、前述したようにアッシング工程で酸素またはオゾンを主要媒体として使用する場合には第2物質膜140はSiONを含む膜、シリコン酸化膜、シリコン窒化膜、シリコンカーバイド膜、ポリシリコン膜、金属酸化物を含む膜または金属窒化物を含む物質の膜などになりうる。第2物質膜140はおよそ200Åないし1500Å、望ましくはおよそ500Åないし1000Å程度の厚さに形成する。
図3を参照すれば、第2物質膜140上にフォトレジスト膜(図示せず)を蒸着した後、露光及び現像工程を利用してビアホール160が形成される領域のパターンを形成する。図面にはパターニングされたフォトレジスト膜151が図示されている。本実施例はビアホール160に隣接した地域に他の導電層のパターンがほとんどなく、シングルダマシン構造のビアホールについての平坦化工程でリセスが多発する場合に特に有用である。本実施例でもビアホール160の周囲に何のパターンもない場合を図示している。しかし、前記したフォトレジストパターン151はビアホール160の隣接した地域に導電体パターンが多く形成されており、パターン密度が相対的に大きい場合にも適用できる。
次いで、フォトレジストパターン151をエッチングマスクに使用して第2物質膜140、第1物質膜130及び第1層間絶縁膜120をエッチングして第2物質膜パターン141、第1物質膜パターン131及び第1層間絶縁膜パターン121を形成する。その場合、第1層間絶縁膜120間の予定された位置にビアホール160が作られる。第1物質膜パターン131及び第2物質膜パターン141に覆い包まれた部分は後続工程で除去されるので、ビアホール160は第1層間絶縁膜121に覆い包まれた部分を示す。
ここで、第1層間絶縁膜120をエッチングして第1層間絶縁膜パターン121を形成する過程は第2物質膜パターン141をエッチングマスクに使用して進行することもできる。この場合には、まず第2物質膜140だけをエッチングして第2物質膜パターン141を形成した後、フォトレジスト膜パターン151を除去してから第1層間絶縁膜120をエッチングする。第1層間絶縁膜パターン121の形成工程をフォトレジスト膜パターン151を除去した後で進めるので、第1物質膜パターン131の一部が変成された後でエッチングが進められる。
図4を参照すれば、フォトレジスト膜パターン151が除去される。フォトレジスト膜パターン151を除去するのにはアッシング工程、例えばドライアッシング工程が使用できる。
ドライアッシング工程は酸素プラズマ放電を利用する方法とオゾンを利用する方法とに大別できる。酸素プラズマアッシング方法は、酸素プラズマの副産物である酸素ラジカルと有機物であるフォトレジストとが反応して二酸化炭素を生成し、これを真空ポンプで排出することによってフォトレジストを除去する方法である。一方、オゾンを利用する方法は、オゾンの強力な酸化作用を利用して常圧下でフォトレジストを除去する方法である。本実施例で使われるアッシング工程は前記した例に限定されず他のアッシング工程が使われることもある。
このようにアッシング工程が進められる間にビアホール160に露出されている第1物質膜パターン131もアッシング媒体と接触する。第1物質膜パターン131にこの媒体に対して高い選択性を有する不純物が含まれており、アッシング工程中にアッシング媒体とこの不純物とが反応する。反応が生じれば、第1物質膜パターンの一部は性質が変わる。その結果、変成された第1物質膜135と残りの第1物質膜パターン132とを残す。
例えば、炭素がドーピングされたシリコン酸化膜で第1物質膜パターン131が形成されている場合には、酸素プラズマまたはオゾンを利用したアッシング工程でこの炭素が酸素と反応する。これにより、二酸化炭素が生成されて第1物質膜パターン131から抜け出る。炭素が抜け出た第1物質膜パターン131の物性は変化する。これにより、変成された第1物質膜135のみを除去しやすくなる。このような化学反応を適切に利用すれば既存のシングルダマシン構造のパターン形成工程に特別に複雑な工程を追加しなくても、後述するようにデュアルダマシン構造の配線パターンが形成できる。
ここで、第2物質膜パターン141は第1物質膜パターン131のすべてがアッシング媒体と反応して性質が変わることを防ぐ役割を果たす。したがって、前述したように第2物質膜141はアッシング媒体と反応する物質を含まないのが望ましい。
図5を参照すれば、変成された第1物質膜パターン135だけを除去する。変成された第1物質膜パターン135を除去するためにドライエッチング、あるいはウェットエッチング、望ましくはウェットエッチングが使用できる。ウェットエッチングでは前記した例ではフッ化水素やフッ化アンモニウムのようにフッ素を含有しているエチェントを一般的に使用する。しかし、前記の変成された第1物質膜135の物性によって他のエチェントを使用することもできる。
変成された第1物質膜135が除去されれば、第1物質膜パターン132で覆い包まれた開口170がビアホール160の上部に形成される。この開口170はビアホール160よりももっと大きくてビアホール160の入口に形成される。
図6を参照すれば、残っている第2物質膜パターン141を除去し、またビアホール160に露出されているエッチング防止膜110を除去する。すると、導電層105が露出されてエッチング防止膜111パターンが形成される。第2物質膜パターン141を除去する工程とエッチング防止膜110をエッチングする工程とは前者を先に遂行するのが望ましいが、第2物質膜140とエッチング防止膜110の厚さとエッチング率とを考慮して同時に遂行することもできる。
第2物質膜パターン141及びエッチング防止膜パターン111が除去されれば、図示したように第1層間絶縁膜パターン121と第1物質膜パターン132とで覆い包まれた二重ダマシン構造のパターンが作られる。この二重ダマシン構造のパターンはビアホール160と開口170とが結合して作られる。
図7を参照すれば、図7にはビアコンタクト180が形成された結果物が示されている。このためにはまず、ビアホール160と開口170との内部及び第1物質膜パターン132上に金属物質(図示せず)を蒸着する。金属物質としては比抵抗値の低いCu、AgまたはAuなどや或いはこれらの合金が使用できる。この時、前記金属物質の拡散を防止するために第1物質膜パターン132とビアホール160と開口170との上に拡散防止膜(図示せず)を形成するのが望ましい。前記拡散防止膜はTa、TaN、W、WN、Ti、TiNなどの単一膜あるいはこの膜を組み合わせた複合膜を使用するのが可能であり、全体の厚さを100〜1000Å程度に形成するのが望ましい。
金属物質を蒸着した後には平坦化工程を実施してビアコンタクト180を形成する。平坦化のための工程としては通常CMP工程が使われる。CMP工程を利用して第1物質膜パターン132上の金属物質、例えばCuを除去してから第1層間絶縁膜パターン121が露出するまで、第1物質膜パターン132と金属物質とをエッチングし続ける。それにより、図7でのようなシングルダマシン構造のビアコンタクト180が生じる。CMP工程が二重ダマシン構造に埋め込まれたCuについて進められるが、この場合には図面でのようにビアコンタクト180にリセスがほとんど生じない。
以後、必要に応じてビアコンタクトが完成した結果物上に拡散防止膜(図示せず)を形成する。拡散防止膜は一般的にSiN、SiCなどを使用して形成し、その厚さは500〜1000Å程度に形成するのが望ましい。
図8は、図7の結果物上に配線パターン195とこれを覆い包む第2層間絶縁膜190とを含む配線層が形成されている素子を概略的に示している断面図である。前記配線パターン195と第2層間絶縁膜190とを形成する工程は第2層間絶縁膜を先に蒸着し、配線パターン195が形成される部分の第2層間絶縁膜をエッチングした後、配線パターン195の形成用物質を蒸着してから、CMP方法を通じて配線パターン195を形成する段階を含むことができる。このような工程は一般的に配線パターン195がビアコンタクト180を形成する物質と異なる物質、例えば前記した配線層がアルミニウムで形成された配線層であり、ビアコンタクト180がCuで形成された場合に広く利用できる。
しかし、図2ないし図8に示している本発明の実施例は前記した例に限定されず、Cuなどを利用したシングルダマシン構造のビアコンタクト180が必要なところにはいかなる応用例にも適用可能である。また、前記した配線層の配線パターン195の形及び使われる物質によって配線層は図面と異なる場合がある。
図9ないし図11は、本発明の望ましい第2実施例を示すための概略的な断面図である。ここで、図9ないし図11は図2ないし図5に対応する。図6及び図7の工程は図11以後の本実施例にも同一に適用される(ただし、この場合に参照番号は図9ないし図11に合うように変更せねばならない)。
図9を参照すれば、導電層205が形成されている半導体基板200上に第1層間絶縁膜220及び第1物質膜230を蒸着する。半導体基板200はシリコンウエーハ基板だけでなく内部に特定導電層205を含んでいる他の層である場合もある。導電層205は半導体基板200に形成された不純物ドーピング領域であるか、或いはCu配線層またはその他の導電体パターンでありうる。
第1層間絶縁膜220を蒸着する前にエッチング防止膜210を半導体基板200上に先に蒸着することもできる。エッチング防止膜210はその上部に形成される第1層間絶縁膜220に対してエッチング選択比の大きい物質、例えば、SiまたはSiCで形成するのが望ましい。エッチング防止膜210は、例えばおよそ200Åないし1000Å程度、望ましくは500Å程度の厚さで形成する。
次いで、エッチング防止膜210上に第1層間絶縁膜220を蒸着する。第1層間絶縁膜220は、例えば、多孔性シリコン酸化膜、PSG膜、BPSG膜、USG膜、FSG膜、SiOC膜、HDP膜、PE−TEOS膜またはSOG膜のような低誘電率を有する物質膜で形成するのが望ましい。第1層間絶縁膜220は、例えば、1500Åないし15000Å程度、望ましくは3000Åないし5000Å程度の厚さに形成する。
次いで、第1層間絶縁膜220上に第1物質膜230を蒸着する。第1物質膜230は後続工程であるフォトレジスト膜を除去するためのアッシング工程で使用する媒体に対して高い選択性を有する物質を含んでいるのが望ましい。例えば、アッシング工程で酸素またはオゾンを媒体に使用する場合には、第1物質膜230は炭素がドーピングされた酸化膜、例えば、AMT社のBlack Diamond、Novellus社のCoral、ASM社のAuroraなどが望ましい。第1物質膜230は2000Åないし5000Å程度の厚さに形成する。
図10を参照すれば、第1物質膜230上にフォトレジスト膜(図示せず)を蒸着した後、露光及び現像工程を利用してビアホール260が形成される領域のパターンを形成する。ビアホール260はフォトレジスト膜パターン251によって限定されるが、図面にはフォトレジスト膜パターン251が示されている。本実施例はビアホール260に隣接した地域に他の導電層のパターンがほとんどなくて後続平坦化工程でリセスが多発する場合に特に有用である。本実施例でもビアホール260の周囲に何のパターンもない場合を図示している。しかし、本実施例はビアホール260の隣接した地域に導電体パターンが形成されていてパターン密度の高い場合にも適用できる。
次いで、フォトレジストパターン251をエッチングマスクとして使用して第1物質膜230及び第1層間絶縁膜220をエッチングして第1物質膜パターン231及び第1層間絶縁膜パターン221を形成する。これにより、第1層間絶縁膜220間の予定された位置にビアホール260が作られる。その結果、ビアホール260はエッチング防止膜210が形成されている場合にはエッチング防止膜210を露出させ、そうでない場合には導電層205を露出させる。
図11を参照すれば、フォトレジスト膜パターン251が除去される。フォトレジスト膜パターン251を除去するアッシング工程はドライ工程が通常的に使われる。アッシング工程として、例えば酸素プラズマ放電を利用する方法及びオゾンを利用する方法などが使われうる。
このようにアッシング工程が進められる間に第1物質膜パターン231の上部及びビアホール260に露出されている第1物質膜パターン231部分もアッシング媒体と接触する。アッシング工程中にこの第1物質膜パターン231の一部に含まれた不純物とアッシング媒体とも反応する。その結果、第1物質膜パターン231の一部は性質が変わって変成された第1物質膜235になり、残りの第1物質膜パターン232を残す。示されたように、第1物質膜パターン231の上部及びビアホール260に露出された第1物質膜パターン231の側面が変成される。
例えば、炭素がドーピングされたシリコン酸化膜で第1物質膜パターン231が形成されている場合には、酸素プラズマまたはオゾンを利用したアッシング工程中に炭素が酸素と反応する。これにより、二酸化炭素が生成されて第1物質膜パターン231から抜け出る。第1物質膜パターンの性質は変化し、変成された第1物質膜235のみを除去しやすくなる。
本実施例では前記したアッシング工程の工程条件を適切に調節することが重要である。すなわち、変成された第1物質膜235が深さ方向に第1層間絶縁膜パターン221の上部まで形成されるように反応が生じすぎてはならない。このような場合にはデュアルダマシン構造のパターンを形成できないためである。
このように、工程条件を適切に調節して化学反応が起きる範囲を調整すれば、第1実施例とは違って第2物質膜を形成する必要がない。これにより、既存工程にフォトレジスト工程を追加しなくてもデュアルダマシン構造の配線パターンを形成するのが可能である。
次に、変成された第1物質膜235を除去し、Cuなどの金属物質を埋め込んだ後で、CMP工程を利用してシングルダマシン構造のビアコンタクトを形成する方法は第1実施例と同一に適用される。
以上、本発明を望ましい実施例を挙げて詳しく説明したが、本発明は前記実施例に限定されず、本発明の技術的な思想の範囲内で当業者によって色々な変形が可能である。
隣接したビアパターンまでの距離変化によるCMP工程後にビアコンタクトに発生したリセスの深さを測定した結果を概略的に示すグラフである。 本発明の第1実施例による半導体素子の金属配線形成方法を工程順序によって概略的に示す断面図である。 本発明の第1実施例による半導体素子の金属配線形成方法を工程順序によって概略的に示す断面図である。 本発明の第1実施例による半導体素子の金属配線形成方法を工程順序によって概略的に示す断面図である。 本発明の第1実施例による半導体素子の金属配線形成方法を工程順序によって概略的に示す断面図である。 本発明の第1実施例による半導体素子の金属配線形成方法を工程順序によって概略的に示す断面図である。 本発明の第1実施例による半導体素子の金属配線形成方法を工程順序によって概略的に示す断面図である。 本発明の第1実施例による半導体素子の金属配線形成方法を工程順序によって概略的に示す断面図である。 本発明の第2実施例による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 本発明の第2実施例による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 本発明の第2実施例による半導体素子の金属配線形成方法を工程順序によって示す断面図である。
符号の説明
100 半導体基板
105 導電層
110 エッチング防止膜
121 第1層間絶縁膜パターン
132 第1物質膜パターン
135 第1物質膜
141 第2物質膜パターン
160 ビアホール

Claims (21)

  1. 半導体素子の金属配線形成方法において、
    (a)導電層が形成された半導体基板上に、第1層間絶縁膜と、フォトレジストを除去するために使用する媒体に対して高い選択性を有する物質を含む第1物質膜と、第2物質膜とを順次形成する段階と、
    (b)前記第2物質膜上に前記第2物質膜の上面を一部露出させるパターンを有するフォトレジスト膜を形成する段階と、
    (c)前記フォトレジスト膜をエッチング防止膜として前記第2物質膜、第1物質膜及び第1層間絶縁膜をエッチングしてビアホールを形成する段階と、
    (d)前記フォトレジストを除去するために使用する媒体と反応させて前記第1物質膜の前記ビアホールに露出された部分を変成させると同時に前記フォトレジスト膜を除去する段階と、
    (e)前記変成された第1物質膜を除去して前記第1物質膜に前記ビアホールよりも大きい開口を形成する段階と、
    (f)残っている前記第2物質膜を除去する段階と、
    (g)前記ビアホール及び開口を埋め込むように金属物質を蒸着する段階と、
    (h)前記第1層間絶縁膜が表れるまで前記半導体素子を平坦化してビアコンタクトを形成する段階と、
    を含むことを特徴とする半導体素子の金属配線形成方法。
  2. 前記金属物質はCuを含む物質であることを特徴とする請求項1に記載の半導体素子の金属配線形成方法。
  3. 前記フォトレジスト膜を除去する段階は、酸素プラズマ放電を利用する方法またはオゾンを利用する方法を使用して遂行することを特徴とする請求項1に記載の半導体素子の金属配線形成方法。
  4. 前記第1物質膜に含まれた物質は酸素または酸素イオンに対して高い選択性を有する物質であることを特徴とする請求項1に記載の半導体素子の金属配線形成方法。
  5. 前記第1物質膜は炭素がドーピングされた酸化膜であることを特徴とする請求項4に記載の半導体素子の金属配線形成方法。
  6. 前記ビアコンタクトを形成する段階の後で前記結果物上に前記ビアコンタクトと連結される配線パターンと前記配線パターンを覆い包む第2層間絶縁膜とを含む配線層を形成する段階をさらに含むことを特徴とする請求項1に記載の半導体素子の金属配線形成方法。
  7. 前記配線層の前記配線パターンはアルミニウムを使用して形成することを特徴とする請求項6に記載の半導体素子の金属配線形成方法。
  8. 前記第2物質膜は前記フォトレジスト膜を除去する段階で変成されない物質で形成することを特徴とする請求項1に記載の半導体素子の金属配線形成方法。
  9. 前記第2物質膜はSiONを含む膜、シリコン酸化膜、シリコン窒化膜、シリコンカーバイド膜、ポリシリコン膜、金属酸化物を含む膜または金属窒化物を含む物質で形成することを特徴とする請求項8に記載の半導体素子の金属配線形成方法。
  10. 前記第1層間絶縁膜を形成する前に前記半導体基板上にエッチング防止膜を形成する段階をさらに含み、
    前記金属物質を蒸着する段階の前に前記ビアホールに露出された前記エッチング防止膜をエッチングする段階をさらに含むことを特徴とする請求項1に記載の半導体素子の金属配線形成方法。
  11. 前記金属物質を蒸着する段階の前に前記ビアホールに露出された前記第1層間絶縁膜上に拡散防止膜を形成する段階をさらに含むことを特徴とする請求項1に記載の半導体素子の金属配線形成方法。
  12. 前記ビアコンタクトを形成する段階の後で前記結果物上に拡散防止膜を形成する段階をさらに含むことを特徴とする請求項1に記載の半導体素子の金属配線形成方法。
  13. 半導体素子の金属配線形成方法において、
    (a)導電層が形成された半導体基板上に、第1層間絶縁膜と、フォトレジスト膜を除去する段階で使用する媒体に対して高い選択性を有する物質を含む第1物質膜とを順次形成する段階と、
    (b)前記第1物質膜上に前記第1物質膜の上面を一部露出させるパターンを有するフォトレジスト膜を形成する段階と、
    (c)前記第1物質膜及び第1層間絶縁膜をエッチングしてビアホールを形成する段階と、
    (d)前記第1物質膜に含まれた物質と反応して前記第1物質膜の前記フォトレジスト膜と接触する部分及び前記ビアホールに露出された部分を変成させると同時に前記フォトレジスト膜を除去する段階と、
    (e)前記変成された第1物質膜を除去して前記第1物質膜に前記ビアホールよりも大きい開口を形成する段階と、
    (f)前記ビアホール及び開口を埋め込むように金属物質を蒸着する段階と、
    (g)前記第1層間絶縁膜が表れるまで前記半導体素子を平坦化してビアコンタクトを形成する段階と、
    を含むことを特徴とする半導体素子の金属配線形成方法。
  14. 前記金属物質はCuを含む物質であることを特徴とする請求項13に記載の半導体素子の金属配線形成方法。
  15. 前記開口を形成する段階の後で前記結果物上に拡散防止膜を形成する段階をさらに含むことを特徴とする請求項13に記載の半導体素子の金属配線形成方法。
  16. 前記第1物質膜に含まれた不純物は酸素または酸素イオンに対して高い選択性を有する物質を含むことを特徴とする請求項13に記載の半導体素子の金属配線形成方法。
  17. 前記第1物質膜は炭素がドーピングされた酸化膜であることを特徴とする請求項13に記載の半導体素子の金属配線形成方法。
  18. 前記ビアコンタクトを形成する段階の後で前記結果物上に前記ビアコンタクトと連結される配線パターンと前記配線パターンを覆い包む第2層間絶縁膜を含む配線層とを形成する段階をさらに含むことを特徴とする請求項13に記載の半導体素子の金属配線形成方法。
  19. 前記配線層の配線パターンはアルミニウムを使用して形成することを特徴とする請求項18に記載の半導体素子の金属配線形成方法。
  20. 前記第1層間絶縁膜を形成する前に前記半導体基板上にエッチング防止膜を蒸着する段階をさらに含み、
    前記開口を形成する段階の後で前記ビアホールに露出された前記エッチング防止膜をエッチングする段階をさらに含むことを特徴とする請求項13に記載の半導体素子の金属配線形成方法。
  21. 前記金属物質を蒸着する段階の前に前記ビアホールに露出された層間絶縁膜上に拡散防止膜を形成する段階をさらに含むことを特徴とする請求項13に記載の半導体素子の金属配線形成方法。
JP2003281919A 2002-08-01 2003-07-29 変形されたデュアルダマシン工程を利用した半導体素子の金属配線形成方法 Expired - Lifetime JP4472286B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0045610A KR100434508B1 (ko) 2002-08-01 2002-08-01 변형된 듀얼 다마신 공정을 이용한 반도체 소자의 금속배선 형성방법

Publications (2)

Publication Number Publication Date
JP2004072107A JP2004072107A (ja) 2004-03-04
JP4472286B2 true JP4472286B2 (ja) 2010-06-02

Family

ID=31884880

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003281919A Expired - Lifetime JP4472286B2 (ja) 2002-08-01 2003-07-29 変形されたデュアルダマシン工程を利用した半導体素子の金属配線形成方法

Country Status (4)

Country Link
US (1) US7041592B2 (ja)
JP (1) JP4472286B2 (ja)
KR (1) KR100434508B1 (ja)
TW (1) TWI313495B (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7902613B1 (en) * 2008-01-28 2011-03-08 Cadence Design Systems, Inc. Self-alignment for semiconductor patterns
US7687381B2 (en) * 2008-03-19 2010-03-30 Samsung Electronics Co., Ltd. Method of forming electrical interconnects within insulating layers that form consecutive sidewalls including forming a reaction layer on the inner sidewall
US8796150B2 (en) 2011-01-24 2014-08-05 International Business Machines Corporation Bilayer trench first hardmask structure and process for reduced defectivity
KR20150092581A (ko) * 2014-02-05 2015-08-13 삼성전자주식회사 배선 구조물 및 그 형성 방법

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04293233A (ja) * 1991-03-22 1992-10-16 Sony Corp メタルプラグの形成方法
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6406995B1 (en) * 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
KR20000066846A (ko) * 1999-04-21 2000-11-15 김영환 접촉홀 형성방법
US20010051420A1 (en) * 2000-01-19 2001-12-13 Besser Paul R. Dielectric formation to seal porosity of low dielectic constant (low k) materials after etch
TW486801B (en) * 2000-04-07 2002-05-11 Taiwan Semiconductor Mfg Method of fabricating dual damascene structure
US6509623B2 (en) * 2000-06-15 2003-01-21 Newport Fab, Llc Microelectronic air-gap structures and methods of forming the same
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics
KR20020017181A (ko) * 2000-08-29 2002-03-07 윤종용 반도체 소자의 듀얼 다마신 배선을 위한 컨택 홀 형성 방법
US6861347B2 (en) * 2001-05-17 2005-03-01 Samsung Electronics Co., Ltd. Method for forming metal wiring layer of semiconductor device
US6551915B2 (en) * 2001-07-03 2003-04-22 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal annealing/hydrogen containing plasma method for forming structurally stable low contact resistance damascene conductor structure
US20030082905A1 (en) * 2001-10-31 2003-05-01 Jen-Ku Hung Method for forming a uniform damascene profile
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration

Also Published As

Publication number Publication date
TWI313495B (en) 2009-08-11
JP2004072107A (ja) 2004-03-04
US7041592B2 (en) 2006-05-09
KR20040013165A (ko) 2004-02-14
KR100434508B1 (ko) 2004-06-05
TW200402839A (en) 2004-02-16
US20040038521A1 (en) 2004-02-26

Similar Documents

Publication Publication Date Title
US6696222B2 (en) Dual damascene process using metal hard mask
EP1560264B1 (en) Method of forming an interconnection line structure
JP2004146800A (ja) 相互接続構造の製造方法およびその構造
JPH10242204A (ja) 半導体装置および半導体装置の製造方法
US5960316A (en) Method to fabricate unlanded vias with a low dielectric constant material as an intraline dielectric
US6603206B2 (en) Slot via filled dual damascene interconnect structure without middle etch stop layer
US6495448B1 (en) Dual damascene process
US7436009B2 (en) Via structures and trench structures and dual damascene structures
US6372631B1 (en) Method of making a via filled dual damascene structure without middle stop layer
US6821896B1 (en) Method to eliminate via poison effect
US6660619B1 (en) Dual damascene metal interconnect structure with dielectric studs
JP5047504B2 (ja) ビアキャッピング保護膜を使用する半導体素子のデュアルダマシン配線の製造方法
JP5613272B2 (ja) 半導体装置
JP2002373937A (ja) 半導体装置及びその製造方法
JP4472286B2 (ja) 変形されたデュアルダマシン工程を利用した半導体素子の金属配線形成方法
US7332427B2 (en) Method of forming an interconnection line in a semiconductor device
JP2008010824A (ja) 半導体メモリ素子の製造方法
US6218291B1 (en) Method for forming contact plugs and simultaneously planarizing a substrate surface in integrated circuits
KR100648247B1 (ko) 캐패시터의 금속 하부전극 형성 방법 및 이를 위한선택적인 금속막 식각 방법
US7112537B2 (en) Method of fabricating interconnection structure of semiconductor device
KR100400035B1 (ko) 균일한 접촉 저항을 갖는 콘택을 구비한 반도체 소자 및그의 제조방법
KR100450241B1 (ko) 플러그 형성 방법 및 이 플러그를 갖는 반도체 소자
KR100784105B1 (ko) 반도체 소자의 제조 방법
JP2004072080A (ja) 半導体装置の製造方法および半導体装置
JP2009200373A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060407

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080213

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100202

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100303

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130312

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150