KR102434249B1 - 규소-함유 막을 증착시키기 위한 조성물 및 방법 - Google Patents

규소-함유 막을 증착시키기 위한 조성물 및 방법 Download PDF

Info

Publication number
KR102434249B1
KR102434249B1 KR1020207010524A KR20207010524A KR102434249B1 KR 102434249 B1 KR102434249 B1 KR 102434249B1 KR 1020207010524 A KR1020207010524 A KR 1020207010524A KR 20207010524 A KR20207010524 A KR 20207010524A KR 102434249 B1 KR102434249 B1 KR 102434249B1
Authority
KR
South Korea
Prior art keywords
disilacyclobutane
plasma
group
reactor
branched
Prior art date
Application number
KR1020207010524A
Other languages
English (en)
Other versions
KR20200040932A (ko
Inventor
밍 리
신지안 레이
레이먼드 엔. 버티스
로버트 쥐. 리지웨이
만차오 샤오
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20200040932A publication Critical patent/KR20200040932A/ko
Application granted granted Critical
Publication of KR102434249B1 publication Critical patent/KR102434249B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0803Compounds with Si-C or Si-Si linkages
    • C07F7/0805Compounds with Si-C or Si-Si linkages comprising only Si, C or H atoms
    • C07F7/0807Compounds with Si-C or Si-Si linkages comprising only Si, C or H atoms comprising Si as a ring atom
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본원에는 기판의 적어도 표면 상에 규소 및 탄소를 포함하는 유전체 막을 형성시키기 위한 조성물 및 방법으로서, 방법은 반응기에 본원에서 규정된 바와 같은, 하기 화학식 IA의 구조로 표현되는 화합물 및 하기 화학식 IB의 구조로 표현되는 화합물로 이루어진 군으로부터 선택된 적어도 하나의 실라사이클로알칸 전구체를 도입하는 것을 포함하는, 조성물 및 방법이 기술된다:
Figure 112020037612162-pct00017

Description

규소-함유 막을 증착시키기 위한 조성물 및 방법
관련 출원에 대한 상호 참고문헌
본 출원은 35 U.S.C. § 119(e)에 따라 2017년 9월 14일에 출원된 미국가특허출원 제62/558,621호를 우선권으로 주장하며, 이러한 문헌 전체는 본원에 참고로 포함된다.
발명의 분야
본원에는 적어도 하나의 실라사이클로알칸을 포함하는 규소 전구체를 사용하여 컨포멀한(conformal), 화학양론적 또는 비-화학양론적, 탄화규소 또는 탄질화규소 막을 증착시키기 위한 방법 및 조성물이 기술된다. 더욱 구체적으로, 본원에는 유전체 막을 증착시키기 위해 사용되는, 실라사이클로알칸 전구체, 및 이를 포함하는 조성물을 사용하여 비제한적으로, 플라스마 강화 원자층 증착("PEALD"), 플라스마 강화 사이클릭 화학적 증기 증착("PECCVD"), 및 플라스마 강화 유동성 증기 증착("PEFCVD")과 같은 증착 공정이 기술된다.
저압 화학적 증기 증착(LPCVD) 공정은 질화규소 막의 증착을 위한 반도체 산업에 의해 이용되는 더욱 널리 허용되는 방법 중 하나이다. 암모니아를 이용하는 저압 화학적 증기 증착(LPCVD)은 적절한 성장률 및 균일성을 얻기 위해 650℃ 초과의 증착 온도를 필요로 할 수 있다. 개선된 막 성질을 제공하기 위해 통상적으로 더 높은 증착 온도가 이용된다. 질화규소를 성장시키기 위한 더욱 일반적인 산업 방법 중 하나는 전구체로서 실란, 디클로로실란, 및/또는 암모니아를 사용한 750℃ 초과의 온도에서의 고온벽 반응기에서의 저압 화학적 증기 증착을 통하는 것이다. 그러나, 이러한 방법을 이용하는 여러 단점이 존재한다. 예를 들어, 실란과 같은 특정 전구체는 발화성을 나타낸다. 이는 조작 및 사용에서 문제를 나타낼 수 있다. 또한, 디클로로실란으로부터 증착된 막은 특정 불순물, 예를 들어, 염소 및 암모늄 클로라이드를 함유할 수 있으며, 이는 증착 공정 동안 부산물로서 형성된다.
미국특허 제9,455,138호에는 1회 이상의 공정 사이클을 수행하는 플라스마-강화 원자층 증착(PEALD)에 의해 기판 상의 트렌치에 유전체 막을 형성시키는 방법으로서, 각 공정 사이클은 (i) 펄스식으로 규소-함유 전구체를 공급하고, (ii) 질소-함유 가스의 부재 하에서 30 내지 800 sccm의 유량으로 수소-함유 반응물 가스를 공급하고, (iii) 반응 공간으로 희가스를 공급하고, (iv) 반응 공간에서 반응물 가스 및 희가스의 존재 하에서 그리고 임의의 전구체의 부재 하에서 RF 출력을 인가하여 사이클당 1 원자층 두께 미만의 성장 속도로 기판 상에 유전체 막을 구성하는 단일층을 형성하는 것을 포함하는 방법이 개시된다.
WO2012/039833A호에는 제공된 기판 상에 탄화규소의 형성을 위한 방법이 개시된다. 화학식 SinHaXb(여기서, n=1 내지 5, a+b=2n + 2, 및 X=F, CI, Br, I)의 제1 반응물 가스, 및 화학식 MR3- bYb(여기서, R은 탄화수소 함유 치환체이며, Y는 할라이드, 하이드라이드 또는 다른 리간드이며, b는 1 내지 3임)의 제2 반응물 가스가 기판 상에 순차적으로 증착되고 이후에 플라스마에 노출되는, 탄화규소를 형성하는 원자층 증착 방법이 기술된다. 이러한 공정은 복수의 탄화규소 층을 증착시키기 위해 여러 차례 반복될 수 있다.
미국특허 제9,234,276호에는 SiC 막을 제공하기 위한 방법 및 시스템이 개시된다. SiC 층은 1개 이상의 Si-H 결합 및/또는 Si-Si 결합을 갖는 하나 이상의 Si-함유 전구체를 사용하는 공정 조건 하에서 제공된다. Si-함유 전구체는 또한, 1개 이상의 Si-O 결합 및/또는 Si-C 결합을 가질 수 있다. 실질적으로 낮은 에너지 상태의 하나 이상의 라디칼 종은 SiC 막을 형성하기 위해 Si-함유 전구체와 반응할 수 있다. 1개 이상의 라디칼 종은 원격 플라스마 소스에서 형성될 수 있다.
미국특허 제8,846,536호에는 유동성 유전체 막을 증착시키고 개질시키는 방법이 개시된다. 하나 이상의 통합 공정에 의해, 유동성 유전체 막의 습식 에칭률은 적어도 10배만큼 변할 수 있다.
문헌[Auner, N. and Grobe, J. (1980). "Silaethenes. II. Preparation and characterization of 1,3-disilacyclobutanes." J. Organomet. Chem. 188(2): 151-177]. 개시된 3-디실라사이클로부탄 I(R, R1 = Cl, Me, Me2N, CH2:CH, Ph)은 (a) 클로로메틸클로로실란 RR1Si(CH2Cl)Cl로부터의 고리 합성에 의해, (b) 모노실라사이클로부탄 II의 열분해에 의해, 및 (c) 절차 (a) 또는 (b)에 의해 수득된, SiCl-함유 1,3-디실라사이클로부탄에서 염소의 알킬 기로의 치환에 의해 제조된다. 이러한 합성 방법이 비교된다.
미국특허공개 제2013/0217241호에는 Si-C-N 함유 유동성 층의 증착 및 처리가 개시된다. Si 및 C는 Si-C-함유 전구체에서 비롯될 수 있으며, N은 N-함유 전구체에서 비롯될 수 있다. 초기 Si-C-N 함유 유동성 층은 유동성(flowability)을 가능하게 하는 성분들을 제거하기 위해 처리된다. 이러한 성분들의 제거는 에치 내성을 증가시키고, 수축을 감소시키고, 막 장력 및 전기적 성질을 조정할 수 있다. 후처리는 열 어닐링, UV 노출 또는 고밀도 플라스마일 수 있다.
미국특허 제8,889,566호에는 규소 전구체를 로컬 플라스마(local plasma)로 여기시키고 제2 플라스마로 증착시킴으로써 유동성 막을 증착시키는 방법이 개시된다. 규소 전구체는 실릴아민, 고차의 실란 또는 할로겐화된 실란일 수 있다. 제2 반응물 가스는 NH3, N2, H2, 및/또는 O2일 수 있다.
미국특허 제7,825,040호에는 알콕시실란 또는 아미노실란 전구체를 도입하고 플라스마 반응에 의해 유동성 Si-함유 막을 증착시킴으로써 갭을 충전하는 방법이 개시된다. 전구체는 Si-C 결합 또는 C-C 결합을 함유하지 않는다.
미국특허 제8,889,566호, 제7,521,378호, 및 제8,575,040호에는 가스상 중합으로서 유동성 화학적 증기 증착 공정을 이용하여 산화규소 막을 증착시키는 방법이 기술된다. 후속하여 오존 노출을 이용하여 SiOx 막으로 산화되는 Si, H, 및 N 함유 올리고머를 증착시키기 위해 트리실릴 아민(TSA)과 같은 화합물이 사용되었다.
미국특허 제8,846,536호에는 유동성 유전체 막을 증착시키고 개질시키는 방법이 개시되어 있다. 하나 이상의 통합 공정에 의해, 유동성 유전체 막의 습식 에칭률은 적어도 10배만큼 변할 수 있다.
이에 따라, 당해 분야에는, 컨포멀하고 고품질의 탄화규소 또는 탄질화규소 막으로서, 다른 증착 방법 또는 전구체를 사용한 다른 질화규소 막과 비교하여, 1 입방 센티미터 당 2.2 그램(g/cc) 이상의 밀도, 낮은 습식 에칭률(묽은 불화수소산(HF)에서 측정한 경우), 및 이들의 조합의 특징들 중 하나 이상을 갖는 막을 증착시키는 저온(예를 들어, 400℃ 이하의 가공 온도) 방법을 제공하는 것이 필요하다.
이전에 기술된 특허, 특허출원 및 출판물의 개시는 본원에 참고로 포함된다.
본원에는 기판의 적어도 일부분 상에 화학양론적 또는 비-화학양론적 탄화규소, 탄질화규소 막, 규소 카복사이드, 산탄질화규소를 포함하는 유전체 막을 형성하는 방법이 기술된다.
일 양태에서, 본원에 기술된 규소 전구체는 하기 화학식 IA의 구조로 표현되는 화합물 및 하기 화학식 IB의 구조로 표현되는 화합물로 이루어진 군으로부터 선택된 적어도 하나의 실라사이클로알칸 전구체를 포함한다:
Figure 112020037612162-pct00001
상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 및 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택되며; R2는 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기, 및 환형 C3 내지 C10 알킬 기로 이루어진 군으로부터 선택된다.
다른 양태에서, (a) 하기 화학식 IA의 구조로 표현되는 화합물 및 하기 화학식 IB의 구조로 표현되는 화합물로 이루어진 군으로부터 선택된 적어도 하나의 실라사이클로알칸 전구체; 및 (b) 용매를 포함하는 조성물이 제공된다:
Figure 112020037612162-pct00002
상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 및 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택되며; R2는 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기, 및 환형 C3 내지 C10 알킬 기로 이루어진 군으로부터 선택된다. 화학식 I의 특정 구체예에서, R1 및 R2는 각각 수소이다. 다른 구체예에서, R1은 수소이다. 본원에 기술된 조성물의 특정 구체예에서, 용매는 에테르, 3차 아민, 알킬 탄화수소, 방향족 탄화수소, 3차 아미노에테르, 및 이들의 조합으로 이루어진 군으로부터 선택된 적어도 하나이다. 특정 구체예에서, 실라사이클로알칼의 비등점과 용매의 비등점 간의 차이는 40℃ 이하이다.
일 양태에서, 탄화규소 막을 형성하는 방법으로서,
a. 반응기에 기판을 제공하는 단계;
b. 반응기에, 하기 화학식 IA의 구조로 표현되는 화합물 및 하기 화학식 IB의 구조로 표현되는 화합물로 이루어진 군으로부터 선택된 적어도 하나의 실라사이클로알칸 전구체를 도입하는 단계로서, 적어도 하나의 실라사이클로알칸 전구체는 화학흡착층을 제공하기 위해 기판의 표면의 적어도 일부분 상에서 반응하는 단계;
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 화학흡착층의 적어도 일부분과 반응하고 적어도 하나의 반응성 사이트를 제공하기 위해 반응기 내에 플라스마 함유 소스를 도입하는 단계로서, 플라스마는 약 0.01 내지 약 1.5 W/㎠ 범위의 출력 밀도에서 발생되는 단계;
e. 임의적으로, 반응기를 불활성 가스로 퍼징하는 단계를 포함하며,
단계 b 내지 단계 e는 탄화규소 막의 요망되는 두께가 수득될 때까지 반복되는 방법이 제공된다:
Figure 112020037612162-pct00003
상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 및 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택되며; R2는 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기, 및 환형 C3 내지 C10 알킬 기로 이루어진 군으로부터 선택된다.
다른 양태에서, 플라스마 강화 원자층 증착 공정 또는 플라스마 강화 ALD-유사 공정 또는 플라스마 강화 유동성 화학적 증기 증착으로부터 선택된 증착 공정을 이용하여 규소 및 탄화물을 포함하는 유전체 막을 형성하는 방법으로서,
a. 반응기에 기판을 제공하는 단계;
b. 반응기 내에, 1,3-디비닐-1,3-디실라사이클로부탄, 1,3-디비닐-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라비닐-1,3-디실라사이클로부탄, 1,3,5-트리비닐-1,3,5-트리실라사이클로헥산, 1,3,5-트리비닐-1,3,5-트리메틸-1,3,5-트리실라사이클로헥산, 1,1,3,3,5,5-헥사비닐-1,3,5-트리실라사이클로헥산, 1,3-디알릴-1,3-디실라사이클로부탄, 1,3-디알릴-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라알릴-1,3-디실라사이클로부탄, 1,3,5-트리알릴-1,3,5-트리실라사이클로헥산, 1,3,5-트리알릴-1,3,5-트리메틸-1,3,5-트리실라사이클로헥산, 1,1,3,3,5,5-헥사알릴-1,3,5-트리실라사이클로헥산, 1,3-디아세틸레닐-1,3-디실라사이클로부탄, 1,3-디아세틸레닐-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라아세틸레닐-1,3-디실라사이클로부탄, 1,3,5-트리아세틸레닐-1,3,5-트리실라사이클로헥산, 1,3,5-트리아세틸레닐-1,3,5-트리메틸-1,3,5-트리실라사이클로헥산, 1,1,3,3,5,5-헥사아세틸레닐-1,3,5-트리실라사이클로헥산 1,3-디사이클로프로필-1,3-디실라사이클로부탄, 1,3-디사이클로프로필-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라사이클로프로필-1,3-디실라사이클로부탄 및 이들의 조합물로 이루어진 군으로부터 선택된 적어도 하나의 실라사이클로알칸 전구체를 도입하는 단계로서, 적어도 하나의 실라사이클로알칸 전구체는 화학흡착층을 제공하기 위해 기판의 표면의 적어도 일부분 상에서 반응하는 단계;
c. 반응기를, 질소, 희가스, 및 이들의 조합물로부터 선택된 적어도 하나를 포함하는 퍼지 가스로 퍼징하는 단계;
d. 화학흡착층의 적어도 일부분과 반응하고 적어도 하나의 반응성 사이트를 제공하기 위해 반응기 내에 플라스마 함유 소스를 도입하는 단계로서, 플라스마는 약 0.01 내지 약 1.5 W/㎠ 범위의 출력 밀도에서 발생되는 단계; 및
e. 임의적으로, 반응기를 불활성 가스로 퍼징하는 단계를 포함하며,
단계 b 내지 단계 e는 탄화규소 막의 요망되는 두께가 수득될 때까지 반복되는 방법이 제공된다.
추가 양태에서, 본 발명은 플라스마 강화 원자층 증착 공정, 플라스마 강화 ALD-유사 공정, 및 플라스마 강화 유동성 화학적 증기 증착으로 이루어진 군으로부터 선택된 적어도 하나의 증착 공정을 이용하여, 기판의 적어도 표면 상에 규소, 질소, 및 탄소를 포함하는 유전체 막을 형성하는 방법으로서,
a. 반응기에 기판을 제공하는 단계;
b. 반응기 내에 하기 화학식 IA의 구조로 표현되는 화합물 및 하기 화학식 IB의 구조로 표현되는 화합물로 이루어진 군으로부터 선택된 적어도 하나의 실라사이클로알칸 전구체를 도입하는 단계로서, 적어도 하나의 실라사이클로알칸 전구체는 화학흡착층을 제공하기 위해 기판의 표면의 적어도 일부분 상에서 반응하는 단계;
c. 반응기를, 질소, 희가스, 및 이들의 조합물로부터 선택된 적어도 하나를 포함하는 퍼지 가스로 퍼징하는 단계;
d. 화학흡착층의 적어도 일부분과 반응하고 적어도 하나의 반응성 사이트를 제공하기 위해 반응기 내에 질소 소스를 포함하는 플라스마를 도입하는 단계로서, 플라스마는 약 0.01 내지 약 1.5 W/㎠ 범위의 출력 밀도에서 발생되는 단계; 및
e. 임의적으로, 반응기를 불활성 가스로 퍼징하는 단계를 포함하며,
여기서, 단계 b 내지 단계 e는 탄질화규소 막의 요망되는 두께가 수득될 때까지 반복되는 방법에 관한 것이다:
Figure 112020037612162-pct00004
상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 및 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택되며; R2는 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기, 및 환형 C3 내지 C10 알킬 기로 이루어진 군으로부터 선택된다.
본 발명의 추가 양태는 임의의 상기 방법에 의해 또는 임의의 상기 조성물로부터 생성된 규소 함유 막으로서, 탄화규소 또는 탄질화규소 막이 2.2 g/cc 이상의 밀도를 갖는 규소 함유 막에 관한 것이다.
본 발명의 양태는 단독으로 또는 서로 다양한 조합으로 이용될 수 있다.
도 1은 실시예 4에 따른 전구체 1,1,3,3-테트라비닐-1,3-디실라사이클로부탄으로부터 PEFCVD 막 증착의 SEM 현미경 이미지이다.
고품질의 막으로 고려되는 하나 이상의 기준을 충족하는, 저온, 예를 들어, 400℃ 이하의 온도에서 컨포멀한, 화학양론적 및 비-화학양론적 탄화규소 또는 탄질화규소 막의 증착은 오랜 산업 과제이다. 고품질 막을 필요로 하는 고급 패턴화 또는 스페이서와 같은 반도체 분야에서의 여러 적용이 존재한다. 탄화규소 막 또는 탄질화규소 막은 다른 탄화규소 또는 탄질화규소 막과 비교하여, 1 입방 센티미터 당 2.2 그램(g/cc) 이상(예를 들어, 약 2.2 내지 약 3.0 g/cc, 약 2.4 내지 약 3.0 g/cc 및 일부 경우에, 약 2.5 내지 약 2.8 g/cc)의 밀도, 낮은 습식 에칭률(하기에서 더 상세히 기술되는 방법에 따라 묽은 불화수소산(DI수 중 0.5 중량% HF)에서 측정한 경우), 및 이들의 조합의 특징들 중 하나 이상을 갖는 경우에 "고품질" 막으로 여겨진다. 이러한 또는 다른 구체예에서, 질화규소 막에 대한 굴절률은 엘립소미터에 의해 측정한 경우에, 1.9 이상(예를 들어, 약 1.9 내지 약 2.4, 약 2.0 내지 약 2.4 및 일부 경우에, 약 2.0 내지 약 2.2)이어야 한다.
일 양태에서, 본원에는 규소-함유 막을 증착시키기 위한 조성물로서, 조성물은 (a) 하기 화학식 IA의 구조로 표현되는 화합물 및 하기 화학식 IB의 구조로 표현되는 화합물로 이루어진 군으로부터 선택된 적어도 하나의 실라사이클로알칸 전구체; 및 (b) 임의적으로, 적어도 하나의 용매를 포함하는 조성물이 기술된다:
Figure 112020037612162-pct00005
상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 및 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택되며; R2는 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기, 및 환형 C3 내지 C10 알킬 기로 이루어진 군으로부터 선택된다. 본원에 기술된 조성물의 특정 구체예에서, 예시적인 용매는 비제한적으로, 에테르, 3차 아민, 알킬 탄화수소, 방향족 탄화수소, 3차 아미노에테르, 및 이들의 조합물을 포함한다. 특정 구체예에서, 실라사이클로알칸의 비등점과 용매의 비등점 간의 차이는 40℃ 이하이다. 용매에서 실라사이클로알칸 전구체 화합물의 중량%는 1 내지 99 중량%, 또는 10 내지 90 중량%, 또는 20 내지 80 중량%, 또는 30 내지 70 중량%, 또는 40 내지 60 중량%, 또는 50 내지 50 중량%에서 달라질 수 있다. 일부 구체예에서, 조성물은 규소-함유 막을 위한 반응기 챔버 내에 직접 액체 주입을 통해 전달될 수 있다.
일 구체예에서, 본원에는 질소 및 임의적으로, 희가스를 포함하는 플라스마 공정에서 본원에 기술된 화학식 IA 또는 IB를 갖는 실라사이클로알칸 전구체를 사용하여, 저온, 또는 약 25℃ 내지 약 400℃ 범위의 하나 이상의 증착 온도에서 원자층 증착(ALD) 또는 ALD-유사 방법이 기술된다.
본원에는 기판의 적어도 일부분 상에 규소 및 질소를 포함하는 화학양론적 또는 비-화학양론적 탄화규소 또는 탄질화규소 막을 형성하는 방법이 기술된다. 특정 구체예에서, 탄화규소 또는 탄질화규소 막은 산소, 예를 들어, 산질화규소 막을 추가로 포함한다. 이러한 또는 다른 구체예에서, 탄화규소 막은 산소 및 질소, 예를 들어, XPS에 의해 측정한 경우에, 0.1 내지 30 원자% 범위의 산소 함량 및 0.1 내지 40 원자% 범위의 탄소 함량을 갖는 규소 카복시니트라이드 막을 포함한다.
화학식 IA 또는 IB로 표현되는 구조를 갖는 예시적인 실라사이클로알칸 전구체는 표 1에 나타낸 하기 전구체를 포함하지만, 이로 제한되지 않는다.
표 1. 화학식 IA 또는 IB를 갖는 전구체
Figure 112020037612162-pct00006
Figure 112020037612162-pct00007
본원에 기술된 화학식 IA 또는 IB로 표현되는 구조를 갖는 실라사이클로알칸 전구체는 반도체 소자 제작 공정을 위한 PEADL 또는 PECCVD 또는 PEFCVD 전구체로서 이상적으로 적합하게 만드는 반응성과 안정성의 균형을 나타낸다. 반응성과 관련하여, 특정 전구체는 너무 높아서 증기화되고 반응기로 전달되어 기판 상에 막으로서 증착되기 어렵게 하는 비등점(예를 들어, 약 200℃ 초과)을 가질 수 있다. 비교적 더 높은 비등점을 갖는 전구체는 전달 용기 및 라인이 용기, 라인, 또는 둘 모두에서 응축 또는 입자가 형성되는 것을 방지하기 위해 제공된 진공 하에서 전구체의 비등점에서 또는 그 이상에서 가열될 필요가 있다는 것을 요구한다. 중요하게, 화학식 IA 또는 IB로 표현되는 구조를 갖는 실라사이클로알칸 전구체는 적어도 2개의 탄소-탄소 이중 또는 삼중 결합을 지니거나, 적어도 2개의 환형 알칸 기가 더 양호하며, 이에 따라, 플라스마 존재 하에서 탄소-탄소 이중 또는 삼중 결합 또는 환형 알칸 기, 특히, 사이클로프로필의 가교 또는 중합을 통해 탄화규소를 증착시킬 수 있는 것으로 여겨진다. 특정 구체예에서, 본원에 기술된 화학식 IA 또는 IB로 표현되는 구조를 갖는 실라사이클로알칸 전구체는 저정 안정성을 나타내는, 2 중량% 이하, 또는 1 중량% 이하, 또는 0.5 중량% 이하의 부산물을 포함한다(6개월 이상, 또는 1년 이상의 시간 동안 저장한 후). 특정 구체예에서, 본원에 기술된 화학식 IA 또는 IB로 표현되는 구조를 갖는 실라사이클로알칸 전구체는 100 ppm 이하의 할라이드 불순물, 예를 들어, 클로라이드, 또는 50 ppm 이하의 할라이드 불순물, 또는 10 ppm 이하의 할라이드 불순물을 포함한다. 상기 장점 이외에, 특정 구체예에서, 예를 들어, PEALD, 또는 PECCVD 또는 PEFCVD 증착 방법을 이용하여 탄화규소 또는 탄질화규소 막을 증착시키기 위해, 본원에 기술된 실라사이클로알칸 전구체는 하나 이상의 증착 온도, 예를 들어, 400℃ 이하, 350℃ 이하, 300℃ 이하, or 250℃ 이하, 200℃ 이하, 150℃ 이하, 100℃ 이하, 또는 50℃ 이하에서 고밀도 물질을 증착시킬 수 있다.
명세서 전반에 걸쳐, 용어 "알킬 탄화수소"는 선형 또는 분지형 C6 내지 C20 탄화수소, 환형 C6 내지 C20 탄화수소를 지칭한다. 예시적인 탄화수소는 헥산, 헵탄, 옥탄, 노난, 데칸, 도데칸, 사이클로옥탄, 사이클로노난, 사이클로데칸, 및 이들의 혼합물을 포함하지만, 이로 제한되지 않는다.
명세서 전반에 걸쳐, 용어 "방향족 탄화수소"는 C6 내지 C20 방향족 탄화수소를 지칭한다. 예시적인 방향족 탄화수소는 톨루엔 및 메시틸렌을 포함하지만, 이로 제한되지 않는다.
화학식 IA 또는 IB에서 그리고 명세서 전반에 걸쳐, 용어 "환형 알킬"은 3 내지 10 또는 4 내지 10개의 탄소 원자, 또는 5 내지 10개의 탄소 원자를 갖는 환형 작용기를 나타낸다. 예시적인 환형 알킬 기는 사이클로프로필, 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸 기, 바람직하게, 높은 반응성으로 인해 사이클로프로필을 포함하지만, 이로 제한되지 않는다.
화학식 IA 또는 IB에서 그리고 명세서 전반에 걸쳐, 용어 "아릴"은 5 내지 12개의 탄소 원자 또는 6 내지 10개의 탄소 원자를 갖는 방향족 환형 작용기를 나타낸다. 예시적인 아릴 기는 페닐, 벤질, 클로로벤질, 톨릴, 및 o-자일릴을 포함하지만, 이로 제한되지 않는다.
화학식 IA 또는 IB에서 그리고 명세서 전반에 걸쳐, 용어 "알킬"은 1 내지 10 또는 1 내지 4개의 탄소 원자를 갖는 선형 또는 분지형 작용기를 나타낸다. 예시적인 알킬 기는 메틸, 에틸, n-프로필, 이소프로필, n-부틸, 이소부틸, 2차-부틸, 3차-부틸, n-펜틸, 이소-펜틸, 3차-펜틸, 헥실, 이소헥실, 및 네오헥실을 포함하지만, 이로 제한되지 않는다. 특정 구체예에서, 알킬 기는 여기에 부착된 하나 이상의 작용기, 예를 들어, 비제한적으로 알콕시 기, 디알킬아미노 기 또는 이들의 조합을 가질 수 있다. 다른 구체예에서, 알킬 기는 여기에 부착된 하나 이상의 작용기를 가지지 않는다.
화학식 IA 또는 IB에서 그리고 명세서 전반에 걸쳐, 용어 "알케닐 기"는 하나 이상의 탄소-탄소 이중 결합을 가지고 2 내지 10 또는 2 내지 6 또는 2 내지 4개의 탄소 원자를 갖는 기를 나타낸다. 예시적인 알케닐 기는 비닐(CH2=CH-) 또는 알릴(CH2=CHCH2-)을 포함하지만, 이로 제한되지 않는다.
화학식 IA 또는 IB에서 그리고 명세서 전반에 걸쳐, 용어 "알키닐 기"는 하나 이상의 탄소-탄소 삼중 결합을 가지고 2 내지 10 또는 2 내지 6 또는 2 내지 4개의 탄소 원자를 갖는 기를 나타낸다. 예시적인 알키닐 기는 에티닐(아세틸레닐레닐)을 포함한다.
명세서 전반에 걸쳐, 본원에서 사용되는 용어 "오가노아민"은 적어도 하나의 질소 원자를 갖는 유기 화합물을 기술한다. 오가노아민의 예는 메틸아민, 에틸아민, 프로필아민, 이소-프로필아민, 3차-부틸아민, 2차-부틸아민, 3차-아밀아민, 에틸렌디아민, 디메틸아민, 트리메틸아민, 디에틸아민, 및 트리에틸아민을 포함하지만, 이로 제한되지 않는다.
특정 구체예에서, 화학식 IA 또는 IB에서 알킬 기, 알케닐 기, 알키닐 기, 알콕시 기, 디알킬아미노 기, 아릴 기, 및/또는 전자 끄는 기 중 하나 이상은 치환되거나, 예를 들어, 수소 원자 대신체 치환된 하나 이상의 원자 또는 원자의 기를 가질 수 있다. 예시적인 치환체는 산소, 황, 할로겐 원자(예를 들어, F, Cl, I, 또는 Br), 질소, 및 인을 포함하지만, 이로 제한되지 않는다. 예시적인 치환된 치환체는 선형 또는 분지형 C1 내지 C6 불화된 알킬 기를 포함하지만, 이로 제한되지 않는다. 하나의 특정 구체예에서, R1 내지 R4 중 적어도 하나는 선형 또는 분지형 C1 내지 C6 불화된 알킬 기이다. 다른 구체예에서, 화학식 IA 또는 IB에서 알킬 기, 알케닐 기, 알키닐 기, 알콕시 기, 디알킬아미노 아릴 기, 및/또는 전자 끄는 기 중 하나 이상은 비치환된다.
특정 구체예에서, 화학식 IA 또는 IB로 표현되는 구조를 갖는 실라사이클로알칸 전구체는 하기 반응식 (1) 내지 (3)으로서 유기 용매 또는 용매 혼합물에서 1,3-디할라이도-1,3-디알킬-1,3-디실라사이클로부탄, 또는 1,1,3,3-테트라할라이도-1,3-디실라사이클로부탄 또는 1,3,5-트리할라이도-1,3,5-트리알킬-1,3,5-트리실라사이클로헥산 또는 1,1-디할라이도-3,3-디알킬-1,3-디실라사이클로부탄을 다양한 금속 알킬 시약, 예를 들어, XMR2(예를 들어, M은 마그네슘임)와 반응시킴으로써 제조될 수 있다.
Figure 112020037612162-pct00008
반응식 (1) 및 (2)에서, R1 및 R2는 화학식 I에 기술된 치환체와 동일하며, X는 클로라이드, 브로마이드, 및 요오다이드로 이루어진 군으로부터 선택된다. 상기 반응식 (1) 내지 (3)은 본원에 기술된 바와 같은 화학식 IA 또는 IB로 표현되는 구조를 갖는 실라사이클로알칸 전구체를 제조하기 위해 사용될 수 있는 반응식 또는 합성 경로의 비제한적인 예를 제공한다. 반응식 (1) 내지 (3)에서의 반응은 유기 용매와 함께(예를 들어, 이의 존재 하에) 또는 용매 없이(예를 들어, 이의 부재 하에) 수행될 수 있다. 유기 용매가 사용되는 구체예에서, 적합한 유기 용매의 예는 탄화수소, 예를 들어, 헥산, 옥탄, 톨루엔, 및 에테르, 예를 들어, 디에틸에테르 및 테트라하이드로푸란(THF)을 포함하지만, 이로 제한되지 않는다. 이러한 또는 다른 구체예에서, 반응 온도는 약 -70℃ 내지 용매가 사용되는 경우 사용되는 용매의 비등점의 범위이다. 얻어진 실라사이클로알칸은 예를 들어, 진공 증류 또는 존재하는 경우 모든 부산물뿐만 아니라 임의의 용매(들)를 제거한 후 승화를 통해 정제될 수 있다. 반응식 (1) 내지 (2)는 화학식 IA 또는 IB로 표현되는 구조를 갖는 실라사이클로알칸 전구체를 제조하기 위한 합성 경로의 2가지 예이다. 환형화를 포함하는 다른 합성 경로가 또한 이용될 수 있다.
화학식 IA 또는 IB로 표현된 구조를 갖는 실라사이클로알칸 전구체에는 바람직하게는 할라이드 이온, 예를 들어, 클로라이드, 또는 금속 이온, 예를 들어, Al이 실질적으로 존재하지 않는다. 할라이드 이온(또는 할라이드), 예를 들어, 예컨대, 클로라이드 및 플루오라이드, 브로마이드, 요오다이드, 금속 이온, 예를 들어, Li+, Mg2 +, Al3 +, Fe2+, Fe3 +, Ni2 +, Cr3 +에 관한 것일 때 본원에서 사용되는 용어 "실질적으로 존재하지 않는"은 이온 크로마토그래피(IC) 또는 ICP-MS에 의해 측정한 경우에 5 ppm(중량 기준) 미만, 바람직하게는 이온 크로마토그래피(IC) 또는 ICP-MS에 의해 측정한 경우에 3 ppm 미만, 및 더욱 바람직하게는 이온 크로마토그래피(IC) 또는 ICP-MS에 의해 측정한 경우에 1 ppm 미만, 및 가장 바람직하게는 이온 크로마토그래피(IC)에 의해 측정한 경우에 0 ppm을 의미한다. 클로라이드 또는 금속 이온은 실라사이클로알칸 전구체를 위한 분해 촉매로서 작용하는 것으로 알려져 있다. 최종 산물에서 상당한 수준의 클로라이드는 실라사이클로알칸 전구체를 분해시킬 수 있다. 실라사이클로알칸 전구체의 점진적인 분해는 막 증착 공정에 직접적으로 영향을 미쳐서, 반도체 제작업체가 막 사양을 충족시키는 것을 어렵게 할 수 있다. 또한, 저장-수명 또는 안정성은 실라사이클로알칸 전구체의 더 높은 분해율에 의해 악영향을 미쳐서 1 내지 2년 저장-수명을 보장하기 어렵게 만든다. 이에 따라, 실라사이클로알칸 전구체의 가속화된 분해는 이러한 가연성 및/또는 발화성 가스상 부산물의 형성과 관련된 안전성 및 성능 문제를 나타낸다.
일부 구체예에서, 화학식 IA 또는 IB로 표현되는 구조를 갖는 증류된 실라사이클로알칸 전구체에 안정화제 화합물 또는 중합 억제제가 첨가된다. 예시적인 안정화제 화합물은 2,6-디-3차-부틸-4-메틸 페놀(또는 부틸하이드록시톨루엔인 BHT), 2,2,6,6-테트라메틸-1-피페리디닐옥시(TEMPO), 2-3차-부틸-4-하이드록시아니솔, 3-3차-부틸-4-하이드록시아니솔, 프로필 에스테르 3,4,5-트리하이드록시-벤조산, 2-(1,1-디메틸에틸)-1,4-벤젠디올, 디페닐피크릴하이드라질, 4-3차-부틸카테콜, 3차-부틸하이드로퀴논, 1,4-벤조퀴논, 6-3차-부틸-2,4-자일레놀, 2,6-디-3차-부틸-p-크레졸, 2,6-디-3차-부틸페놀, 1,1-디페닐-2-피크릴하이드라질 자유 라디칼, 하이드로퀴논, 4-메톡시페놀, 페노티아진, N-메틸아닐린, p-메톡시디페닐아민, 디페닐아민, N,N'-디페닐-p-페닐렌디아민, p-하이드록시디페닐아민, 페놀, 옥타데실-3-(3,5-디-3차-부틸-4-하이드록시페닐)프로피오네이트, 테트라키스(메틸렌(3,5-디-3차-부틸)-4-하이드록시-하이드로신나메이트)메탄, 페노티아진, 알킬아미도노이소우레아, 티오디에틸렌 비스(3,5-디-3차-부틸-4-하이드록시-하이드로신나메이트, 1,2,-비스(3,5-디-3차-부틸-4-하이드록시하이드로신나모일)하이드라진, 트리스 (2-메틸-4-하이드록시-5-3차-부틸페닐)부탄, 사이클릭 네오펜탄테트라일 비스(옥타데실 포스파이트), 4,4'-티오비스(6-3차-부틸-m-크레졸), 2,2'-메틸렌비스(6-3차-부틸-p-크레졸), 옥살릴 비스(벤질리덴하이드라지드), 및 자연 발생 산화방지제, 예를 들어, 미가공 종자 오일, 맥아유, 토코페롤 및 검을 포함한다. 안정화제 화합물 또는 중합 억제제의 기능은 실라사이클로알칸 전구체의 자가-중합 또는 올리고머화를 방지하는 것이다. 안정화제 화합물 또는 중합 억제제의 양은 가스 크로마토그래피 분석(GC)을 기초로 하여, 약 0.01 내지 약 10000 ppm, 바람직하게는 약 0.01 내지 약 500 ppm, 및 가장 바람직하게는 약 0.01 내지 약 100 ppm의 범위이다. 중요한 선택된 안정화제 화합물 또는 중합 억제제는 휘발성이야 하고, 직접 액체 주입을 통해 용기에서 CVD 챔버로의 전달 동안 라인 또는 주입기에 약간의 잔류물을 남기지 않아야 한다.
탄화규소 또는 탄질화규소 막 또는 코팅을 형성하기 위해 사용되는 방법은 증착 공정이다. 본원에 개시된 방법을 위한 적합한 증착 공정의 예는 플라스마 강화 ALD(PEALD) 또는 플라스마 강화 사이클릭 CVD(PECCVD) 또는 플라스마 강화 유동성 화학적 증기 증착 공정을 포함하지만, 이로 제한되지 않는다. 본원에서 사용되는 용어 "화학적 증기 증착 공정"은 기판이 하나 이상의 휘발성 전구체에 노출되고, 이는 요망되는 증착을 생성시키기 위해 기판 표면 상에서 반응하고/하거나 분해되는 임의의 공정을 지칭한다. 본원에서 사용되는 용어 "원자층 증착 공정"은 다양한 조성의 기판 상에 물질의 막을 증착시키는 자기-제한적인(예를 들어, 각 반응 사이클에서 증착된 막 물질의 양이 일정함), 순차적 표면 화학을 지칭한다. 본원에서 사용되는 전구체, 시약 및 소스가 때때로 "가스상"으로서 기술될 수 있지만, 전구체가 직접 증기화, 버블링 또는 승화를 통해 반응기 내로 불활성 가스와 함께 또는 이의 없이 수송되는 액체 또는 고체일 수 있는 것으로 이해된다. 일부 경우에, 증기화된 전구체는 플라스마 발생기로 통과할 수 있다. 일 구체예에서, 질화규소 막은 ALD 공정을 이용하여 증착된다. 다른 구체예에서, 질화규소 막은 CCVD 공정을 이용하여 증착된다. 추가 구체예에서, 질화규소 막은 열적 CVD 공정을 이용하여 증착된다. 본원에서 사용되는 용어 "반응기"는 비제한적으로, 반응 챔버 또는 증착 챔버를 포함한다. ALD-유사 공정은 본원에서 엘립소미터에 의해 측정한 경우에 약 10% 이하(예를 들어, 약 1 내지 약 10%, 약 1 내지 약 5% 및 일부 경우에, 약 1 내지 약 3%)의 비균일성의 백분율, 사이클 당 1 Å 이상(예를 들어, 사이클 당 약 1 내지 약 4 Å, 사이클 당 약 1 내지 약 3 Å, 및 일부 경우에, 사이클 당 약 1 내지 약 2 Å) 또는 이들의 조합의 증착률 중 적어도 하나를 가짐으로써 나타낸 바와 같은 기판 상의 높은 컨포멀한 질화규소 막, 예를 들어, 질화규소 또는 탄질화규소를 제공하는 사이클릭 CVD 공정으로서 본원에서 규정된다.
특정 구체예에서, 본원에 기술된 방법은 반응기에 도입 전 침/또는 동안에 전구체들을 분리시키는 PEADL 또는 PECCVD 또는 PEFCVD 방법을 이용함으로써 전구체의 사전 반응을 방지한다. 이와 관련하여, 증착 기술, 예를 들어, PEADL 또는 PECCVD 또는 PEFCVD 공정은 질화규소 막을 증착시키기 위해 이용된다. 일 구체예에서, 막은 기판 표면을 하나 이상의 질화규소 전구체, 질소-함유 소스 또는 다른 전구체 또는 시약에 교대로 노출시킴으로써 PEALD 공정을 통해 증착된다. 막 성장은 표면 반응의 자기-제한 제어, 각 전구체 또는 시약의 펄스 길이, 및 증착 온도에 의해 진행된다. 그러나, 기판의 표면이 포화된 직후에, 막 성장은 중지된다.
특정 구체예에서, 본원에 기술된 방법은 화학식 IA 또는 IB로 표현되는 구조를 갖는 실라사이클로알칸 전구체 이외의 하나 이상의 추가적인 규소 전구체를 추가로 포함한다. 추가적인 질화규소 전구체의 예는 모노클로로실란, 디클로로실란, 헥사클로로디실란, 디-이소-프로필아미노실란, 디-2차-부틸아미노실란, 비스(3차-부틸아미노)실란, 비스(디에틸아미노)실란, 트리스(디메틸아미노)실란, 비스-디이소프로필아미노디실란, 트리실릴아민, 및 비스(디실릴아미노)실란을 포함하지만, 이로 제한되지 않는다.
증착 방법에 따라, 특정 구체예에서, 적어도 하나의 실라사이클로알칸 전구체는 반응기 내에 사전결정된 몰 부피 또는 약 0.1 내지 약 1000 마이크로몰로 도입될 수 있다. 이러한 또는 다른 구체예에서, 적어도 하나의 실라사이클로알칸 전구체는 사전결정된 시간 동안 반응기 내에 도입될 수 있다. 특정 구체예에서, 이러한 시간은 약 0.001 내지 약 500초의 범위이다. 또 다른 구체예에서, 적어도 하나의 실라사이클로알칸은 100 mg/분 내지 5 g/분 범위의 고정된 유량으로 도입될 수 있다.
특정 구체예에서, 질화규소 막은 규소 및 질소를 포함한다. 이러한 구체예에서, 본원에 기술된 방법을 이용하여 증착된 질화규소 막은 질소-함유 소스의 존재 하에서 형성된다. 질소-함유 소스는 적어도 하나의 질소-함유 소스 형태로 반응기 내에 도입될 수 있거/있거나, 증착 공정에서 사용되는 다른 전구체에 부수적으로 존재할 수 있다. 적합한 질소-함유 소스 가스는 예를 들어, 질소/아르곤 플라스마를 포함할 수 있다. 특정 구체예에서, 질소-함유 소스는 약 1 내지 약 2000 표준 입방 센티미터(sccm) 또는 약 1 내지 약 1000 sccm 범위의 유량으로 반응기 내에 도입된 질소/아르곤 플라스마 소스 가스를 포함한다. 질소-함유 소스는 약 0.1 내지 약 100초 범위의 시간 동안 도입될 수 있다. 막이 ADL 또는 사이클릭 CVD 공정에 의해 증착된 구체예에서, 전구체 펄스는 0.01초 초과의 펄스 시간을 가질 수 있으며, 질소-함유 소스는 0.01초 미만인 펄스 시간을 가질 수 있으며, 물 펄스 시간은 0.01초 미만인 펄스 시간을 가질 수 있다. 또 다른 구체예에서, 펄스들 사이의 퍼지 시간은 0초 정도로 낮을 수 있거나, 이들 사이에 퍼지 없이 연속적으로 펄스화된다.
본원에 기술된 방법에서, 질소 함유 가스, 예를 들어, 비제한적으로 질소 및 임의적으로 희가스, 바람직하게는 질소의 원자 질량(즉, 28 amu)보다 큰 원자 질량을 갖는 희가스를 포함하는 질소-함유 플라스마는 인 시튜로 또는 원격으로 생성될 수 있다. 질소의 원자 질량보다 큰 원자 질량을 갖는 희가스의 존재는 더 많은 원자 질소 라디칼을 생성시킬 것으로 사료된다. 질소 플라스마 소스 가스는 약 1 내지 약 2000 스퀘어 입방 센티미터(sccm) 또는 약 1 내지 약 1000 sccm 이상 범위의 유량으로 반응기 내에 도입된다. 질소 함유 플라스마는 약 0.01 내지 약 100초 이상의 범위인 시간 동안 도입될 수 있다. 구체예에서, 전구체 펄스는 0.01초 초과의 펄스 시간을 가질 수 있으며, 질소-함유 플라스마는 0.01초 미만의 펄스 시간을 가질 수 있으며, 물 펄스 시간은 0.01초 미만의 펄스 시간을 가질 수 있다. 또 다른 구체예에서, 전구체 펄스와 질소 플라스마 사이의 퍼지 시간은 0초 정도로 낮을 수 있다. 또 다른 구체예에서, 수소 플라스마는 수소 플라스마가 사용될 수 있을 때 희가스와 혼합된 퍼지 수소(H2)를 사용하여 인 시튜로 또는 원격으로 발생될 수 있다. 질소 및 희가스 둘 모두를 함유한 플라스마에서 희가스의 중량 백분율은 1 중량% 내지 99 중량%에서 달라질 수 있으며, 수소 및 희가스 둘 모두를 함유한 플라스마에서 희가스의 중량 백분율은 또한, 1 중량% 내지 99 중량%에서 달라질 수 있다. 또 다른 구체예에서, 암모니아 플라스마는 암모니아 플라스마가 사용될 수 있을 때 희가스와 혼합된 퍼지 암모니아(NH3)를 사용하여 인 시튜로 또는 원격으로 발생될 수 있다. 암모니아 및 희가스 둘 모두를 함유한 플라스마에서 희가스의 중량 백분율은 또한 1 중량% 내지 99 중량%에서 달라질 수 있다.
본원에 기술된 증착 방법은 하나 이상의 퍼지 가스를 포함할 수 있다. 소비되지 않은 반응물 및/또는 반응 부산물을 퍼징하기 위해 사용되는 퍼지 가스는 전구체와 반응하지 않는 불활성 가스이다. 예시적인 퍼지 가스는 아르곤(Ar), 질소(N2), 헬륨(He), 네온(Ne), 수소(H2), 및 이들의 혼합물을 포함하지만, 이로 제한되지 않는다. 특정 구체예에서, 퍼지 가스로서 사용되는 불활성 가스는 희가스를 포함한다. 본원에서 사용되는 용어 "희가스"는 주기율표의 18족에서 확인되는 그러한 가스를 의미하고, 헬륨(He), 네온(Ne), 아르곤(Ar), 제논(Xe), 크립톤(Kr), 및 이들의 혼합물을 포함한다. 하나의 특정 구체예에서, 퍼지 가스로서 사용되는 희가스는 아르곤을 포함한다. 이러한 또는 다른 구체예에서, Ar을 포함하는 퍼지 가스는 약 0.1 내지 1000초 동안 약 10 내지 약 2000 sccm 범위의 유량으로 반응기 내에 공급되어, 반응기에 잔류할 수 있는 미반응된 전구체 물질 및 임의의 부산물을 퍼징시킨다.
전구체, 질소-함유 소스, 및/또는 다른 전구체, 소스 가스, 및/또는 시약을 공급하는 개개 단계는 얻어진 질화규소 막의 화학양론적 조성을 변경시키기 위해 이를 공급하는 시간을 변경시킴으로써 수행될 수 있다.
반응을 유도하고 기판 상에 질화규소 막 또는 코팅을 형성하기 위해, 전구체, 질소-함유 소스, 환원제, 다른 전구체 또는 이들의 조합 중 적어도 하나에 에너지가 인가된다. 이러한 에너지는 비제한적으로, 열적, 플라스마, 펄스 플라스마, 헬리콘 플라스마, 고밀도 플라스마, 유도 결합 플라스마, X-선, e-빔, 광자, 원격 플라스마 방법(원격 마이크로파 플라스마를 포함함), 및 이들의 조합에 의해 제공될 수 있다. 특정 구체예에서, 기판 표면에서 플라스마 특징을 변경시키기 위해 2차 RF 주파수 소스가 이용될 수 있다. 증착이 플라스마를 포함하는 구체예에서, 플라스마-발생 공정은 플라스마가 반응기에서 직접적으로 발생되는 직접 플라스마-발생 공정, 또는 대안적으로, 플라스마가 반응기 외측에서 발생되고 반응기 내로 공급되는 원격 플라스마-발생 공정을 포함할 수 있다.
실라사이클로알칸 전구체는 버블링, 증기 인출, 또는 직접 액체 주입(DLI)과 같은 다양한 방식으로 반응 챔버, 예를 들어, PEADL 또는 PECCVD 또는 PEFCVD 반응기에서의 단일 웨이퍼 또는 배치로 전달될 수 있다. 일 구체예에서, 액체 전달 시스템이 이용될 수 있다. 대안적인 구체예에서, 전구체의 열 분해 없이 재현 가능한 수송 및 증착을 야기시키는, 저 휘발성 물질을 체적으로 전달할 수 있게 하기 위해, 결합된 액체 전달 및 플래시 증기화 공정 유닛, 예를 들어, 예컨대, MSP Corporation(Shoreview, MN)에 의해 제작된 터보 기화기가 이용될 수 있다. 액체 전달 포뮬레이션에서, 본원에 기술된 전구체는 순수 액체 형태로 전달될 수 있거나, 대안적으로, 이를 포함하는 용매 포뮬레이션 또는 조성물에서 사용될 수 있다. 이에 따라, 특정 구체예에서, 전구체 포뮬레이션은 기판 상에 막을 형성시키기 위해 제공된 최종 사용 적용에서 요망되고 유리할 수 있기 때문에 적합한 특징의 용매 성분(들)을 포함할 수 있다. 예시적인 용매는 비제한적으로, 에테르, 3차 아민, 알킬 탄화수소, 방향족 탄화수소, 3차 아미노에테르, 및 이들의 조합물을 포함할 수 있다. 특정 구체예에서, 화학식 IA 또는 IB를 갖는 실라사이클로알칸 전구체의 비등점과 용매의 비등점 간의 차이는 40℃ 이하이다. 용매에서 실라사이클로알칸 전구체 화합물의 중량%는 1 내지 99 중량%, 또는 10 내지 90 중량%, 또는 20 내지 80 중량%, 또는 30 내지 70 중량%, 또는 40 내지 60 중량%, 또는 50 내지 50 중량%로 달라질 수 있다. 일부 구체예에서, 조성물은 직접 액체 주입을 통해 규소-함유 막을 위한 반응기 챔버 내로 전달될 수 있다.
특정 구체예에서, 전구체 캐니스터에서 반응 챔버로 연결시키는 가스 라인은 공정 요건에 따라 하나 이상의 온도로 가열되며, 본원에 기술된 화학식 IA 또는 IB로 표현되는 구조를 갖는 실라사이클로알칸 전구체의 용기는 버블링을 위해 하나 이상의 온도에서 유지된다. 다른 구체예에서, 본원에 기술된 화학식을 갖는 적어도 하나의 질화규소 전구체를 포함하는 용액은 직접 액체 주입을 위한 하나 이상의 온도에서 유지된 기화기 내로 주입된다.
아르곤, 희가스 및/또는 다른 불활성 가스의 흐름은 전구체 펄싱 동안 반응 챔버에 적어도 하나의 실라사이클로알칸 전구체의 증기를 전달하는 데 도움을 주기 위해 캐리어 가스로서 사용될 수 있다. 특정 구체예에서, 반응 챔버 공정 압력은 약 2 Torr 이하이다. 다른 구체예에서, 반응 챔버 공정 압력은 약 10 Torr 이하이다.
통상적인 PEADL 또는 PECCVD 또는 PEALD-유사 공정 또는 PEFCVD에서, 기판, 예를 들어, 비제한적으로, 산화규소, 폴리규소, 게르마늄으로 도핑된 규소, 붕소로 도핑된 규소, 게르마늄, 탄소 도핑된 산화규소, 가요성 기판, 또는 질화금속 기판은 실라사이클로알칸이 기판의 표면 상에 화학적으로 흡착할 수 있게 하기 위해 초기에 질화규소 전구체에 노출되는 반응 챔버에서 가열기 스테이지 상에서 가열된다. 퍼지 가스, 예를 들어, 질소, 아르곤, 또는 다른 불활성 가스는 공정 챔버로부터 흡수되지 않은 과량의 실라사이클로알칸을 퍼징시킨다. 충분한 퍼징 후에, 질소-함유 소스는 흡수된 표면과 반응하기 위해 반응 챔버 내에 도입되고, 이후에, 챔버로부터 반응 부산물을 제거하기 위해 다른 가스 퍼징될 수 있다. 공정 사이클은 요망되는 막 두께를 달성하기 위해 반복될 수 있다. 다른 구체예에서, 진공 하에서의 펌핑은 공정 챔버로부터 흡수되지 않은 과량의 실라사이클로알칸을 제거하기 위해 사용될 수 있으며, 펌핑 하에서 충분한 배기 후에, 플라스마 소스는 흡수된 표면과 반응하기 위해 반응 챔버 내에 도입되고 이후에, 챔버로부터 반응 부산물을 제거하기 위해 다른 펌핑 다운 퍼지(pumping down purge)가 수행될 수 있다.
일 양태에서, 규소 및 탄소를 포함하는 유전체 막을 형성하는 방법으로서,
a. 반응기에 기판을 제공하는 단계;
b. 반응기 내에 하기 화학식 IA의 구조로 표현되는 화합물 및 하기 화학식 IB의 구조로 표현되는 화합물로 이루어진 군으로부터 선택된 적어도 하나의 실라사이클로알칸 전구체를 도입하는 단계로서, 적어도 하나의 실라사이클로알칸 전구체는 화학흡착층을 제공하기 위해 기판의 표면의 적어도 일부분 상에서 반응하는 단계;
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 화학흡착층의 적어도 일부분과 반응하고 적어도 하나의 반응성 사이트를 제공하기 위해 반응기 내에 플라스마 함유 소스를 도입하는 단계로서, 플라스마는 약 0.01 내지 약 1.5 W/㎠ 범위의 출력 밀도에서 발생되는 단계; 및
e. 임의적으로, 반응기를 불활성 가스로 퍼징하는 단계를 포함하며,
단계 b 내지 단계 e는 탄화규소 막의 요망되는 두께가 수득될 때까지 반복되는 방법이 제공된다:
Figure 112020037612162-pct00009
상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 및 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택되며; R2는 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기, 및 환형 C3 내지 C10 알킬 기로 이루어진 군으로부터 선택된다.
아르곤, 희가스, 및/또는 다른 불활성 가스의 흐름은 전구체 펄스화 동안 반응 챔버에 적어도 하나의 실라사이클로알칸 전구체의 증기를 전달하는 데 도움을 주기 위해 캐리어 가스로서 사용될 수 있다. 특정 구체예에서, 반응 챔버 공정 압력은 약 10 Torr 이하이다. 다른 구체예에서, 반응 챔버 공정 압력은 약 2 Torr 이하이다. 방법의 특정 구체예에서, 플라스마는 수소 플라스마, 수소/헬륨, 수소/아르곤 플라스마, 수소/네온 플라스마 및 이들의 혼합물로 이루어진 군으로부터 선택된 수소를 포함한다. 일부 구체예에서, 플라스마는 헬륨 플라스마, 아르곤 플라스마, 네온 플라스마 및 이들의 혼합물로 이루어진 군으로부터 선택된 불활성 가스를 포함한다.
추가 양태에서, 본 발명은 기판의 적어도 표면 상에 규소, 질소, 및 탄소를 포함하는 유전체 막을 형성하는 방법으로서,
a. 반응기에 기판을 제공하는 단계;
b. 반응기 내에 상기 화학식 IA의 구조로 표현되는 화합물 및 상기 화학식 IB의 구조로 표현되는 화합물로 이루어진 군으로부터 선택된 적어도 하나의 실라사이클로알칸 전구체를 도입하는 단계로서, 적어도 하나의 실라사이클로알칸 전구체는 화학흡착층을 제공하기 위해 기판의 표면의 적어도 일부분 상에서 반응하는 단계;
c. 반응기를 질소, 희가스, 및 이들의 조합물로부터 선택된 적어도 하나를 포함하는 퍼지 가스로 퍼징하는 단계;
d. 화학흡착층의 적어도 일부분과 반응하고 적어도 하나의 반응성 사이트를 제공하기 위해 반응기 내에 질소 소스를 포함하는 플라스마를 도입하는 단계로서, 플라스마는 약 0.01 내지 약 1.5 W/㎠ 범위의 출력 밀도에서 발생되는 단계; 및
e. 임의적으로, 반응기를 불활성 가스로 퍼징하는 단계를 포함하며,
단계 b 내지 단계 e는 질화규소 막의 요망되는 두께가 수득될 때까지 반복되는 방법이 제공된다:
Figure 112020037612162-pct00010
상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 및 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택되며; R2는 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기, 및 환형 C3 내지 C10 알킬 기로 이루어진 군으로부터 선택된다. 일부 구체예에서, 질소 소스를 포함하는 플라스마는 반응기 내에 적어도 하나의 질소 소스 형태로 도입될 수 있고/있거나 증착 공정에서 사용되는 다른 전구체에 부수적으로 존재할 수 있다. 적합한 질소-함유 소스 가스는 예를 들어, 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소 플라스마, 질소/수소, 질소/헬륨, 질소/아르곤 플라스마, 암모니아 플라스마, 질소/암모니아 플라스마, 암모니아/헬륨 플라스마, 암모니아/아르곤 플라스마, 암모니아/질소 플라스마, NF3 플라스마, 오가노아민 플라스마, 및 이들의 혼합물을 포함할 수 있다. 다른 구체예에서, 플라스마는 수소 플라스마, 헬륨 플라스마, 네온 플라스마, 아르곤 플라스마, 제논 플라스마, 수소/헬륨 플라스마, 수소/아르곤 플라스마 및 이들의 혼합물로 이루어진 군으로부터 선택된다.
상기 단계는 본원에 기술된 방법에 대해 하나의 사이클을 규정하며, 이러한 사이클은 질화규소 막의 요망되는 두께가 수득될 때까지 반복될 수 있다. 이러한 또는 다른 구체예에서, 본원에 기술된 방법의 단계가 다양한 순서로 수행될 수 있거나, 순차적으로 또는 동시에(예를 들어, 다른 단계의 적어도 일부분 동안) 수행될 수 있거나, 이들의 임의의 조합으로 수행될 수 있는 것으로 이해된다. 전구체 및 산소-함유 소스를 공급하는 개개 단계는 얻어진 질화규소 막의 화학양론적 조성을 변경시키기 위해 이를 공급하기 위한 시간을 변화시킴으로써 수행될 수 있지만, 항상, 입수 가능한 규소에 대한 화학양론적 양 미만으로 질소를 사용한다.
추가 양태에서, 본 발명은 기판의 표면 상에, 또는 기판 표면 내에 에칭된 피쳐 내에 규소, 탄소, 질소 및 수소를 포함하는 유전체 막을 형성하는 방법으로서,
a. 반응기에 기판을 제공하는 단계;
b. 반응기 내에, 상기 화학식 IA의 구조로 표현되는 화합물 및 상기 화학식 IB의 구조로 표현되는 화합물로 이루어진 군으로부터 선택된 적어도 하나의 실라사이클로알칸 전구체를 도입하는 단계로서, 적어도 하나의 실라사이클로알칸 전구체는 원격 플라스마 소스로부터 발생된 자유 라디칼과 반응하는 단계를 포함하는 방법에 관한 것이다:
Figure 112020037612162-pct00011
상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 및 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택되며; R2는 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기, 및 환형 C3 내지 C10 알킬 기로 이루어진 군으로부터 선택된다.
실라사이클로알칸 전구체는 액체로부터 인출된 증기상을 통해 공정 챔버로 전달되거나 액체를 증발시키는 가열된 주입기로 액체로서 전달되며, 이는 기판 상의 공정 공간으로 진입하기 전에 자유 라디칼로부터 실라사이클로알칸 전구체를 분리시키는 이중 플레넘 샤워헤드 내에 캐리어 가스에 의해 제거된다. 동시에, RPS는 암모니아(NH3)와 같은 반응물을 해리하기 위해 사용되며, 이러한 반응성 라디칼은 충돌 시에 냉각된 기판이 응축하고 에칭된 피쳐 내로 유동하는 올리고머일 수 있는 반응성 종을 생성시키기 위해 실라사이클로알칸 전구체와 반응하도록, 샤워헤드에서 별개의 홀들을 통해 공정 챔버로 전달된다. 특정 구체예에서, 이러한 공정은 피쳐 내로의 유동성을 개선시키기 위해 반복될 수 있다.
유동성 막의 증착 후에, 웨이퍼는 후속하여, 저분자량 반응성 종을 증발시키기 위해 약 200℃ 내지 약 400℃, 바람직하게는 300℃의 온도로 가열된다. 더 높은 에너지 소스, 예를 들어, UV에 대한 후속 노출은 막을 조밀화하고 가교시키기 위해 이용된다. PEFCVD 막은 1.2 내지 2.2 g/㎤ 범위의 밀도를 갖는 경향이 있다.
본원에 기술된 방법의 특정 구체예에서, 단계 b 내지 e는 약 0.1 내지 약 500 Å, 또는 약 0.1 내지 약 5 Å, 또는 약 0.1 내지 약 10 Å, 또는 약 0.1 내지 약 50 Å, 또는 0.1 내지 100 Å 범위의 두께를 갖는 규소 및 탄소를 포함하는 유전체 막 또는 규소, 질소, 및 탄소를 포함하는 유전체 막을 제공하기 위해 반복된다. 본원에 기술된 방법의 특정의 일 구체예에서, 수소를 포함하는 플라스마는 실라사이클로알칸과 표면 간의 반응으로부터 생성된 탄화수소를 제거하는 데 도움을 주기 위해 단계 d 전에 삽입될 수 있다. 수소를 포함하는 플라스마는 수소 플라스마, 수소/헬륨, 수소/아르곤 플라스마, 수소/네온 플라스마 및 이들의 혼합물로 이루어진 군으로부터 선택된다.
일 양태에서, 플라스마 강화 유동성 화학적 증기 증착을 통해 규소 및 탄소를 포함하는 유전체 막을 증착시키는 방법으로서,
표면 피쳐를 포함하는 기판을 -20℃ 내지 약 200℃ 범위의 하나 이상의 온도인 반응기 내에 배치시키는 단계;
반응기 내에 하기 화학식 IA의 구조로 표현되는 화합물 및 하기 화학식 IB의 구조로 표현되는 화합물로 이루어진 군으로부터 선택된 적어도 하나의 실라사이클로알칸 전구체를 도입하는 단계; 및
제1 및 제2 화합물을 적어도 부분적으로 반응시켜 유동성 액체를 형성하기 위해 반응기 내에 플라스마 소스를 제공하는 단계로서, 유동성 액체는 표면 피쳐의 일부분을 적어도 부분적으로 충전하는 단계를 포함하는 방법이 제공된다:
Figure 112020037612162-pct00012
상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 및 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택되며; R2는 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기, 및 환형 C3 내지 C10 알킬 기로 이루어진 군으로부터 선택된다. 유동성 액체는 적어도 하나의 올리고머를 포함한다.
상기 단계는 본원에 기술된 방법에 대해 하나의 사이클을 규정하며, 이러한 사이클은 규소-함유 막의 요망되는 두께가 수득될 때까지 반복될 수 있다. 하나의 특정 구체예에서, 기판 온도는 더 높은 온도에서 형성된 것보다 작은 분자량을 갖는 얻어진 폴리머 종을 유지시키기 위해 약 -20℃ 내지 약 200℃, 및 바람직하게는 약 -20℃ 내지 약 100℃ 이하이며, 이에 따라, 더 작은 피쳐를 충전하기 위해 더욱 유동성이다. 이러한 구체예에서, 플라스마 소스는 인 시튜로 또는 원격으로 발생될 수 있다. 원격 플라스마 소스가 이용될 때, 플라스마는 실라사이클로알칸 전구체의 증기의 전달과 독립적인 샤워헤드에서의 홀들을 통해 공정 챔버 내로 전달되어야 한다. 이러한 구체예의 일부 구체예에서, 하나의 플라스마 소스가 인 시튜로 또는 원격으로 이용되며, 이러한 또는 다른 구체예에서, 인 시튜 및 원격 플라스마 둘 모두가 이용될 수 있다. 이러한 또는 다른 구체예에서, 본원에 기술된 방법의 단계가 다양한 순서로 수행될 수 있고, 순차적으로 또는 동시에(예를 들어, 다른 단계의 적어도 일부분 동안) 수행될 수 있고, 이들의 임의의 조합으로 수행될 수 있는 것으로 이해된다. 화합물 및 다른 시약을 공급하는 개개 단계는 얻어진 규소-함유 막의 화학양론적 조성을 변경시키기 위해 공급하는 시간을 변화시킴으로써 수행될 수 있다.
일 양태에서, 플라스마 강화 유동성 화학적 증기 증착을 통해 규소 및 탄소를 포함하는 유전체 막 또는 규소, 질소 및 탄소를 포함하는 유전체 막을 증착시키는 방법으로서,
표면 피쳐를 포함하는 기판을 -20℃ 내지 약 400℃ 범위의 하나 이상의 온도인 반응기 내에 배치시키는 단계;
반응기 내에 하기 화학식 IA의 구조로 표현되는 화합물 및 하기 화학식 IB의 구조로 표현되는 화합물로 이루어진 군으로부터 선택된 적어도 하나의 실라사이클로알칸 전구체를 도입하는 단계;
반응기 내에 적어도 하나의 Si-H 결합을 갖는 제2 화합물을 도입하는 단계로서, 적어도 하나의 제2 화합물은 하기 화학식 IIA 내지 IIH 및 III으로 이루어진 군으로부터 선택된 단계;
제1 및 제2 화합물을 적어도 부분적으로 반응시켜 유동성 액체 또는 올리고머를 형성하기 위해 반응기 내에 플라스마 소스를 제공하는 단계로서, 유동성 액체 또는 올리고머는 표면 피쳐의 일부분을 부분적으로 충전하는 단계를 포함하는 방법이 제공된다:
Figure 112020037612162-pct00013
[상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 및 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택되며; R2는 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기, 및 환형 C3 내지 C10 알킬 기로 이루어진 군으로부터 선택됨]
IIA. SixH2x +2의 화학식을 갖는 폴리실란 화합물, 여기서, x는 2 내지 6의 숫자임;
IIB. 화학식 R1 mSiH4 -m을 갖는 화합물, 여기서, R1은 수소 및 선형 또는 분지형 C1 내지 C10 알킬 기로부터 선택되며; m은 1, 2 및 3으로부터 선택된 숫자임;
IIC. 화학식 SiH3-R2-SiH3을 갖는 화합물, 여기서, R2는 선형 또는 분지형 C1 내지 C6 알킬렌 기임;
IID. 화학식 R3SiH2-R2-SiH2R4를 갖는 화합물, 여기서, R2는 선형 또는 분지형 C1 내지 C6 알킬렌 기이며; R3은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 및 C4 내지 C10 아릴 기로부터 선택되며; R4는 선형 또는 분지형 C1 내지 C10 알킬 기로부터 선택됨;
IIE. 화학식 (R3R4N)nSiH3 - nR1을 갖는 화합물, 여기서, R1은 수소 및 선형 또는 분지형 C1 내지 C10 알킬 기로부터 선택되며; R3은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 및 C4 내지 C10 아릴 기로부터 선택되며; R4는 선형 또는 분지형 C1 내지 C10 알킬 기로부터 선택됨;
IIF. 실라사이클로알킬 화합물, 예를 들어, 1,3-디실라사이클로부탄 또는 이의 유도체, 또는 1,3,5-트리실라사이클로헥산 및 이의 유도체;
IIG. 트리실릴아민 화합물 또는 이의 유도체; 및
IIH. [(R3R4N)pSiH3 -p]2NR1 또는 [R3 pSiH2 - pNR1]q의 화학식을 갖는 실라잔 화합물, 여기서, R1은 수소 및 선형 또는 분지형 C1 내지 C10 알킬 기로부터 선택되며; R3은 수소, 분지형 C1 내지 C10 알킬 기, 및 C4 내지 C10 아릴 기로부터 선택되며; R4는 선형 또는 분지형 C1 내지 C10 알킬 기로부터 선택되며; p는 0, 1, 2이며; q는 2 또는 3임; 및
III. (R3R4N)SiH2SiH3의 화학식을 갖는 오가노아미노디실란, 여기서, R3은 수소, 분지형 C1 내지 C10 알킬 기, 및 C4 내지 C10 아릴 기로부터 선택되며; R4는 선형 또는 분지형 C1 내지 C10 알킬 기로부터 선택됨.
상기 단계들은 본원에 기술된 방법에 대해 하나의 사이클을 규정하며, 이러한 사이클은 규소-함유 막의 요망되는 두께가 수득될 때까지 반복될 수 있다. 하나의 특정 구체예에서, 기판 온도는 더 높은 온도에서 형성된 것보다 작은 분자량을 갖는 얻어진 폴리머 폴리실라잔 종을 유지시키기 위해 약 100℃ 이하이며, 이에 따라, 더욱 유동성일수록, 더 작은 피쳐들이 충전될 수 있다. 이러한 또는 다른 구체예에서, 본원에 기술된 방법의 단계들이 다양한 순서로 수행될 수 있고, 순차적으로 또는 동시에(예를 들어, 다른 단계의 적어도 일부분 동안) 수행될 수 있고, 이들의 임의의 조합으로 수행될 수 있는 것으로 이해된다. 화합물 및 다른 시약을 공급하는 개개 단계는 얻어진 규소-함유 막의 화학양론적 조성을 변경하기 위해 이를 공급하는 시간을 변화시킴으로써 수행될 수 있다. 특정 구체예에서, 규소 함유 막이 증착된 후에, 기판은 질화규소 또는 탄화규소 막이 산화규소, 산질화규소, 또는 탄소 도핑된 산화규소 막을 형성하게 하기에 충분한 특정 공정 조건 하에서 산소-함유 소스로 임의적으로 처리된다. 산소-함유 소스는 물(H2O), 산소(O2), 과산화수소(H2O2), 산소 플라스마, 오존(O3), NO, N2O, 일산화탄소(CO), 이산화탄소(CO2), N2O 플라스마, 일산화탄소(CO) 플라스마, 이산화탄소(CO2) 플라스마, 및 이들의 조합으로 이루어진 군으로부터 선택될 수 있다.
임의의 상기 구체예에서 또는 대안적인 구체예에서, 유동성 액체 또는 올리고머는 물질의 적어도 일부분을 조밀화시키기 위해 약 100℃ 내지 약 1000℃ 범위의 하나 이상의 온도에서 처리된다.
일부 구체예에서, 열처리후 물질은 조밀한 막을 형성하기 위해 플라스마, 적외선광, 화학적 처리, 전자빔, 또는 UV 광에 노출된다. 본 발명의 일 구체예에서, UV 광 노출에 대한 노출을 포함하는 후처리는 유전체 막을 조밀화하기 위해 탄화수소, 또는 실란 가스상 부산물을 방출시키는 조건 하에서 수행된다.
특정 구체예에서, 얻어진 탄화규소 또는 탄질화규소 함유 막 또는 코팅은 막의 하나 이상의 성질에 영향을 미치기 위하여 플라스마 처리, 화학적 처리, 자외선광 노출, 진공 UV 노출, 엑시머 레이저 노출, 전자빔 노출, 및/또는 다른 처리와 같은 그러나 이로 제한되지 않는 증착후 처리에 노출될 수 있다. 본원에 기술된 방법의 특정 일 구체예에서, 수소를 포함하는 플라스마는 에칭률을 감소시킬 뿐만 아니라 밀도를 증가시키기 위해 증착시 질화규소 막에 증착후 처리로서 사용될 수 있다. 수소를 포함하는 플라스마는 수소 플라스마, 수소/헬륨, 수소/아르곤 플라스마, 수소/네온 플라스마 및 이들의 혼합물로 이루어진 군으로부터 선택된다.
특정 구체예에서, 본원에 기술된 탄화규소 또는 탄질화규소 함유 막은 6 이하의 유전 상수를 갖는다. 이러한 또는 다른 구체예에서, 막은 수은 프로브 기술에 의해 측정한 경우에, 약 5 이하, 또는 약 4 이하, 또는 약 3.5 이하의 유전 상수를 가질 수 있다. 그러나, 다른 유전 상수(예를 들어, 더 높거나 더 낮음)를 갖는 막이 막의 요망되는 최종 용도에 따라 형성될 수 있다는 것이 예상된다. 본원에 기술된 실라사이클로알칸 전구체 및 공정을 사용하여 형성된 탄화규소 또는 탄질화규소 막의 예는 포뮬레이션 SixOyCzNvHw를 가지며, 여기서, 예를 들어, x선 광전자 분광법(XPS) 또는 2차 이온 질량 분광법(SIMS)에 의해 측정한 경우, Si는 약 10 원자퍼센트 중량% 내지 약 50 원자퍼센트 중량%의 범위이며, O는 약 0 원자퍼센트 중량% 내지 약 10 원자퍼센트 중량%의 범위이며; C는 약 0 원자퍼센트 중량% 내지 약 20 원자퍼센트 중량%의 범위이며; N은 약 10 원자퍼센트 중량% 내지 약 75 원자퍼센트 중량% 또는 약 10 원자퍼센트 중량% 내지 60 원자퍼센트 중량%의 범위이며; H는 약 0 원자퍼센트 중량% 내지 약 10 원자퍼센트 중량%의 범위이며, 여기서, x+y+z+v+w는 100 원자 중량%이다.
막이 희가스를 포함하는 플라스마를 사용하여 증착하는 특정의 일 구체예에서, 질화규소 막은 예를 들어, XPS 또는 다른 수단에 의해 측정한 경우, 약 5% 내지 약 50% 탄소 원자량 퍼센트를 포함한다. 이러한 특정 구체예에서, 약 10 원자퍼센트 중량% 내지 약 40 원자퍼센트 중량%의 범위이며; O가 약 0 원자퍼센트 중량% 내지 약 5 원자퍼센트 중량%의 범위이며; N이 약 10 원자퍼센트 중량% 내지 약 75 원자퍼센트 중량% 또는 약 10 원자퍼센트 중량% 내지 50 원자퍼센트 중량%의 범위이며; H가 약 0 원자퍼센트 중량% 내지 약 10 원자퍼센트 중량% 범위인 질화규소 막은 Si가 또한 포함되며, 여기서, 막의 전체 중량 퍼센트는 총 100 원자 중량 퍼센트가 된다.
명세서 전반에 걸쳐, 본원에서 사용되는 용어 "유전체 막"은 화학양론적 또는 비-화학양론적 탄화규소, 탄질화규소, 규소 카복시니트라이드, 및 이들의 혼합물로 이루어진 군으로부터 선택된 막을 지칭한다.
명세서 전반에 걸쳐, 본원에서 사용되는 용어 "금속 알킬"은 적어도 하나의 금속-탄소 결합을 갖는 시약, 예를 들어, MR2(여기서, M은 Li, Na, K로부터 선택된 금속임) 또는 XMR2(X는 Cl, Br, 또는 I이며, M은 Mg 또는 Ca임)를 지칭한다.
상기에서 언급된 바와 같이, 본원에 기술된 방법은 기판의 적어도 일부분 상에 질화규소 막을 증착시키기 위해 이용될 수 있다. 적합한 기판의 예는 규소, 규소/게르마늄, 게르마늄, III/V 물질, SiO2, Si3N4, OSG, FSG, 탄화규소, 수소화된 탄화규소, 질화규소, 수소화된 질화규소, 탄질화규소, 수소화된 탄질화규소, 보로니트라이드, 반사방지 코팅, 포토레지스트, 가요성 기판, 예를 들어, IGZO, 유기 폴리머, 다공성 유기 및 무기 물질, 금속, 예를 들어, 구리 및 알루미늄, 확산 배리어 층, 예를 들어, 비제한적으로, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN을 포함하지만, 이로 제한되지 않는다. 막은 다양한 후속 가공 단계, 예를 들어, 예컨대, 화학적 기계적 평탄화(CMP) 및 이방성 에칭 공정과 양립 가능하다.
증착된 막은 컴퓨터 칩, 광학 소자, 자기 정보 저장장치, 지지 물질 또는 기판 상의 코팅, 마이크로전자기계적 시스템(MEMS), 나노전자기계적 시스템, 박막 트랜지스터(TFT), 발광 다이오드(LED), 유기 발광 다이오드(OLED), IGZO, 및 액정 디스플레이(LCD)를 포함하지만, 이로 제한되지 않는 적용을 갖는다.
하기 실시예는 본원에 기술된 질화규소 막을 증착시키는 방법을 예시하고, 어떠한 방식으로도 여기에 첨부된 청구범위를 제한하도록 의도되지 않는다.
실시예
하기 실시예에서, 달리 기술하지 않는 한, 중간 저항률(14 내지 17 Ω-cm) 단결정 규소 웨이퍼 기판 상에 증착된 샘플 막으로부터 성질을 획득하였다. 모든 막 증착을 13.56 MHz 직접 플라스마와 함께 샤워헤드 설계를 갖는 상업적 반응기를 이용하여 수행하였다. 통상적인 공정 조건에서, 달리 기술하지 않는 한, 챔버 압력을 약 1 내지 약 10 Torr 범위의 압력으로 고정하였다. 챔버 압력을 유지하기 위해 추가적인 불활성 가스를 사용하였다. 실라사이클로알칸 전구체를 증기 인출(즉, 아르곤이 전혀 사용되지 않음)을 이용하여 전달하였다. 이용되는 통상적인 RF 출력은 0.7 W/㎠의 출력 밀도를 제공하기 위해 150 mm 웨이퍼의 전극 면적에 걸쳐 125 W이었다. 막 증착은 열적 ALD 및 플라스마 강화 ALD 각각에 대해 표 1에 나열된 단계를 포함하였다. 표 1에서 단계 1 내지 단계 4는 하나의 PEALD 사이클을 구성하고, 달리 기술하지 않는 한, 요망되는 막 두께를 수득하기 위해 총 300회 반복되었다.
표 1. PEALD 탄화규소 막에서 사용되는 단계
Figure 112020037612162-pct00014
증착된 막에 대한 반응 지수(RI) 및 두께를 엘립소미터를 이용하여 측정하였다. 막 비균일성을 표준 방정식을 이용하여 계산하였다: %비균일성 = ((최대 두께 - 최소 두께)/(2*평균(avg) 두께)). 막 구조 및 조성을 푸리에 변환 적외선(FTIR) 분광법 및 X선 광전자 분광법(XPS)을 이용하여 분석하였다. 막에 대한 밀도를 X선 반사측정법(XRR)으로 측정하였다.
실시예 1: 1,1,3,3-테트라비닐-1,3-디실라사이클로부탄의 합성
THF 중 비닐 마그네슘 클로라이드 용액(4 L, 1.6 M)을 기계 교반기, 열전대 보호관(thermowell), 콘덴서 및 첨가 깔대기가 장착된 12 L 플라스크 내에 채웠다. 헥산(500 mL) 중 1,1,3,3-테트라클로로-1,3-디실라사이클로부탄(339.0 g 1.5 mol) 용액을 플라스크에, 반응 온도가 60℃ 미만으로 유지되는 첨가 속도로 서서히 첨가하였다. 첨가가 완료된 후에, 플라스크를 4시간 동안 60℃로 가열하고, 실온까지 냉각하였다. 교반하면서, 냉수(1.5 L)를 첨가 깔대기를 통해 플라스크에 서서히 첨가하였다. 2개의 액체 층이 형성되었다. 유기층을 분리하고, Na2SO4 상에서 건조시켰다. 증류에 의한 용매의 제거 후에, 생성물을 진공 하에서 증류하였다. 185.0 g 생성물을 수득하였다. 수율: 65.4%. DSC로 측정된 기준 비등점(normal boiling point)은 201.5℃이었다.
실시예 2: 1,3-디비닐-1,3-디메틸-1,3-디실라사이클로부탄의 합성
THF 중 비닐 마그네슘 클로라이드 용액(3 L, 1.6 M)을 기계 교반기, 열전대 보호관, 콘덴서 및 첨가 깔대기가 장착된 12 L 플라스크 내에 채웠다. 헥산(500 mL) 중 1,3-디에톡시-1,3-디메틸-1,3-디실라사이클로부탄(408.0 g 2.0 mol)을 플라스크에, 반응 온도가 60℃ 미만으로 유지되는 첨가 속도로 서서히 첨가하였다. 첨가가 완료된 후에, 플라스크를 4시간 동안 60℃로 가열하고, 실온까지 냉각하였다. 교반하면서, 냉수(1.5 L)를 플라스크에 첨가 깔대기를 통해 서서히 첨가하였다. 2개의 액체 층이 형성되었다. 유기층을 분리하고, Na2SO4 상에서 건조시켰다. 증류에 의한 용매의 제거 후에, 생성물을 진공 하에서 증류하였다. 261.5 g의 b.p. 62℃/20 mmHg를 갖는 생성물을 수득하였다. 수율: 78.0%.
실시예 3: 1,3-디비닐-1,3-디메틸-실라사이클로부탄 및 수소 플라스마를 사용한 PEALD 탄화규소 막(예언적 실시예)
규소 웨이퍼를 13.56 MHz 직접 플라스마와 함께 샤워헤드 설계가 장착된 상업적 반응기 내에 로딩하고, 2 torr의 챔버 압력과 함께 300℃로 가열하였다. 실라사이클로알칸 전구체로서 1,3-디비닐-1,3-디메틸-실라사이클로부탄을 72℃의 온도에서 증기 인출을 이용하여 반응기 내로 전달하였다. ALD 사이클을 표 1에 제공된 공정 단계를 포함하고, 하기 공정 파라미터를 사용한다:
a. 반응기에 실라사이클로알칸 전구체의 도입
질소 흐름: 1000 sccm
실라사이클로알칸 전구체 펄스: 1초
b. 불활성 가스 퍼지
질소 흐름: 1000 sccm
퍼지 시간: 10초
c. 수소 플라스마의 도입
수소 흐름: 1000 sccm
수소 플라스마 펄스: 125 W의 플라스마 출력으로 10초
d. 퍼지
질소 흐름: 1000 sccm
퍼지 시간: 10초
탄화규소 막을 제공하기 위해 단계 a 내지 단계 d를 500회 사이클 동안 반복하였다.
실시예 4: 1,1,3,3-테트라비닐-1,3-디실라사이클로부탄을 사용한 탄화규소 막의 PEFCVD
규소 웨이퍼를 이중 플레넘 샤워헤드 설계 및 마이크파 기반 원격 플라스마 소스가 장착된 상업적 반응기내에 로딩하였다. 암모니아(NH3)의 해리로부터 원격 플라스마 소스에 의해 생성된 자유 라디칼의 전달과 독립적인 샤워헤드에서 공정 챔버 관통 홀 내에 증기를 전달하기 위해 실라사이클로알칸 전구체로서 1,1,3,3-테트라비닐-1,3-디실라사이클로부탄을 액체 흐름 미터 및 가열된 주입기를 통해 전달하였다. 유동성 막을 증착시키기 위해 사용되는 공정 조건은 하기와 같다:
마이크로파 플라스마 출력 2000W
압력 1 torr
전구체 흐름 2000 mg/분
He 캐리어 흐름 100 sccm
RPS를 통한 NH3 흐름 500 sccm
기판 온도 12℃
증착 시간 120초
증착 후에, 막은 어닐링 챔버로 진공 파괴 없이 웨이퍼를 이동시킴으로써 경화되며, 어닐링 챔버에서, 이는 5분 동안 300℃에서 어닐링되고, 이후에, 다른 챔버로 이동되며, 다른 챔버에서, 이는 10분 동안 400℃의 발열체 온도에서 UV 노출과 함께 경화되었다. 얻어진 막은 도 1에서 확인할 수 있으며, 여기서, 유동성 탄화규소는 피쳐 위에 평면 상부퇴적물(planar overburden)과 함께 하부부터 위로 피쳐를 완전히 충전하는 것으로 나타났다. 도 1에 도시된 막은 X선 광전자 분광법(XPS)에 의해 측정한 경우 하기 조성을 갖는다: 탄소 74.0 원자%, 질소 < 1.0 원자%, 산소 5.0 원자%, 및 규소 20.0 원자%. 암모니아가 원격 플라스마 소스로서 사용되었지만 얻어진 막이 1.0 원자% 미만의 질소를 갖는다는 것은 예상치 못한 것이다.
전구체는 5:1의 탄소 대 규소 비를 갖는다. 증착된 및 경화된 막은 < 4:1의 탄소 대 규소 비를 갖는다. 전개된 공정 조건과 조합된 전구체는 전구체에 비해 막의 총 탄소 함량의 감소를 허용하였다.
본 발명의 특정 원리가 양태 또는 구체예와 관련하여 상술되었지만, 이러한 설명이 단지 일 예로서 이루어지고 본 발명의 범위를 제한하는 것이 아닌 것으로 명확하게 이해되어야 한다.

Claims (30)

  1. 기판의 적어도 표면 상에 규소 및 탄소를 포함하는 유전체 막을 형성시키는 방법으로서,
    a. 반응기에 기판을 제공하는 단계;
    b. 상기 반응기 내에 하기 화학식 IA의 구조로 표현되는 적어도 하나의 실라사이클로알칸 전구체를 도입하는 단계로서, 상기 적어도 하나의 실라사이클로알칸 전구체는 화학흡착층을 제공하기 위해 상기 기판의 표면의 적어도 일부분 상에서 반응하는 단계;
    c. 상기 반응기를 퍼지 가스로 퍼징하는 단계;
    d. 상기 화학흡착층의 적어도 일부분과 반응하고 적어도 하나의 반응성 사이트를 제공하기 위해 상기 반응기 내에 플라스마 함유 소스 및 불활성 가스를 도입하는 단계로서, 상기 플라스마는 0.01 내지 1.5 W/㎠ 범위의 출력 밀도를 발생시키는 단계; 및
    e. 상기 반응기를 불활성 가스로 퍼징하는 단계를 포함하며,
    단계 b 내지 단계 e는 상기 유전체 막의 요망되는 두께가 수득될 때까지 반복되는 방법:
    Figure 112022006474009-pct00019

    상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 및 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택되며; R2는 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기, 및 환형 C3 내지 C10 알킬 기로 이루어진 군으로부터 선택된다.
  2. 제1항에 있어서, 적어도 하나의 실라사이클로알칸 전구체가 1,3-디비닐-1,3-디실라사이클로부탄, 1,3-디비닐-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라비닐-1,3-디실라사이클로부탄, 1,3-디알릴-1,3-디실라사이클로부탄, 1,3-디알릴-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라알릴-1,3-디실라사이클로부탄, 1,3-디아세틸레닐-1,3-디실라사이클로부탄, 1,3-디아세틸레닐-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라아세틸레닐-1,3-디실라사이클로부탄, 1,3-디사이클로프로필-1,3-디실라사이클로부탄, 1,3-디사이클로프로필-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라사이클로프로필-1,3-디실라사이클로부탄 및 이들의 조합물로 이루어진 군으로부터 선택된 방법.
  3. 제1항에 있어서, 플라스마 함유 소스가 수소 플라스마, 수소/헬륨, 수소/아르곤 플라스마, 수소/네온 플라스마, 헬륨 플라스마, 아르곤 플라스마, 네온 플라스마, 및 이들의 혼합물로 이루어진 군으로부터 선택된 방법.
  4. 제1항에 있어서, 유전체 막이 2.2 g/cc 이상의 밀도를 갖는 방법.
  5. 제1항에 있어서, 방법이 플라스마 강화 원자층 증착(plasma enhanced atomic layer deposition), 플라스마 강화 사이클릭 화학적 증기 증착(plasma enhanced cyclic chemical vapor deposition), 및 플라스마 강화 유동성 화학적 증기 증착(plasma enhanced flowable chemical vapor deposition)으로 이루어진 군으로부터 선택된 적어도 하나의 증기 증착 공정인 방법.
  6. 제1항에 있어서, 방법이 400℃ 이하의 하나 이상의 온도에서 수행되는 방법.
  7. 제1항에 있어서, 방법이 300℃ 이하의 하나 이상의 온도에서 수행되는 방법.
  8. 기판의 적어도 표면 상에 탄화규소 또는 탄질화규소 막을 형성시키는 방법으로서,
    a. 반응기에 기판을 제공하는 단계;
    b. 상기 반응기 내에 1,3-디비닐-1,3-디실라사이클로부탄, 1,3-디비닐-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라비닐-1,3-디실라사이클로부탄, 1,3-디알릴-1,3-디실라사이클로부탄, 1,3-디알릴-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라알릴-1,3-디실라사이클로부탄, 1,3-디아세틸레닐-1,3-디실라사이클로부탄, 1,3-디아세틸레닐-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라아세틸레닐-1,3-디실라사이클로부탄, 1,3-디사이클로프로필-1,3-디실라사이클로부탄, 1,3-디사이클로프로필-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라사이클로프로필-1,3-디실라사이클로부탄 및 이들의 조합물로 이루어진 군으로부터 선택된 적어도 하나의 실라사이클로알칸 전구체를 도입하는 단계로서, 상기 적어도 하나의 실라사이클로알칸은 화학흡착층을 제공하기 위해 상기 기판의 표면의 적어도 일부분 상에서 반응하는 단계;
    c. 상기 반응기를 질소, 희가스, 및 이들의 조합물로부터 선택된 적어도 하나를 포함하는 퍼지 가스로 퍼징하는 단계;
    d. 상기 화학흡착층의 적어도 일부분과 반응하고 적어도 하나의 반응성 사이트를 제공하기 위해 상기 반응기 내에 플라스마 함유 소스를 도입하는 단계로서, 상기 플라스마는 0.01 내지 1.5 W/㎠ 범위의 출력 밀도에서 발생되는 단계; 및
    e. 상기 반응기를 불활성 가스로 퍼징하는 단계를 포함하며,
    단계 b 내지 단계 e는 질화규소 막의 요망되는 두께가 수득될 때까지 반복되는 방법.
  9. 제8항에 있어서, 탄화규소 또는 탄질화규소 막이 2.2 g/cc 이상의 밀도를 갖는 방법.
  10. 제8항에 있어서, 방법이 플라스마 강화 원자층 증착, 플라스마 강화 사이클릭 화학적 증기 증착, 및 플라스마 강화 유동성 화학적 증기 증착으로 이루어진 군으로부터 선택된 증기 증착 공정인 방법.
  11. 제8항에 있어서, 방법이 400℃ 이하의 온도에서 수행되는 방법.
  12. 제8항에 있어서, 방법이 300℃ 이하의 온도에서 수행되는 방법.
  13. 제8항에 있어서, 방법이 100℃ 이하의 온도에서 수행되는 방법.
  14. 제8항에 있어서, 플라스마 함유 소스가 수소 플라스마, 수소/헬륨, 수소/아르곤 플라스마, 수소/네온 플라스마, 헬륨 플라스마, 아르곤 플라스마, 네온 플라스마, 질소/아르곤 플라스마, 암모니아 플라스마, 질소/암모니아 플라스마, 암모니아/헬륨 플라스마, 암모니아/아르곤 플라스마, 암모니아/질소 플라스마, NF3 플라스마, 오가노아민 플라스마(organoamine plasma), 및 이들의 혼합물로 이루어진 군으로부터 선택된 방법.
  15. 1,3-디비닐-1,3-디실라사이클로부탄, 1,3-디비닐-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라비닐-1,3-디실라사이클로부탄, 1,3-디알릴-1,3-디실라사이클로부탄, 1,3-디알릴-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라알릴-1,3-디실라사이클로부탄, 1,3-디아세틸레닐-1,3-디실라사이클로부탄, 1,3-디아세틸레닐-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라아세틸레닐-1,3-디실라사이클로부탄, 1,3-디사이클로프로필-1,3-디실라사이클로부탄, 1,3-디사이클로프로필-1,3-디메틸-1,3-디실라사이클로부탄, 및 1,1,3,3-테트라사이클로프로필-1,3-디실라사이클로부탄으로 이루어진 군으로부터 선택된 적어도 하나의 실라사이클로알칸 전구체를 포함하며,
    상기 실라사이클로알칸 전구체에는 할라이드, 물, 금속 이온, 및 이들의 조합물로 이루어진 군으로부터 선택된 하나 이상의 불순물이 실질적으로 존재하지 않는, 규소-함유 유전체 막의 증기 증착을 위한 조성물.
  16. 제15항에 있어서, 할라이드가 클로라이드 이온을 포함하는 조성물.
  17. 제15항에 있어서, 클로라이드 이온 농도가 50 ppm 미만인 조성물.
  18. 제15항에 있어서, 클로라이드 이온 농도가 10 ppm 미만인 조성물.
  19. 제15항에 있어서, 클로라이드 이온 농도가 5 ppm 미만인 조성물.
  20. 삭제
  21. 삭제
  22. 플라스마 강화 유동성 화학적 증기 증착을 통해 규소 및 탄소를 포함하는 유전체 막을 증착시키는 방법으로서,
    표면 피쳐(surface feature)를 포함하는 기판을 -20℃ 내지 200℃ 범위의 하나 이상의 온도에 있는 반응기 내에 배치시키는 단계;
    상기 반응기 내에, 하기 화학식 IA의 구조로 표현되는 적어도 2개의 실라사이클로알칸 전구체를 도입하는 단계;
    적어도 2개의 실라사이클로알칸 전구체를 적어도 부분적으로 반응시켜 유동성 액체(flowable liquid)를 형성하기 위해 상기 반응기 내에 플라스마 소스를 제공하는 단계로서, 상기 유동성 액체는 상기 표면 피쳐의 일부를 적어도 부분적으로 충전하는 단계를 포함하는 방법:
    Figure 112022006474009-pct00020

    상기 식에서, R1은 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 및 선형 또는 분지형 C2 내지 C10 알키닐 기로 이루어진 군으로부터 선택되며; R2는 선형 또는 분지형 C2 내지 C6 알케닐 기, 선형 또는 분지형 C2 내지 C6 알키닐 기, 및 환형 C3 내지 C10 알킬 기로 이루어진 군으로부터 선택된다.
  23. 제22항에 있어서, 적어도 하나의 실라사이클로알칸 전구체가 1,3-디비닐-1,3-디실라사이클로부탄, 1,3-디비닐-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라비닐-1,3-디실라사이클로부탄, 1,3-디알릴-1,3-디실라사이클로부탄, 1,3-디알릴-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라알릴-1,3-디실라사이클로부탄, 1,3-디아세틸레닐-1,3-디실라사이클로부탄, 1,3-디아세틸레닐-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라아세틸레닐-1,3-디실라사이클로부탄 1,3-디사이클로프로필-1,3-디실라사이클로부탄, 1,3-디사이클로프로필-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라사이클로프로필-1,3-디실라사이클로부탄 및 이들의 조합물로 이루어진 군으로부터 선택된 방법.
  24. 제22항에 있어서, 증착 공정이 플라스마 강화 화학적 증기 증착이며, 플라스마가 인 시튜(in situ)로 발생되는 방법.
  25. 제22항에 있어서, 증착 공정이 플라스마 강화 화학적 증기 증착이며, 플라스마가 원격으로 발생되는 방법.
  26. 제22항에 있어서, 증착 공정이 플라스마 강화 화학적 증기 증착이며, 플라스마가 이중 플라스마 소스를 포함하며, 여기서, 하나의 소스는 인 시튜로 발생되며, 하나의 소스는 원격으로 발생되는 방법.
  27. 제22항에 있어서, 반응기가 -20℃ 내지 100℃ 범위의 하나 이상의 온도에 있는 방법.
  28. 제22항에 있어서, 유동성 액체가 적어도 하나의 올리고머를 포함하는 방법.
  29. 제1항의 방법에 의해 생성된 규소 함유 막.
  30. 제22항의 방법에 의해 생성된 규소 함유 막.
KR1020207010524A 2017-09-14 2018-09-11 규소-함유 막을 증착시키기 위한 조성물 및 방법 KR102434249B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762558621P 2017-09-14 2017-09-14
US62/558,621 2017-09-14
PCT/US2018/050414 WO2019055393A1 (en) 2017-09-14 2018-09-11 COMPOSITIONS AND METHODS FOR DEPOSITION OF FILMS CONTAINING SILICON

Publications (2)

Publication Number Publication Date
KR20200040932A KR20200040932A (ko) 2020-04-20
KR102434249B1 true KR102434249B1 (ko) 2022-08-18

Family

ID=65723818

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207010524A KR102434249B1 (ko) 2017-09-14 2018-09-11 규소-함유 막을 증착시키기 위한 조성물 및 방법

Country Status (9)

Country Link
US (2) US11851756B2 (ko)
EP (1) EP3682041B1 (ko)
JP (1) JP7025534B2 (ko)
KR (1) KR102434249B1 (ko)
CN (2) CN111295465B (ko)
IL (1) IL273146A (ko)
SG (1) SG11202002265PA (ko)
TW (1) TWI707979B (ko)
WO (1) WO2019055393A1 (ko)

Families Citing this family (224)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
EP3209813B1 (en) * 2014-10-24 2019-03-13 Versum Materials US, LLC Compositions and methods using same for deposition of silicon-containing film
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7329045B2 (ja) * 2018-10-04 2023-08-17 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 高品質酸化ケイ素薄膜の高温原子層堆積のための組成物
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) * 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
KR20220038750A (ko) * 2019-07-25 2022-03-29 버슘머트리얼즈 유에스, 엘엘씨 실라시클로알칸을 포함하는 조성물 및 이를 이용한 규소 함유 필름의 증착 방법
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
TW202111147A (zh) * 2019-08-12 2021-03-16 美商應用材料股份有限公司 低k介電膜
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11371144B2 (en) * 2020-06-10 2022-06-28 Applied Materials, Inc. Low-k films
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017023693A1 (en) * 2015-07-31 2017-02-09 Air Products And Chemicals, Inc. Compositions and methods for depositing silicon nitride films
WO2017095433A1 (en) * 2015-12-04 2017-06-08 Intel Corporation Liquid precursor based dielectrics with control of carbon, oxygen and silicon composition
WO2017147150A1 (en) * 2016-02-26 2017-08-31 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3816392A1 (de) * 1988-05-13 1989-11-23 Ver Glaswerke Gmbh Verfahren zur bestimmung der optischen qualitaet von flachglas oder flachglasprodukten
US4923716A (en) * 1988-09-26 1990-05-08 Hughes Aircraft Company Chemical vapor desposition of silicon carbide
US5011706A (en) * 1989-04-12 1991-04-30 Dow Corning Corporation Method of forming coatings containing amorphous silicon carbide
US5190890A (en) * 1990-06-04 1993-03-02 Advanced Technology Materials, Inc. Wafer base for silicon carbide semiconductor devices, incorporating alloy substrates, and method of making the same
US5043773A (en) * 1990-06-04 1991-08-27 Advanced Technology Materials, Inc. Wafer base for silicon carbide semiconductor devices, incorporating alloy substrates
US5053255A (en) * 1990-07-13 1991-10-01 Olin Corporation Chemical vapor deposition (CVD) process for the thermally depositing silicon carbide films onto a substrate
US5061514A (en) * 1990-07-13 1991-10-29 Olin Corporation Chemical vapor deposition (CVD) process for plasma depositing silicon carbide films onto a substrate
US5415126A (en) * 1993-08-16 1995-05-16 Dow Corning Corporation Method of forming crystalline silicon carbide coatings at low temperatures
US6440876B1 (en) * 2000-10-10 2002-08-27 The Boc Group, Inc. Low-K dielectric constant CVD precursors formed of cyclic siloxanes having in-ring SI—O—C, and uses thereof
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
JP4280686B2 (ja) * 2004-06-30 2009-06-17 キヤノン株式会社 処理方法
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
TW200730660A (en) 2005-04-08 2007-08-16 Taiyo Nippon Sanso Corp Materials for an insulation film and a method for forming a film using the same
US7754906B2 (en) * 2005-10-07 2010-07-13 Air Products And Chemicals, Inc. Ti, Ta, Hf, Zr and related metal silicon amides for ALD/CVD of metal-silicon nitrides, oxides or oxynitrides
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
JP5317089B2 (ja) * 2008-01-23 2013-10-16 独立行政法人物質・材料研究機構 成膜方法および絶縁膜
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8993460B2 (en) * 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9040127B2 (en) * 2010-09-24 2015-05-26 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20130217239A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing
US8575033B2 (en) * 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8569184B2 (en) * 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
US8722546B2 (en) * 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
US20140023794A1 (en) * 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
WO2014097280A1 (en) * 2012-12-21 2014-06-26 Prasad Narhar Gadgil Methods of low temperature deposition of ceramic thin films
US9343293B2 (en) * 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US10023958B2 (en) * 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US9685325B2 (en) * 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
WO2017048268A1 (en) * 2015-09-17 2017-03-23 Intel Corporation Gap filling material and process for semiconductor devices
KR102613423B1 (ko) * 2015-12-21 2023-12-12 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 막의 증착을 위한 조성물 및 이를 사용하는 방법
US20160314962A1 (en) * 2016-06-30 2016-10-27 American Air Liquide, Inc. Cyclic organoaminosilane precursors for forming silicon-containing films and methods of using the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017023693A1 (en) * 2015-07-31 2017-02-09 Air Products And Chemicals, Inc. Compositions and methods for depositing silicon nitride films
WO2017095433A1 (en) * 2015-12-04 2017-06-08 Intel Corporation Liquid precursor based dielectrics with control of carbon, oxygen and silicon composition
WO2017147150A1 (en) * 2016-02-26 2017-08-31 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film

Also Published As

Publication number Publication date
EP3682041A1 (en) 2020-07-22
IL273146A (en) 2020-04-30
US20200270749A1 (en) 2020-08-27
EP3682041A4 (en) 2021-08-04
SG11202002265PA (en) 2020-04-29
TW201920750A (zh) 2019-06-01
WO2019055393A8 (en) 2020-03-26
EP3682041B1 (en) 2022-04-20
CN115992345A (zh) 2023-04-21
WO2019055393A1 (en) 2019-03-21
KR20200040932A (ko) 2020-04-20
CN111295465B (zh) 2022-12-09
CN111295465A (zh) 2020-06-16
US11851756B2 (en) 2023-12-26
US20240093366A1 (en) 2024-03-21
JP2020534688A (ja) 2020-11-26
JP7025534B2 (ja) 2022-02-24
TWI707979B (zh) 2020-10-21

Similar Documents

Publication Publication Date Title
KR102434249B1 (ko) 규소-함유 막을 증착시키기 위한 조성물 및 방법
CN113403604B (zh) 用于沉积氮化硅膜的组合物和方法
CN113025992B (zh) 组合物和使用所述组合物沉积含硅膜的方法
KR102281913B1 (ko) 질화규소 막을 증착시키는 방법
TWI750577B (zh) 用於含矽膜的組合物及使用其的方法
TWI776666B (zh) 含有矽雜環烷的組合物及使用其沉積含矽膜的方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant