CN116892014A - 用于沉积低介电常数膜的方法与设备 - Google Patents

用于沉积低介电常数膜的方法与设备 Download PDF

Info

Publication number
CN116892014A
CN116892014A CN202311003007.4A CN202311003007A CN116892014A CN 116892014 A CN116892014 A CN 116892014A CN 202311003007 A CN202311003007 A CN 202311003007A CN 116892014 A CN116892014 A CN 116892014A
Authority
CN
China
Prior art keywords
chamber
substrate
processing platform
film
conformal film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202311003007.4A
Other languages
English (en)
Inventor
李宁
Z·孙
M·柏西留
夏立群
B·J·布扬
M·萨利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116892014A publication Critical patent/CN116892014A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

兹描述用以将共形SiOC膜形成于表面上的方法及设备。SiCN膜形成在基板表面上并暴露于蒸气退火工艺,以减低氮含量、升高氧含量并使碳含量维持大约相同。经退火的膜具有膜的湿式蚀刻速率或介电常数中的一或多者。

Description

用于沉积低介电常数膜的方法与设备
本申请是申请日为“2018年4月12日”、申请号为“201880000826.4”、题为“用于沉积低介电常数膜的方法与设备”的分案申请。
技术领域
一般而言,本公开与用于沉积薄膜的设备及方法有关。特别地,本公开与用于使用蒸气退火来选择性地沉积膜的方法有关。
背景技术
通过在基板表面上产生复杂图案化材料层的工艺,可实现集成电路。在基板上产生经图案化材料需要用于沉积和去除材料层的受控的方法。现代半导体制造工艺越来越重视在工艺步骤之间没有空气中断的膜的整合。对于设备制造商而言,这样的要求对允许将各种工艺腔室整合到单一工具中构成挑战。
用于沉积薄膜的一种流行工艺为原子层沉积(ALD)。原子层沉积是一种方法,其中基板暴露于化学吸附到基板表面的前驱物,接着是与化学吸附的前驱物反应的反应物。ALD工艺为自限性的,且可提供膜厚度的分子级控制。然而,由于需要在暴露于前驱物和反应物之间净化反应腔室之故,ALD处理可能是耗时的。
由于对半导体的图案化应用的需求,选择性沉积工艺正被越来越频繁地采用。传统上,已使用各种光刻和蚀刻工艺来完成微电子工业中的图案化。然而,由于光刻正在指数地变得复杂且昂贵,因此使用选择性沉积来沉积特征变得更具吸引力。
随着器件尺寸不断减小到小于10nm的状态,使用光刻技术的传统图案化工艺变得更具挑战性。在较小的器件尺寸下,不精确的图案化和降低的器件性能更为普遍。另外,多重图案化技术也使制造工艺变得复杂且更昂贵。
因此,在本领域中需要用于以相对于不同表面具选择性的方式将膜选择性地沉积到一个表面上的设备和方法。
发明内容
本公开的一或多个实施例针对沉积膜的方法。提供具有基板表面的基板,多个特征形成在基板表面中。各特征自基板表面延伸一距离并具有底部及至少一个侧壁。将共形膜形成在基板表面上。将共形膜暴露于蒸气退火(steam anneal),以形成具有增加的氧含量的经退火共形膜。
本公开的额外实施例针对沉积膜的方法。提供具有基板表面的基板,多个特征形成在基板表面中。各特征自基板表面延伸一距离并具有底部及至少一个侧壁。在原子层沉积腔室中,通过将基板依序暴露于硅前驱物和反应物,而将共形膜形成于基板表面上,所述硅前驱物包含双(三氯硅烷基)甲烷(bis(trichlorosilyl)methane),而所述反应物包含氨。共形膜具有初始碳含量、初始氧含量及初始氮含量。将基板从原子层沉积腔室移动至退火腔室。在约300℃至约500℃的范围中的温度下,且在约585托的水的分压下,将该共形膜暴露于蒸气退火,以形成经退火共形膜,该经退火共形膜具有在约至约/>的范围内的厚度。经退火共形膜具有退火后碳含量、退火后氧含量及退火后氮含量。退火后氮含量小于初始氮含量。退火后氧含量大于初始氧含量。退火后碳含量在初始碳含量的±10%内。经退火共形膜具有:在稀释HF中,就第一分钟而言,小于约/>/分钟的一湿式蚀刻速率、小于或等于约1.5x 10-7A/cm2的漏电流、及小于5的介电常数。
本公开的进一步实施例针对处理平台,所述处理平台包括中央移送站、批处理腔室、蒸气退火腔室及控制器。中央移送站包括多个侧,并具有安置在中央移送站中的机器人。批处理腔室连接至中央移送站的一侧。蒸气退火腔室连接至中央移送站的一侧。控制器耦接至中央移送站、批处理腔室及蒸气退火腔室。控制器具有一或多种配置,该等配置选自:第一配置,用以将基板移动至批处理腔室;第二配置,用以将多种工艺气体提供至批处理腔室,以在批处理腔室中将膜沉积在基板上;第三配置,用以将基板从批处理腔室移动至蒸气退火腔室;第四配置,用以将蒸气退火腔室加热至退火温度;第五配置,用以将水蒸气流提供至蒸气退火腔室;或第六配置,用以从蒸气退火腔室移除基板。
附图说明
可参考多个实施例以更特定地说明以上简要总结的本发明,以更详细了解本发明的上述特征,附图图示说明了其中一些实施例。然而应注意到,附图仅图示说明此发明的典型实施例,且因此不应被视为限制本发明的范围,因为本发明可允许其他等效实施例。
图1绘示根据本公开的一或多个实施例的处理平台的示意图;
图2绘示根据本公开的一或多个实施例的批处理腔室的剖面视图;
图3绘示根据本公开的一或多个实施例的批处理腔室的部分透视图;
图4绘示根据本公开的一或多个实施例的批处理腔室的示意图;
图5绘示根据本公开的一或多个实施例的供在批处理腔室中使用的楔形气体分配组件的一部分的示意图;
图6绘示根据本公开的一或多个实施例的批处理腔室的示意图;
图7A至7C绘示根据本公开的一或多个实施例的选择性沉积方法的示意代表图;以及
图8A至8C绘示根据本公开的一或多个实施例的共形沉积方法的示意代表图。
在附图中,类似的部件和/或特征可以具有相同的组件符号。进一步,可通过在组件符号后跟随破折号和区别类似部件的第二符号来区别相同类型的各种部件。若在说明书中仅使用第一组件符号,则该描述适用于具有相同第一组件符号的任何一个类似部件,无论第二符号为何。
具体实施方式
在说明本发明的数个示例性实施例之前,应了解到本发明不受限于下面说明书中所阐述的构造或处理步骤的细节。本发明能够具有其他实施例,并能够以各种方式实践或执行。
本文所用的“晶片(wafer)”或“基板(substrate)”指的是任何基板或形成在基板上的材料表面,在制造工艺期间于所述基板或材料表面上执行膜处理。举例而言,取决于应用,可在其上执行处理的基板表面包含诸如以下材料:硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂氧化硅、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石,以及任何其它材料(如,金属、金属氮化物、金属合金及其他导电材料)。基板包括,但不限于,半导体晶片。可将基板暴露于预处理工艺,以抛光、蚀刻、还原、氧化、羟化、退火、UV固化、电子束固化和/或烘烤基板表面。除了直接在基板自身的表面上的膜处理以外,在本发明中,亦可对形成于基板上的下层(underlayer)执行所公开的任何膜处理步骤(此将于下文更详细公开),且术语“基板表面(substrate surface)”欲包括如前后文所指示的这种下层。因此,举例而言,在膜/层或部分膜/层已被沉积至基板表面上的情况中,新沉积的膜/层的暴露表面成为基板表面。
对于10nm及以下的半导体制造工艺而言,低介电常数膜的选择性沉积是有吸引力的技术。在其他潜在应用中,对低介电常数间隔层有高度需求,低介电常数间隔层可用于减少栅极与源极/漏极触点之间的寄生电容。选择性沉积意谓着膜生长可基于基板表面的类型而有选择性,例如,在一定的沉积时间或目标厚度内,膜可生长在裸Si表面上但无法生长在氧化硅表面上。选择性沉积可避免或减少一些工艺步骤(如,光刻、蚀刻),以获得图案化结构上的层。通常,选择性沉积使用热沉积工艺,热沉积工艺易于实现3D结构上的沉积共形性,并避免使用反应性等离子体物种而弱化表面反应选择性。然而,热沉积工艺(特别是具有限制在小于550℃的温度者)可能难以制造具有合理的性质、空气稳定性、湿式蚀刻速率、介电常数值等等的膜。因此,本公开的某些实施例有利地提供了能相对于SiO2表面在Si上选择性地沉积膜的方法。某些实施例有利地提供了具低的湿式蚀刻速率的膜的沉积方法。某些实施例有利地提供了具低介电常数值的膜的沉积方法。
本公开的一或多个实施例提供了基于表面终止化学基团,将介电膜选择性地形成在处理中的晶片的某些区域上的方法。可通过传统时域处理,或通过批处理腔室中的空间ALD,来完成原子层沉积(ALD)膜生长。
本公开的某些实施例提供了在基板上形成共形膜的方法。可将共形膜沉积在基板的部分或全部表面上。举例而言,可将共形膜沉积在具有至少一个表面特征(如,沟槽或通孔)的基板上。共形膜在特征的顶部处与在特征的底部处具有相同的厚度。在某些实施例中,膜的共形性被测量为特征的顶部处的厚度相对于特征的底部处的厚度,且可大于或等于约90%、91%、92%、93%、94%、95%、96%、97%或98%。
图1绘示根据本公开的一或多个实施例的处理平台100。图1所示的实施例仅代表一种可能的配置,且不应被视为本公开的范围的限制。举例而言,在某些实施例中,处理平台100具有不同数量的工艺腔室、缓冲腔室及机器人配置。
处理平台100包括中央移送站110,中央移送站110具有多个侧111、112、113、114、115、116。所示的移送站110具有第一侧111、第二侧112、第三侧113、第四侧114、第五侧115及第六侧116。尽管绘示了六个侧,但是本领域技术人员将理解,取决于例如处理平台100的整体配置,移送站110可以具有任何合适数量的侧。
移送站110中安置有机器人117。机器人117可以是能在处理期间移动晶片的任何合适的机器人。在某些实施例中,机器人117具有第一机械臂118和第二机械臂119。第一机械臂118和第二机械臂119可独立于另一机械臂移动。第一机械臂118和第二机械臂119可在x-y平面中移动和/或沿着z轴移动。在某些实施例中,机器人117包括第三机械臂或第四机械臂(未绘示)。各机械臂可独立于其他机械臂移动。
批处理腔室120可连接至中央移送站110的第一侧111。批处理腔室120可经配置以在批次时间内一次处理x个晶片。在某些实施例中,批处理腔室120可经配置以同时处理约4个(x=4)至约12个(x=12)的范围内的晶片。在某些实施例中,批处理腔室120经配置以同时处理6个(x=6)晶片。本领域技术人员将理解,尽管批处理腔室120可在装载/卸除单独晶片之间处理多个晶片,但各个晶片可在任何给定的时间经受不同工艺条件。举例而言,类似于图2至6所示的空间原子层沉积腔室,可将晶片暴露于不同处理区域中的不同工艺条件,致使随着晶片移动通过各区域而完成工艺。
图2绘示处理腔室200的剖面,处理腔室200包括气体分配组件220以及基座组件240,气体分配组件220亦称为注入器或注入器组件。气体分配组件220为在处理腔室中使用的任何类型的气体递送装置。气体分配组件220包括面向基座组件240的前表面221。前表面221可具有任何数量或种类的开口,以朝向基座组件240递送气体流。气体分配组件220也包括外边缘224,在所示实施例中,外边缘224实质上为圆形。
可取决于所使用的特定工艺来改变所使用的气体分配组件220的具体类型。本公开的实施例可与任何类型的处理系统一起使用,其中基座与气体分配组件之间的间隙为受控的。尽管可采用各种类型的气体分配组件(如,喷淋头),但本公开的实施例可对空间气体分配组件(spatial gas distribution assembly)特别有用,所述空间气体分配组件具有多个实质上平行的气体通道。如本说明书与随附权利要求中所使用,术语“实质上平行(substantially parallel)”意指气体通道的延长轴在大体相同的方向上延伸。气体通道的平行性可有些许不完美。在二元反应中,多个实质上平行的气体通道可包括至少一个第一反应性气体A通道、至少一个第二反应性气体B通道、至少一个净化气体P通道和/或至少一个真空V通道。朝晶片的顶表面引导从(多个)第一反应性气体A通道、(多个)第二反应性气体B通道及(多个)净化气体P通道流出的气体。气体流中的一些跨晶片的表面水平地移动,并通过(多个)净化气体P通道离开工艺区域。从气体分配组件的一端向另一端移动的基板将依次暴露于各工艺气体,从而在基板表面上形成层。
在某些实施例中,气体分配组件220为由单一注入器单元所制成的刚性固定体。在一或多个实施例中,如图3所示,气体分配组件220可由多个独立区段(如,注入器单元222)制成。无论单件体或多区段体都可与本公开所描述的各种实施例一起使用。
可将基座组件240安置在气体分配组件220下方。基座组件240包括顶表面241及顶表面241中的至少一个凹部242。基座组件240也具有底表面243及边缘244。根据待处理的基板60的形状与尺寸,凹部242可以是任何合适的形状与尺寸。在图2所示的实施例中,凹部242具有平坦底部,以支撑晶片的底部;然而,凹部的底部可变化。在某些实施例中,凹部具有围绕凹部外周边缘的阶梯区域,阶梯区域的尺寸经设定以支撑晶片的外周边缘。根据,例如,晶片的厚度及已于晶片的背侧上存在的特征,可改变由阶梯所支撑的晶片的外周边缘的量。
在某些实施例中,如图2所示,基座组件240的顶表面241中的凹部242的尺寸经设定,使得支撑于凹部242中的基板60的顶表面61与基座240的顶表面241实质上共平面。如本说明书与随附权利要求所使用,术语“实质上共平面(substantially coplanar)”指的是晶片的顶表面与基座组件的顶表面在±0.2mm内共平面。在某些实施例中,所述顶表面在0.5mm、±0.4mm、±0.35mm、±0.30mm、±0.25mm、±0.20mm、±0.15mm、±0.10mm或±0.05mm内共平面。
图2的基座组件240包括支撑柱260,支撑柱260能够升举、降低以及旋转基座组件240。基座组件可于支撑柱260的中心内包括加热器、或气体管线、或电性部件。支撑柱260可以是增加或减少基座组件240与气体分配组件220之间的间隙从而将基座组件240移入适当的位置的主要构件。基座组件240亦可包含微调致动器262,微调致动器262可对基座组件240进行微小的调整,以在基座组件240与气体分配组件220之间产生预定间隙270。
在某些实施例中,间隙270距离可在以下范围内:约0.1mm至约5.0mm、或为约0.1mm至约3.0mm、或为约0.1mm至约2.0mm、或为约0.2mm至约1.8mm、或为约0.3mm至约1.7mm、或为约0.4mm至约1.6mm、或为约0.5mm至约1.5mm、或为约0.6mm至约1.4mm、或为约0.7mm至约1.3mm、或为约0.8mm至约1.2mm、或为约0.9mm至约1.1mm、或约1mm。
附图所示的处理腔室200为转盘型腔室(carousel-type chamber),其中基座组件240可固持多个基板60。如图3所示,气体分配组件220可包括多个分隔的注入器单元222,在晶片于注入器单元下方移动时,各注入器单元222能够将膜沉积在晶片上。两个派形注入器单元222被绘示为安置在基座组件240的大略相对侧,并在基座组件240上方。仅为了解说目的而绘示此数量的注入器单元222。将理解,可包括更多或更少的注入器单元222。在某些实施例中,有足够数量的派形注入器单元222,以形成与基座组件240的形状相符的形状。在某些实施例中,个别派形注入器单元222的每一者可独立地移动、移除和/或替换,而不会影响任何其他注入器单元222。举例而言,一个区段可被升高,以允许机器人接近介于基座组件240与气体分配组件220之间的区域,以装载/卸除基板60。
可使用具有多个气体注入器的处理腔室来同时处理多个晶片,使得所述晶片经历相同的工艺流。举例而言,如图4所示,处理腔室200具有四个气体注入器组件及四个基板60。在处理开始时,可将基板60安置于气体分配组件220之间。以45゜旋转17基座组件240将使得介于气体分配组件220之间的各基板60被移动至气体分配组件220,以进行膜沉积,如气体分配组件220下方的虚线圆圈所图解。额外的45゜旋转可移动基板60离开气体分配组件220。基板60和气体分配组件220的数量可以相同或相异。在某些实施例中,正在处理的晶片的数量与气体分配组件的数量相同。在一或多个实施例中,正在处理的晶片的数量为气体分配组件的数量的分数或整数倍。举例而言,若有四个气体分配组件,则有4x个正在处理的晶片,其中x为大于或等于1的整数值。在示例性实施例中,气体分配组件220包括由气帘分隔的八个工艺区域,且基座组件240可固持六个晶片。
图4所示的处理腔室200仅代表一种可能的配置,且不应被当作对本公开的范围的限制。在此,处理腔室200包括多个气体分配组件220。在所示实施例中,有四个气体分配组件220(亦称作注入器组件)绕处理腔室200平均地隔开。所示的处理腔室200为八角形;然而,本领域技术人员将了解到这仅是一种可能的形状,且不应被当作对本公开的范围的限制。所示的气体分配组件220为梯型,但可以是单一圆形部件或可由多个派形区段制成(如图3所示)。
图4所示的实施例包括装载锁定腔室(load lock chamber)280,或辅助腔室(如缓冲站)。此腔室280连接至处理腔室200的一侧,以允许(例如)基板(亦称为基板60)被装载至/卸除自腔室200。可将晶片机器人安置在腔室280中,以将基板移动至基座上。
转盘(如,基座组件240)的旋转可以是连续的或间歇的(不连续的)。在连续处理中,晶片持续旋转,使得晶片依次暴露于各注入器。在不连续的处理中,可将晶片移动至注入器区域并停止,且接着被移动至注入器之间的区域84并停止。举例而言,转盘可旋转而使晶片从注入器间区域跨过注入器移动(或在注入器附近停止),并继续到转盘可再次暂停的下一个注入器间区域。注入器之间的暂停可在各层沉积之间提供用于进行额外处理步骤(如,暴露于等离子体)的时间。
图5绘示气体分配组件220的区段或部分,所述区段或部分可称为注入器单元222。可单独地或结合其他注入器单元使用注入器单元222。举例而言,如图6所示,可结合四个图5的注入器单元222来形成单个气体分配组件220。(为了清楚说明,未绘示分隔这四个注入器单元的线。)尽管除了净化气体端口255和真空端口245之外,图5的注入器单元222还具有第一反应性气体端口225和第二气体端口235二者,但注入器单元222不需要这些部件中的所有。
请一并参见图5及6,根据一或多个实施例的气体分配组件220可包含多个区段(或注入器单元222),各区段可相同或相异。气体分配组件220可被安置在处理腔室内,并在气体分配组件220的前表面221中包含多个延长气体端口225、235、245。多个延长气体端口225、235、245、255从气体分配组件220的内周边缘223附近的区块朝向外周边缘224附近的区块延伸。所绘示的多个气体端口包括第一反应性气体端口225、第二气体端口235、真空端口245以及净化气体端口255,其中真空端口245围绕第一反应性气体端口及第二反应性气体端口中的各者。
参照图5或6所示的实施例,虽然指出端口从至少内周区域附近延伸到至少外周区域附近,但端口可不止仅从内区域径向延伸至外区域。在真空端口245围绕反应性气体端口225和反应性气体端口235时,端口可切线地延伸。在图5及6所示的实施例中,真空端口245围绕在楔形反应性气体端口225、235的所有边缘上,包括与内周区域和外周区域相邻的边缘。
请参见图5,随着基板沿路径227移动,基板表面的各部分暴露于各种反应性气体。为了跟随路径227,基板将被暴露至(或“看到(see)”)净化气体端口255、真空端口245、第一反应性气体端口225、真空端口245、净化气体端口255、真空端口245、第二气体端口235及真空端口245。因此,在图5所示的路径227的末端,基板已被暴露于第一反应性气体225及第二反应性气体235,以形成层。所示的注入器单元222形成四分之一圆,但可以更大或更小。图6所示的气体分配组件220可被视为四个图4的注入器单元222串联连接的组合。
图5的注入器单元222显示了分隔反应性气体的气帘250。术语“气帘(gascurtain)”被用于描述分隔反应性气体使其不混合的任何气体流或真空的结合。图5所示的气帘250包含:真空端口245在第一反应性气体端口225旁的部分、中间的净化气体端口255、及真空端口245在第二气体端口235旁的部分。可使用此气体流与真空的结合,以防止或最小化第一反应性气体与第二反应性气体的气相反应。
请参见图6,来自气体分配组件220的气体流与真空的结合,分隔了多个工艺区域350。利用介于350之间的气帘250围绕单独气体端口225、235粗略地限定工艺区域。图6所示的实施例由八个分隔的工艺区域350构成,而其间有八个分隔气帘250。处理腔室可具有至少两个工艺区域。在某些实施例中,存在至少三个、四个、五个、六个、七个、八个、九个、十个、十一个或十二个工艺区域。
在处理期间,基板可在任何给定的时间下暴露于超过一个工艺区域350。然而,暴露至不同工艺区域的部分将具有分隔所述两个工艺区域的气帘。举例而言,若基板的前缘进入了包括第二气体端口235的工艺区域,则基板的中间部分将处于气帘250下方,且基板的尾缘将处于包括第一反应性气体端口225的工艺区域中。
将工厂接口280(如图4所示)绘示为连接至处理腔室200,工厂接口280可以是,例如,装载锁定腔室。基板60被绘示为与气体分配组件220重叠,以提供参考框(frame)。基板60通常可位在基座组件上,以被固持在气体分配板220的前表面221附近。可经由工厂接口280将基板60装载进入处理腔室200至基板支撑件或基座组件上(请见图4)。基板60可被绘示为安置在工艺区域内,因为基板被定位在邻近第一反应性气体端口225处并介于两个气帘250a、250b之间。沿着路径227旋转基板60将绕着处理腔室200逆时针移动基板。因此,基板60将被暴露于第一工艺区域350a至第八工艺区域350h,包括其间的所有工艺区域。
本公开的某些实施例针对处理方法,包含处理腔室200,处理腔室200具多个工艺区域350a至350h,各工艺区域通过气帘250与相邻区域分隔。举例而言,图6所示的处理腔室。取决于气体流的设置,处理腔室内的气帘和工艺区域的数量可以是任何合适的数量。图6所示的实施例具有八个气帘250和八个工艺区域350a至350h。
请回头参见图1,处理平台100包括处理腔室140,处理腔室140连接至中央移送站110的第二侧112。某些实施例的处理腔室140经配置而将晶片暴露于工艺,以在第一批处理腔室120中的处理之前和/或之后处理晶片。某些实施例的处理腔室140包含退火腔室。退火腔室可以是炉退火腔室或快速热退火腔室,或经配置以将晶片保持在预定温度和压力下并提供气体流至腔室的不同腔室。
在某些实施例中,处理平台进一步包含第二批处理腔室130,第二批处理腔室130连接至中央移送站110的第三侧113。可以类似于批处理腔室120的方式配置第二批处理腔室130,或可配置第二批处理腔室130来进行不同工艺或处理不同数量的基板。
第二批处理腔室130可与第一批处理腔室120相同或相异。在某些实施例中,第一批处理腔室120及第二批处理腔室130经配置以在相同的批次时间(batch time)内对相同数量的晶片进行相同的工艺,致使x(第一批处理腔室120中的晶片的数量)与y(第二批处理腔室130中的晶片的数量)相同,且第一批次时间与(第二批处理腔室130的)第二批次时间相同。在某些实施例中,第一批处理腔室120和第二批处理腔室130经配置而具有相异的晶片数量(x不等于y)、相异的批次时间、或二者均相异中的一或多者。
在图1所示的实施例中,处理平台100包括第二处理腔室150,第二处理腔室150连接至中央移送站110的第四侧114。第二处理腔室150可与处理腔室140相同或相异。
处理平台100可包括控制器195,控制器195连接至机器人117(未绘示所述连接)。控制器195可经配置而利用机器人117的第一机械臂118在预清洁腔室140与第一批处理腔室120之间移动晶片。在某些实施例中,控制器195也可经配置而利用机器人117的第二机械臂119在第二单晶片处理腔室150与第二批处理腔室130之间移动晶片。
处理平台100还可包括连接至中央移送站110的第五侧115的第一缓冲站151,和/或连接至中央移送站110的第六侧116的第二缓冲站152。第一缓冲站151和第二缓冲站152可执行相同或相异的功能。举例而言,缓冲站可固持晶片的卡匣,所述晶片被处理并返回原卡匣,或第一缓冲站151可固持未经处理的晶片,并在处理后将所述晶片移动至第二缓冲站152。在某些实施例中,一或多个缓冲站经配置以在处理之前和/或之后预处理、预热或清洁晶片。
在某些实施例中,控制器195经配置以使用机器人117的第一机械臂118在第一缓冲站151与一或多个处理腔室140与第一批处理腔室120之间移动晶片。在某些实施例中,控制器195经配置以使用机器人117的第二机械臂119在第二缓冲站152与一或多个第二处理腔室150或第二批处理腔室130之间移动晶片。
处理平台100还可在中央移送站110与任何处理腔室之间包括一或多个狭缝阀160。在所示实施例中,在各个处理腔室120、130、140、150与中央移送站110之间存在狭缝阀160。狭缝阀160可打开和关闭,以隔离处理腔室内的环境与中央移送站110内的环境。举例而言,若处理腔室在处理期间将产生等离子体,则关闭所述处理腔室的狭缝阀可有助于防止杂散等离子体损坏移送站中的机器人。
在某些实施例中,处理腔室不容易从中央移送站110移除。为了在任何处理腔室上进行维护,各处理腔室可进一步在处理腔室的侧面上包括多个接取门(access door)170。接取门170允许手动接取处理腔室,而无需从中央移送站110移除处理腔室。在所示实施例中,各处理腔室的各侧(除了连接至移送站的该侧以外)都具有接取门170。包括如此多的接取门170可使所采用的处理腔室的构造复杂化,因为腔室内的硬件会需要配置成通过所述门可进出。
某些实施例的处理平台包括连接到移送腔室110的水箱180。水箱180可经配置以将冷却剂提供至任何或全部的处理腔室。尽管被称为“水(water)”箱,但是本领域技术人员将理解,可以使用任何冷却剂。
在某些实施例中,处理平台100的尺寸允许经由单一电力连接器190连接至厂用电力(house power)。单一电力连接器190附接至处理平台100,以向各处理腔室和中央移送站110供电。
处理平台100可连接至工厂接口102,以允许晶片或晶片的卡匣装载进入平台100。工厂接口102内的机器人103可将晶片或卡匣移动进入或离开缓冲站151、152。可通过中央移送站110中的机器人117在平台100内移动晶片或卡匣。在某些实施例中,工厂接口102为另一个丛集工具的移送站。
本公开的一或多个实施例针对沉积膜的方法。请参见图7A至7C,可提供具第一基板表面701和第二基板表面702的基板700。第一基板表面701和第二基板表面702可以是不同材料(如,硅和氧化硅),或可以是具不同表面终端(如,-H和-OH)的相同材料。
在某些实施例中,第一基板表面701包含硅。在某些实施例中,第一基板表面701基本上由硅组成。在某些实施例中,第一基板表面701具有氢终端。
在某些实施例中,第二基板表面702包含氧化硅。在某些实施例中,第二基板表面702基本上由氧化硅组成。在某些实施例中,第二基板表面702具有羟基终端。
第一基板表面701和第二基板表面702可以是用于选择性沉积的任何合适表面。在某些实施例中,第一基板表面701包含具Si-H基团的硅表面,且第二基板表面702包含具-OH基团的氧化硅表面且具有或不具有原生氧化物。在某些实施例中,第二基板表面702包含具-OH端部基团的介电表面且具有或不具有原生氧化物,且第一基板表面701包含金属表面。
若第一基板表面701和第二基板表面702中的任一者或二者上存在有原生氧化物的话,则去除原生氧化物可允许更有效率的选择性沉积工艺。将基板100暴露于蚀刻工艺可从受影响的表面去除原生氧化物。蚀刻工艺可以是湿式蚀刻工艺(如,暴露于稀释HF(1%)),或干式蚀刻工艺(如,暴露于等离子体)。在某些实施例中,蚀刻工艺为基于等离子体的工艺。在某些实施例中,基于等离子体的蚀刻工艺包含将基板暴露于氨和氢氟酸的等离子体。
在某些实施例中,从受影响的表面去除原生氧化物可提供实质上仅有氢终端的表面。以这种方式使用时,术语“实质上仅有氢终端(substantially only hydrogenterminations)”意指大于或等于约98%的表面积的表面终端为氢。在某些实施例中,从表面去除原生氧化物可提供实质上无氧终端的表面。以这种方式使用时,术语“实质上无氧终端(substantially no oxygen terminations)”意指表面终端包含少于约2%的表面积包含氧原子。
在一或多个实施例中,用于从表面去除原生氧化物的工艺也氧化其他表面,从而提供实质上无氢终端的表面。以这种方式使用时,术语“实质上无氢终端”意指对小于或等于约2%的表面积而言,所述表面的表面终端是氢。
如图7B所图解,以相对于第二基板表面702具选择性的方式在第一基板表面701上形成膜710。以这种方式使用时“以相对于…具选择性的方式(selectively over)”意指优先形成或沉积在对象表面,使得膜优先形成在第一基板表面701而不是在第二基板表面702上。举例而言,形成在第一基板表面701上的膜710的厚度相较于形成在第二基板表面702上的膜的厚度可以大于或等于20倍、30倍、40倍或50倍。
可通过任何合适的技术来形成膜710,所述技术包括,但不限于,原子层沉积。在某些实施例中,可在如图2至6所示的批处理腔室中形成膜710。举例而言,可通过依序暴露于硅前驱物及反应物来形成膜710。硅前驱物可以是任何合适的硅前驱物,包括但不限于,卤化硅和含卤素或不含卤素的有机硅化合物。在一或多个实施例中,硅前驱物包含双(三氯硅烷基)甲烷(bis(trichlorosilyl)methane)。在某些实施例中,硅前驱物包含与至少一个碳一起排列的超过一个的硅原子,所述至少一个碳将所述硅原子分隔。举例而言,硅前驱物可具有被亚甲基单元分隔的两个硅原子。
反应物可以是任何合适的反应物,包括但不限于,供氮物种、供氧物种和/或供碳物种。在某些实施例中,反应物包含氨。
所形成的膜710因变于硅前驱物及反应物。在某些实施例中,膜包含SiC或SiCN中的一或多者。在某些实施例中,所形成的膜包含碳氮化硅。本领域技术人员将理解,名称碳氮化硅或SiCN并不意味着具体的化学剂量;而仅是意味着构成膜的主体的元素。在某些实施例中,可用B、As或P中的一或多者(以基于原子计达约百分之二的量)来掺杂膜。
在批处理腔室中,可在处理腔室的交替工艺区域中将基板暴露于硅前驱物及反应物。请参见图6,举例而言,工艺区域350a、350c、350e、350g可将基板表面暴露于硅前驱物,而工艺区域350b、350d、350f、350h可将基板表面暴露于反应物,使得每次绕着处理腔室旋转基板可将基板表面暴露于四个循环的硅前驱物/反应物。
可沉积膜710厚度至预定量。在某些实施例中,将膜沉积至约至约/>的范围内、或约/>至约/>的范围内的厚度。在某些实施例中,可将膜沉积达大于或等于约或/>的厚度至小于或等于约或/>的厚度。一段时间之后,即使可能存在阻挡层或钝化层(未绘示),膜也可开始沉积在第二表面上。为了增加膜的厚度并保持选择性,可定期补充阻挡层或钝化层。
可在任何合适的温度下形成膜710。在某些实施例中,可在约200℃至约600℃的范围中,或在约250℃至约550℃的范围中,或在约300℃至约500℃的范围中的温度下形成膜710。在某些实施例中,通过热工艺形成膜,而无等离子体暴露。在某些实施例中,通过等离子体增强工艺形成膜。
所沉积的膜可具有膜性质,可通过沉积后处理来优化或改善膜性质。举例而言,所沉积的碳氮化硅膜可具有高湿式蚀刻速率。可利用将膜暴露于沉积后处理,来改善所沉积的膜的湿式蚀刻速率。在某些实施例中,所述处理可改善膜的质量。在某些实施例中,所增进的膜的质量包含以下一或多者:湿式蚀刻速率、折射率、密度、氢浓度、漏电流、击穿电压、介电常数或应力。
膜710具有的组成可作为处理工艺的一部分进行改变。膜组成的改变可能与膜的质量或性质的改变有关。膜710具有初始氮含量、初始氧含量及初始碳含量。在某些实施例中,初始氧含量实质上为零。以这种方式使用时,术语“实质上为零(substantially zero)”意指以原子计,膜的含量为小于或等于约1%、0.5%或0.1%的所述元素(如,氧)。
某些实施例的处理工艺包含将膜暴露于蒸气退火工艺,以形成经退火的膜,而所述膜的至少一个膜性质在蒸气退火之后被改善。可在任何合适的环境中,于任何合适的温度及压力下发生蒸气退火。如图7C所绘示,可用蒸气退火来处理膜,以形成经退火的膜720。经退火的膜720具有退火后氮含量、退火后氧含量及退火后碳含量。
在某些实施例中,蒸气退火可在约150℃至约600℃的范围内,或在约200℃至约500℃的范围内,或在约250℃至约450℃的范围内的基板温度下发生。在某些实施例中,蒸气退火可在大于或等于约150℃、200℃、250℃、300℃、350℃或400℃的温度下发生。
在某些实施例中,蒸气退火可在约20T至约100T的范围内,或在约30T至约90T的范围内,或在约40T至约80T的范围内,或在约50T至约75T的范围内的压力下发生。在某些实施例中,蒸气退火工艺的压力取决于所使用的工艺。
在某些实施例中,蒸气退火在退火炉中发生。在某些实施例中,退火炉的压力是在约400T至约850T的范围内,或在约450T至约800T的范围内,或在约500T至约760T的范围内,或在约550T至约650T的范围内。在某些实施例中,退火炉中的水蒸气的分压可大于或等于约100T、200T、300T、400T、500T或600T。在某些实施例中,退火炉中的水蒸气的分压为约585托。
在某些实施例中,蒸气退火包含加热含有水的安瓿,并使水蒸气从安瓿流到处理腔室。某些实施例的安瓿温度在约25℃至约80℃的范围内,或在约25℃至约70℃的范围内,或在约20℃至约50℃的范围内。在某些实施例中,将安瓿加热至大于或等于约30℃、35℃、40℃、45℃、50℃或55℃的温度。
载气可经过安瓿将水蒸气带入处理腔室。载气通常为惰性气体,但也可以是反应性气体。在某些实施例中,载气包含以下一或多种:氩、氢、氮、氦、氙、氪、CO、CO2、NO或NO2。在某些实施例中,载气的流速可在约300sccm至约5000sccm的范围内,或在约400sccm至约4000sccm的范围内。
在某些实施例中,所形成的膜包含SiCN,而蒸气退火将SiCN转化为SiOC。在某些实施例中,蒸气退火工艺实质上将全部SiCN转化为SiOC。如在这方面所用,术语“实质上将全部…转化(converts substantially all)”意指大于或等于约90%、95%、98%或99%的主体组成被转化。
在某些实施例中,经退火的膜720所具有的退火后氮含量小于或等于初始氮含量。在某些实施例中,退火后氮含量小于或等于约50%、40%、30%、20%或10%的初始氮含量。在某些实施例中,退火将氮含量降低至实质上为零。在某些实施例中,以原子计,退火后氮含量小于或等于约1%、0.5%或0.1%。
在某些实施例中,经退火的膜720所具有的退火后碳含量与初始碳含量大约相同。在某些实施例中,退火后碳含量在初始碳含量的±20%、15%、10%、5%、2%或1%内。预期膜的碳含量会因蒸气退火工艺而降低。在蒸气退火工艺之后维持大约相同的碳含量的事实是未预料的。以原子计,某些实施例的退火后碳含量在约5%至约15%的范围内,或在约6%至约14%的范围内,或在约7%至约13%的范围内,或在约8%至约12%的范围内,或在约9%至约11%的范围内。
可在与蒸气退火相同的处理腔室或在不同的处理腔室中形成膜。举例而言,图6的批处理腔室可被用于膜形成和蒸气退火二者。在一或多个实施例中,可通过在不同工艺区域250中依序暴露于硅前驱物及反应物来形成膜。一旦已形成膜厚度,可停止反应性气体(即,硅前驱物和反应物),且可将蒸气流入工艺区域250。在整个退火工艺中,基座可停止或可持续旋转。在某些实施例中,蒸气退火发生在与沉积腔室相异的退火炉中。举例而言,请参见图1,膜可在第一批处理腔室120中沉积,且蒸气退火可发生在位于处理腔室140处的退火炉中。
在某些实施例中,膜的性质在蒸气退火后得到改善。在某些实施例中,经蒸气退火的膜的湿式蚀刻速率(在1%的HF中)为小于或等于约/分钟、/>/分钟、/>/分钟或/分钟。可在蚀刻的第二个整分钟处(即,从进入蚀刻工艺的第61至120秒)测量湿式蚀刻速率。在某些实施例中,经蒸气退火的膜的介电常数为小于或等于约6.0、5.9、5.8、5.7、5.6、5.5、5.4、5.3、5.2、5.1、5.0、4.9、4.8或4.7。
本公开的某些实施例针对在基板上沉积共形膜的方法。请参见图8A至8C,提供基板800以供处理。如在这方面所用,术语“提供(provide)”意指将基板800置放在用于将一或多个膜沉积在基板800上的位置或环境中。基板800具有基板表面802,基板表面802中形成有多个特征804。各特征804从基板表面802延伸距离D。各特征804具有底部806和至少一个侧壁808。若特征具有圆柱形状的话,则存在一个侧壁808;然而,从剖面图观之(如图8A所示的剖面图),似乎具有两个侧壁808。侧壁808的数量不限于本公开的范围,且可使用具有任何数量的侧壁808的任何形状的特征804。
特征804从表面802延伸的距离D可以是任何合适的距离。距离D对特征14的宽度的比例称为深宽比。在某些实施例中,特征14具有大于或等于约10:1、15:1、20:1、25:1、30:1、35:1、40:1、45:1或50:1的高深宽比。在某些实施例中,特征具有小于或等于约9:1、8:1、7:1、6:1、5:1、4:1、3:1或2:1的低深宽比。
对特征804的形成而言,图8A至8C中所图解的基板800具有直角。本领域技术人员将理解,这仅是示例性实施例的代表,且特征804不必然具有方形转角。特征804的形状可不具有能区分的底部,且侧壁可相对于基板表面法线成角度,从而形成v形沟槽或通孔。
某些实施例的基板800为V-NAND器件的一部分。所图解的特征804显示为单块材料中的凹部,致使底部806及侧壁808由相同材料制成。在某些实施例中,特征由不同材料的交替层所形成,致使第一材料比第二材料短,以形成凹部形状。在此类系统中,特征的底部由第一材料所形成,且特征的侧壁由较高的第二材料所形成。举例而言,由氧化硅膜及氮化硅膜的交替层构成的V-NAND结构。
图8B显示共形膜810的沉积后的基板800。共形膜具有初始碳含量、初始氧含量及初始氮含量。图8C显示蒸气退火以形成退火膜820后的基板800。如上文所述,经退火的膜820具有退火后碳含量、退火后氮含量及退火后氧含量。
在某些实施例中,共形膜810具有的厚度在约至约/>的范围内。在某些实施例中,共形膜810具有的厚度在约/>至约/>的范围内,或在约/>至约/>的范围内,或在约/>至约/>的范围内,或在约/>至约/>的范围内,或在约/>至约的范围内,或约/>
预计退火工艺对膜810的顶部的影响比对膜的下部影响程度更大。然而,令人惊讶地发现,退火工艺以均匀的方式影响膜达约或更大的深度。某些实施例的经退火共形膜820具有碳、氮和氧的实质上均匀的组成。以这种方式使用时,术语“实质上均匀的组成(substantially uniform composition)”意指膜的组成相对于膜中深度的变化不超过约10、5、2或1%。举例而言,在/>的深度处的膜组成与在约/>的深度处的膜组成实质上一致。
请参见图1,某些实施例的控制器195具有存储于可读介质上的一或多种配置或可执行程序。在某些实施例中,控制器195包括一或多个电路、处理器、输入/输出装置、瞬时存储器(即,随机存取存储器)、非瞬时存储器(即,硬盘)和/或对硬件部件的连接。在某些实施例中,控制器195具有选自以下配置的至少一种配置:第一配置,用以将基板移动至批处理腔室;第二配置,用以将多种工艺气体提供至批处理腔室,以在批处理腔室中将膜沉积在基板上;第三配置,用以将基板从批处理腔室移动至蒸气退火腔室;第四配置,用以将蒸气退火腔室加热至退火温度;第五配置,用以将水蒸气流提供至蒸气退火腔室;或第六配置,用以从蒸气退火腔室移除基板。这些配置可以包括用于控制流量、气阀、旋转、移动、加热、冷却或执行各种配置的其他工艺的任何命令或功能。
示例
通过依序暴露于双(三氯硅烷基)甲烷及氨而在硅基板上沉积碳氮化硅膜。将SiCN膜暴露于蒸气退火工艺。沉积约的SiCN,随后在500℃、75T、600sccm的水安瓿推流(push flow)下退火一个小时。水安瓿温度对膜性质的影响列于表1。
表1
观察到湿式蚀刻速率及介电常数随着水剂量的增加(即,较高的安瓿温度)而降低。湿式蚀刻速率在暴露于蚀刻剂(1%的HF)的第二个整分钟时测量。
沉积SiCN膜,并在不同条件下将SiCN膜暴露于炉退火,以形成SiOC膜。结果列于表2。
表2
*原位退火与沉积发生在相同的批处理腔室中。
膜SiOC膜的原子组成列示于表3。
表3
根据一或多个实施例,基板在形成层之前和/或之后受到处理。此处理可在相同腔室中进行,或在一或多个分隔的处理腔室中进行。在某些实施例中,将基板从第一腔室移动至独立的第二腔室,以做进一步处理。可将基板从第一腔室直接移动到分隔的处理腔室,或可将基板从第一腔室移动至一或多个移送腔室并接着移动至分隔的处理腔室。因此,处理设备可包含与移送站连通的多个腔室。此类设备可称为“丛集工具(cluster tool)”或“丛集式系统”等等。
一般而言,丛集工具为包含多个腔室的模块系统,所述腔室可执行各种功能,包括基板中央寻找与定向、除气、退火、沉积和/或蚀刻。根据一或多个实施例,丛集工具包括至少一第一腔室与中央移送腔室。中央移送腔室可容置机器人,所述机器人可在处理腔室与装载锁定腔室之间传送基板。通常将移送腔室维持在真空条件下,并提供中间阶段(intermediate stage),用于将基板从一个腔室传送至另一腔室,和/或传送至位在丛集工具的前端的装载锁定腔室。可适用于本发明的两种已熟知的丛集工具为两者均可获自美国加州圣克拉拉市的应用材料公司。然而,可为了执行本文所描述的工艺的特定步骤,来改变腔室的实际设置与组合。可使用的其他处理腔室包括,但不限于,循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、化学清洁、热处理(如RTP)、等离子体氮化、除气、定向、羟基化以及其他基板工艺。通过在丛集工具上的腔室中施行工艺,可避免大气杂质对基板产生的表面污染,而不需在沉积后续膜之前进行氧化。
根据一或多个实施例,基板持续处于真空或“装载锁定(load lock)”条件下,且在从一个腔室移动至下一个腔室时不会暴露至环境空气。移送腔室因此处于真空下,且在真空压力下被“抽气(pumped down)”。惰性气体可存在于处理腔室或移送腔室中。在某些实施例中,使用惰性气体作为净化气体,以移除部分或全部反应物。根据一或多个实施例,在沉积腔室的出口处注入净化气体,以防止反应物从沉积腔室移动至移送腔室和/或额外的处理腔室。因此,惰性气体流在腔室出口处形成帘幕。
可在单基板沉积腔室中处理基板,其中在另一基板受处理之前,装载、处理并卸除单一基板。亦可以连续方式(类似输送系统)来处理基板,其中将多个基板独立地装载入腔室的第一部分、移动通过腔室,并从腔室的第二部分卸除。腔室的形状以及相关联的输送系统可形成笔直路径或弯曲路径。此外,处理腔室可以是转盘,其中多个基板绕着中央轴移动,并贯穿转盘路径暴露于沉积、蚀刻、退火、清洁等工艺。
在处理期间,可加热或冷却基板。可由任何适合的手段完成此种加热或冷却,所述手段包括,但不限于,改变基板支撑件的温度,并使加热气体或冷却气体流至基板表面。在某些实施例中,基板支撑件包括加热器/冷却器,可控制加热器/冷却器以传导性地改变基板温度。在一或多个实施例中,可加热或冷却所应用的气体(无论是反应性气体或惰性气体),以局部改变基板温度。在某些实施例中,可将加热器/冷却器安置在腔室内与基板表面相邻,以对流性地改变基板温度。
在处理期间,也可固定或旋转基板。旋转基板可被持续旋转或分段旋转。举例而言,可在整体工艺期间旋转基板,或可在暴露至不同的反应性气体或净化气体之间少量旋转基板。在处理期间旋转基板(无论连续或分段)可通过,例如,使气体流几何形貌中的局部变异性的效应最小化,而有助于产生更均匀的沉积或蚀刻。
本说明书中对于“一个实施例”、“某些实施例”、“一或多个实施例”或“一实施例”的参照,表示在本发明的至少一个实施例中包含连同实施例所说明的特定特征、结构、材料或特性。因此,在本说明书中各处出现诸如“在一或多个实施例中”、“在某些实施例中”、“在一个实施例中”或“在一实施例中”的词语,并非必需参照本发明的相同实施例。再者,可以任何适合的方式结合一或多个实施例中的特定特征、结构、材料或特性。
虽然已参照特定实施例说明了本文的发明,但应了解到,这些实施例仅说明本发明的原理与应用。对本领域技术人员而言,显然可对本发明的方法与设备进行各种修改与变化,而不脱离本发明的精神与范围。因此,本发明欲包括随附权利要求及其等效实施例的范围内的修改与变化。

Claims (17)

1.一种处理平台,包括:
中央移送站,包括多个侧及安置在所述中央移送站中的机器人;
批处理腔室,连接至所述中央移送站的一侧;
蒸气退火腔室,连接至所述中央移送站的一侧;以及
控制器,耦接至所述中央移送站、所述批处理腔室及所述蒸气退火腔室,所述控制器具有配置,所述配置包括:第一配置,用以将基板移动至所述批处理腔室;第二配置,用以将多种工艺气体提供至所述批处理腔室,以在所述批处理腔室中在所述基板上形成共形膜;第三配置,用以将基板从所述批处理腔室移动至所述蒸气退火腔室;第四配置,用以将所述蒸气退火腔室加热至退火温度;第五配置,用以将水蒸气流提供至所述蒸气退火腔室;以及第六配置,用以从所述蒸气退火腔室移除所述基板,其中所述共形膜包含具有初始碳含量、初始氮含量及初始氧含量的SiCN。
2.如权利要求1所述的处理平台,其中加热所述蒸气退火腔室至退火温度将所述初始氮含量降低至退火后氮含量,并将所述初始氧含量增加至退火后氧含量。
3.如权利要求2所述的处理平台,其中以原子计,所述退火后氮含量小于或等于约1%。
4.如权利要求2所述的处理平台,其中在所述蒸气退火腔室中蒸气退火后的退火后碳含量在所述初始碳含量的±10%内。
5.如权利要求4所述的处理平台,其中以原子计,所述退火后碳含量是约10%。
6.如权利要求1所述的处理平台,其中所述共形膜具有在约至约/>的范围内的厚度。
7.如权利要求6所述的处理平台,其中所述共形膜被退火并且具有碳、氮及氧的实质上均匀的组成。
8.如权利要求7所述的处理平台,其中所述经退火共形膜具有在约至约/>的范围内的厚度。
9.如权利要求8所述的处理平台,其中所述经退火共形膜在稀释HF中就第一分钟而言具有小于约的湿式蚀刻速率。
10.如权利要求8所述的处理平台,其中所述经退火共形膜具有小于或等于约1.5x 10- 7A/cm2的漏电流。
11.如权利要求8所述的处理平台,其中所述经退火共形膜具有小于5的介电常数。
12.如权利要求1所述的处理平台,其中形成所述共形膜的步骤包括:将所述基板依序暴露于硅前驱物及反应物。
13.如权利要求12所述的处理平台,其中所述硅前驱物包含双(三氯硅烷基)甲烷,而所述反应物包含氨。
14.如权利要求1所述的处理平台,其中形成所述共形膜和蒸气退火发生在相同的处理腔室中。
15.如权利要求1所述的处理平台,其中形成所述共形膜发生在原子层沉积腔室中,而蒸气退火发生在炉中。
16.如权利要求1所述的处理平台,其中所述共形膜在所述蒸气退火腔室中在约300℃至约600℃的范围中的温度下被退火。
17.如权利要求16所述的处理平台,其中所述共形膜在大于或等于约500托的水的分压下被退火。
CN202311003007.4A 2017-04-13 2018-04-12 用于沉积低介电常数膜的方法与设备 Pending CN116892014A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762485354P 2017-04-13 2017-04-13
US62/485,354 2017-04-13
US201762523546P 2017-06-22 2017-06-22
US62/523,546 2017-06-22
PCT/US2018/027280 WO2018191484A1 (en) 2017-04-13 2018-04-12 Method and apparatus for deposition of low-k films
CN201880000826.4A CN110612596B (zh) 2017-04-13 2018-04-12 用于沉积低介电常数膜的方法与设备

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201880000826.4A Division CN110612596B (zh) 2017-04-13 2018-04-12 用于沉积低介电常数膜的方法与设备

Publications (1)

Publication Number Publication Date
CN116892014A true CN116892014A (zh) 2023-10-17

Family

ID=63790278

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880000826.4A Active CN110612596B (zh) 2017-04-13 2018-04-12 用于沉积低介电常数膜的方法与设备
CN202311003007.4A Pending CN116892014A (zh) 2017-04-13 2018-04-12 用于沉积低介电常数膜的方法与设备

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201880000826.4A Active CN110612596B (zh) 2017-04-13 2018-04-12 用于沉积低介电常数膜的方法与设备

Country Status (5)

Country Link
US (2) US10453678B2 (zh)
KR (1) KR102646828B1 (zh)
CN (2) CN110612596B (zh)
TW (2) TWI791508B (zh)
WO (1) WO2018191484A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102640002B1 (ko) * 2018-07-17 2024-02-27 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 기록매체, 및 프로그램
WO2020251696A1 (en) * 2019-06-10 2020-12-17 Applied Materials, Inc. Processing system for forming layers
JP7138130B2 (ja) * 2020-03-04 2022-09-15 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置およびプログラム
CN116926511A (zh) * 2023-09-18 2023-10-24 上海陛通半导体能源科技股份有限公司 气相沉积设备和晶圆应力调整方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5591681A (en) * 1994-06-03 1997-01-07 Advanced Micro Devices, Inc. Method for achieving a highly reliable oxide film
US5900290A (en) * 1998-02-13 1999-05-04 Sharp Microelectronics Technology, Inc. Method of making low-k fluorinated amorphous carbon dielectric
US6100160A (en) * 1998-02-17 2000-08-08 Texas Instruments Incorporated Oxide etch barrier formed by nitridation
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US7101812B2 (en) * 2002-09-20 2006-09-05 Mattson Technology, Inc. Method of forming and/or modifying a dielectric film on a semiconductor surface
JP4895803B2 (ja) * 2003-02-04 2012-03-14 アプライド マテリアルズ インコーポレイテッド 誘電体膜及びゲートスタックの形成方法並びに誘電体膜の処理方法
KR100558008B1 (ko) * 2003-12-29 2006-03-06 삼성전자주식회사 반도체 소자의 배선 방법
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
TWI366876B (en) * 2006-05-30 2012-06-21 Applied Materials Inc A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20080132039A1 (en) * 2006-12-01 2008-06-05 Yonah Cho Formation and treatment of epitaxial layer containing silicon and carbon
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
CN102687252A (zh) * 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8524004B2 (en) * 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120177846A1 (en) * 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US8809175B2 (en) 2011-07-15 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of anneal after deposition of gate layers
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
KR20150121217A (ko) * 2013-03-01 2015-10-28 어플라이드 머티어리얼스, 인코포레이티드 SiCN 또는 SiCON을 포함하는 필름의 저온 원자층 증착
US9136166B2 (en) 2013-03-08 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of making same
US9159604B2 (en) 2013-03-11 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for forming the same
US20140273526A1 (en) * 2013-03-12 2014-09-18 David Thompson Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
US20140329027A1 (en) * 2013-05-02 2014-11-06 Applied Materials, Inc. Low temperature flowable curing for stress accommodation
CN103646908B (zh) * 2013-12-02 2016-04-06 上海华力微电子有限公司 一种利用高深宽比工艺的器件隔离方法
WO2015103358A1 (en) * 2014-01-05 2015-07-09 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
TW201610215A (zh) * 2014-03-27 2016-03-16 應用材料股份有限公司 用於低熱預算處理的循環尖峰退火化學曝露
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
TW201615879A (zh) * 2014-10-03 2016-05-01 應用材料股份有限公司 高溫二氧化矽原子層沉積技術
SG10201600832VA (en) * 2015-02-06 2016-09-29 Novellus Systems Inc Conformal deposition of silicon carbide films
WO2016178991A1 (en) * 2015-05-02 2016-11-10 Applied Materials, Inc. Methods for depositing low k and low wet etch rate dielectric thin films
US9460920B1 (en) 2015-05-11 2016-10-04 Applied Materials, Inc. Horizontal gate all around device isolation
US10916542B2 (en) * 2015-12-30 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Recessed STI as the gate dielectric of HV device
US20170222026A1 (en) 2016-02-03 2017-08-03 United Microelectronics Corp. Method of fabricating fin field effect transistor
US10115624B2 (en) 2016-06-30 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor integrated circuit fabrication

Also Published As

Publication number Publication date
CN110612596B (zh) 2023-08-15
US20180301333A1 (en) 2018-10-18
WO2018191484A1 (en) 2018-10-18
CN110612596A (zh) 2019-12-24
US20200006064A1 (en) 2020-01-02
KR102646828B1 (ko) 2024-03-11
TW202322217A (zh) 2023-06-01
US10957532B2 (en) 2021-03-23
TWI791508B (zh) 2023-02-11
KR20190130466A (ko) 2019-11-22
US10453678B2 (en) 2019-10-22
TW201900914A (zh) 2019-01-01

Similar Documents

Publication Publication Date Title
CN110226214B (zh) 用于介电膜的选择性沉积的方法及设备
CN108140578B (zh) 通过表面毒化处理的由下而上的间隙填充
CN110612596B (zh) 用于沉积低介电常数膜的方法与设备
CN111492467B (zh) 钌的选择性原子层沉积
KR102312827B1 (ko) 저-k 막들의 증착을 위한 방법들 및 장치
CN112740397A (zh) 含铝膜的间隙-填充
JP6946463B2 (ja) ワードライン抵抗を低下させる方法
KR102307233B1 (ko) 금속 산화물 후처리를 위한 방법들
US20230369031A1 (en) Integrated method and tool for high quality selective silicon nitride deposition
TWI833804B (zh) 含鋁膜的間隙填充
TW202409321A (zh) 用於高品質選擇性氮化矽沉積的集成方法及工具

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination