US5900290A - Method of making low-k fluorinated amorphous carbon dielectric - Google Patents

Method of making low-k fluorinated amorphous carbon dielectric Download PDF

Info

Publication number
US5900290A
US5900290A US09/023,382 US2338298A US5900290A US 5900290 A US5900290 A US 5900290A US 2338298 A US2338298 A US 2338298A US 5900290 A US5900290 A US 5900290A
Authority
US
United States
Prior art keywords
pecvd
chamber
substrate
silane
amorphous carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US09/023,382
Inventor
Hongning Yang
Tue Nguyen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Sharp Laboratories of America Inc
Original Assignee
Sharp Corp
Sharp Microelectronics Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp, Sharp Microelectronics Technology Inc filed Critical Sharp Corp
Assigned to SHARP MICROELECTRONICS TECHNOLOGY, INC reassignment SHARP MICROELECTRONICS TECHNOLOGY, INC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NGUYEN, TUE, YANG, HONGNING
Priority to US09/023,382 priority Critical patent/US5900290A/en
Assigned to SHARP KABUSHIKI KAISHA reassignment SHARP KABUSHIKI KAISHA ASSIGNMENT OF UNDIVIDED HALF INTEREST Assignors: SHARP MICROELECTRONICS TECHNOLOGY, INC.
Priority to JP10338211A priority patent/JPH11251308A/en
Priority to TW087120344A priority patent/TW414812B/en
Priority to EP99300444A priority patent/EP0936282B1/en
Priority to DE69933598T priority patent/DE69933598T2/en
Priority to KR1019990003534A priority patent/KR100283007B1/en
Assigned to SHARP LABORATORIES OF AMERICA, INC. reassignment SHARP LABORATORIES OF AMERICA, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SHARP MICROELECTRONICS TECHNOLOGY, INC.
Publication of US5900290A publication Critical patent/US5900290A/en
Application granted granted Critical
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers

Definitions

  • the invention relates to a method of forming an interlevel dielectric of the type used in interconnect structures of integrated circuits, and more paticularly to a plasma enhanced chemical vapor deposition method of forming a low-dielectric-constant insulating material.
  • interconnects lines, vias, etc.
  • RC resistance-capacitance
  • Capacitance can be reduced by employing lower dielectric constant (i.e., lower-k) dielectric materials.
  • Fluorocarbon polymers have been studied for more than two decades and most of their applications is for the use of coating materials to protect plastics, fibers, and metals. It is known that a-F:C films can be fabricated using plasma enhanced CVD ("PECVD"). Early experience with a-F:C showed that the films deposited at room temperature could be deposited with a dielectric constant as low as 2.1 and a thermal stability ⁇ 300° C. Further experimentation showed that if the a-F:C films were deposited at higher substrate temperatures, the thermal stability could be improved up to 400° C., but the dielectric constant increased above ⁇ 2.5.
  • PECVD plasma enhanced CVD
  • Table I illustrates how the dielectric constants and thermal stabilities of several members of carbon family compare to a-F:C. It shows that the dielectric constant can be lowered if carbon films contain higher fluorine concentrations.
  • the fluorine concentration of a-F:C film depends on the fluorine to carbon ratio in the discharge, which is established by the feed gas composition, RF power input, substrate temperature, and total pressure.
  • the thermal stability is closely related to the degree of crosslinking among the polymer chains. The greater the degree of crosslinking, the more tightly bound the structures are, and the higher the thermal stability.
  • a PECVD process either raising substrate temperature, enhancing ion bombardment, or applying low frequency plasma energy can increase the crosslinking in a-F:C films. Higher temperature deposition has the disadvantage of inevitably reducing the fluorine concentration, thereby increasing the dielectric constant.
  • the disadvantages of high temperature deposition processes are that it not only increases the dielectric constant, but also leads to poor adhesion to SiO 2 and Si 3 N 4 due to increased thermal stress, and also causes higher leakage current in the films. It appears that a lower deposition temperature is desirable.
  • Fluorinated amorphous carbon has a dielectric constant k below 3.0 and, depending on the proportion of fluorine (F) in the film, can have a k in the range of 2.0 to 2.5.
  • a major problem with a-F:C is its poor thermal stability. It has heretofore not been possible to prepare a-F:C films with suitable low-dielectric-constant properties (k less than 2.5), and a thermal stability above 400° C. Temperatures in the sintering range (450° C.) typical for manufacturing ULSI chips cause excessive shrinkage of the a-F:C film, probably due to fluorine volatilization. Mechanical strength and adhesion problems also are obstacles to the use of a-F:C as an interconnect dielectric in high-density integrated circuits.
  • PECVD plasma enhanced chemical vapor deposition
  • a plasma enhanced chemical vapor deposition (PECVD) process for depositing a dielectric material on a substrate for use in interconnect structures of integrated circuits.
  • the method comprises steps which include positioning the substrate in a PECVD chamber and heating the substrate to a temperature above 200° C.
  • a flow of fluorine containing gas (FCG) and carbon containing gas (CCG) is introduced into the chamber under sufficient applied energy to form a fluorine and carbon gas plasma in the chamber.
  • FCG fluorine containing gas
  • CCG carbon containing gas
  • the ratio of FCG to CCG is selected to deposit fluorinated amorphous carbon on the substrate.
  • a flow of silane (SiH 4 ) is introduced into the chamber.
  • the silane increases the thermal stability of the fluorinated amorphous carbon deposited on the substrate.
  • FCG fluorine containing gas
  • C 4 F 8 carbon containing gas
  • CH 4 methane
  • a suitable ratio of FCG to CCG for the deposit of fluorinated amorphous carbon on the substrate is generally in the range of between 1/1 and 30/1 (FCG/CCG) and, more preferably, generally in the range of 5/1 to 15/1.
  • the percentage of silane gas in the mixture of FCG, CCG, and silane gases introduced into the PECVD chamber is preferably generally in the range of 1% to 15%.
  • the ambient pressure maintained in the PECVD chamber during the introduction of FCG, CCG, and silane into the chamber is preferably generally in the range of 0.3 Torr to 2.0 Torr.
  • plasma energy in the form of high frequency (HF) plasma energy
  • HF high frequency
  • LF low frequency
  • the HF energy has a frequency of 13.56 MHz and is preferably applied at an energy level of between 0.5 Watts and 3.0 Watts per square centimeter of substrate surface.
  • the LF is supplied in a frequency range generally in the range of 100 KHz to 900 KHz, the low frequency energy level preferably being generally in the range of 0.5 Watts and 3.0 Watts per square centimeter of substrate surface.
  • a suitable selected thickness for the a-F:C film deposited using the present invention is generally in the range of 1,000 angstroms to 10,000 angstroms, although the invention is not limited to any specific thickness range.
  • the substrate and deposited fluorinated amorphous carbon is annealed.
  • the present invention allows for annealing at a temperature greater than or equal to 440° C., although the process can be used with anneals of between 300° C. and 550° C.
  • the duration of the anneal is a matter of design choice but will generally exceed 20 minutes and can be 2 hours or more, depending on the design and performance specifications of the integrated circuits being fabricated.
  • FIG. 1 is a schematic depiction of a PECVD chamber for carrying out selected steps in the process of the present invention.
  • FIG. 2 is a block diagram illustrating the steps in the process for depositing fluorinated amorphous carbon on a substrate in a PECVD chamber as shown in the FIG. 1, in accordance with the present invention.
  • FIG. 3 is a block diagram illustrating a preferred embodiment of a process for depositing fluorinated amorphous carbon on a substrate in a PECVD chamber, carrying the process through to a final anneal.
  • the present invention provides a process for depositing fluorinated amorphous carbon (a-F:C) on a silicon wafer or other substrate.
  • the wafer substrate at the time the steps in the method are carried out, has been processed by well-known techniques (not shown) to produce integrated circuit (IC) features (e.g., transistors and other active and passive devices) on the wafer.
  • IC integrated circuit
  • the type and number of integrated circuit features on the substrate are unimportant to the process of the present invention, except that the low-k fluorinated amorphous carbon dielectric material is most advantageously employed on ultra-large-scale-integration (ULSI) high-density ICs.
  • ULSI ultra-large-scale-integration
  • the dielectric material is used in interconnect structures, such as conductive lines and vias (not shown) which are well-known conductive interconnect features typically formed in, and extend through, the interconnect dielectric film which is deposited on the wafer, including the a-F:C dielectric deposited in the method of the present invention.
  • interconnect structures such as conductive lines and vias (not shown) which are well-known conductive interconnect features typically formed in, and extend through, the interconnect dielectric film which is deposited on the wafer, including the a-F:C dielectric deposited in the method of the present invention.
  • the form, architecture, and conductive materials used in the interconnect structures, as well as the methods of forming such structures, are not described herein and are a matter of design choice well known to those skilled in the art.
  • This invention relates to the method of forming a suitable low-dielectric-constant (low-k) dielectric film which is deposited on the wafer and is suitable for use between and around the conductive lines, vias, and other conductors in ULSI and similar ICs.
  • low-k dielectric film which is deposited on the wafer and is suitable for use between and around the conductive lines, vias, and other conductors in ULSI and similar ICs.
  • FIG. 1 is a schematic illustration of a suitable apparatus 10 for carrying out a plasma enhanced chemical vapor deposition (PECVD) on a substrate such as wafer 12.
  • Apparatus 10 includes a PECVD chamber 16 of a size suitable for holding one or more wafers 12, which are supported in the chamber on a chuck 20.
  • the interior 22 can be evacuated or pressurized as desired by a suitable pump and valve apparatus schematically illustrated in FIG. 1 by pump 26.
  • Individual wafers 12 are moved in and out of chamber 16 by a suitable wafer handler 30 through a gate valve 32 in the chamber wall, allowing wafers to be moved onto chuck 20 for processing, and then removed from the chamber.
  • Selected gases used in PECVD processing are introduced into the chamber through a suitable manifold system 36 from various gas supply reservoirs indicated collectively at 40, controlled by valves 42.
  • the gases are introduced into the chamber through what is called a shower head 46, which distributes the gases as required.
  • Chuck 20 can be heated to any desired temperature, the heating element for this purpose being schematically depicted as heater 50.
  • the heater and chuck are used to select the temperature of wafer 12 during PECVD processing.
  • Plasma energy is supplied to the chamber through an RF generator 52 which supplies high frequency (HF) RF power radiated through shower head 46.
  • HF high frequency
  • Apparatus 10 preferably also includes a low frequency (LF) generator 56 for supplying LF power to the interior of the chamber.
  • LF power is applied between the chuck 20 and shower head 46 in a manner well known to those skilled in the art.
  • LF power is used to increase crosslinking in the amorphous fluorinated carbon (a-F:C) film deposited on wafer 12 during PECVD processing.
  • FIG. 2 illustrates the steps in the process of the present invention, which will be described with reference to FIGS. 1 and 2.
  • a wafer substrate 12 is first positioned on chuck 20 in PECVD chamber 16 by wafer handler 30.
  • the substrate 12 is typically a silicon wafer prepared for receiving a-F:C, the a-F:C being deposited on the upper surface 58 of the wafer.
  • the first step shown in FIG. 2 is step 70, which is the heating of substrate 12 to a temperature above 200° C.
  • wafer 12 is heated to a temperature generally in the range of 200° C. -300° C.
  • the next step 76 is the introduction of a flow of fluorine containing gas (FCG) and carbon containing gas (CCG) into chamber 16 via manifold 36 from suitable supplies 40.
  • FCG fluorine containing gas
  • CCG carbon containing gas
  • the preferred FCG is octafluorocyclobutane (C 4 F 8 ) and the preferred CCG is methane (CH 4 ).
  • the ratio of FCG/CCG introduced into chamber 16 is selected to deposit a-F:C on substrate 12 by plasma enhanced chemical vapor deposition. The suggested ratio is between 1/1 and 30/1 (FCG/CCG) and, preferably, between 5/1 and 15/1.
  • suitable plasma power is applied in chamber 16 (step 78).
  • suitable plasma power includes HF energy (13.56 MHz), at an energy level of between 0.5 Watts and 3.0 Watts per square centimeter of substrate surface (i.e., the surface area of substrate 12), and LF energy, at a frequency generally in the range of 100 KHz 900 KHz, at an energy level of 0.5 Watts and 3.0 Watts per square centimeter of substrate surface.
  • C 4 F 8 provides discharge of two kinds of long-life radicals.
  • One is the fluorocarbon radical (CF x ) (wherein 1 ⁇ 2), which is the building block for a-F:C deposits.
  • the other is F and F 2 atoms, which are destructive etchants that form volatile fluorides which weaken the a-F:C film deposited on substrate 12.
  • the methane serves to discharge hydrogen (H) radicals, which can tie up F atoms by forming volatile HF, which reduces the etching from the F and F 2 atoms, thus improving the stability of the resultant a-F:C film deposited on the wafer.
  • the deposition rate and the fluorine concentration of the a-F:C film are selectively controlled by the flow rates of the FCG and CCG gases, as well as the chamber pressure within chamber 16.
  • the ratio of FCG to CCG is generally between 1 to 1 and 30 to 1 and is preferably between 5 to 1 and 15 to 1 (FCG to CCG).
  • the ambient pressure maintained within chamber 16 during steps 76 and 78 is preferably generally in the range of 0.3 Torr to 2.0 Torr.
  • the present invention further includes the additional step 80 of introducing silane (SiH 4 ) gas into chamber 16, together with the FCG and CCG gases, during steps 76 and 78.
  • the percentage of silane introduced into chamber 16 during step 80 is preferably generally in the range of 1% to 15% of the total introduced gases (i.e., FCG and CCG and silane).
  • the silane has been found to improve the thermal stability of the deposited a-F:C film.
  • Thermal stability is generally defined as minimal-to-zero shrinkage (e.g., less than 1% shrinkage) of the deposited a-F:C film during the high temperature anneal which is carried out upon completion of the interlevel interconnects on an IC wafer. It is advantageous in IC wafer fabrication to be able to anneal the fabricated wafer at a temperature above 440° C. for a minimum of approximately 20 minutes, and preferably between 30 minutes and up to several hours.
  • the anneal is generally a part of the completion process for the devices on the wafer.
  • One significant problem with the use of a-F:C dielectrics on IC wafers has been its poor thermal stability during anneals over 350° C.-400° C.
  • Fluorinated amorphous carbon films deposited using prior art processes, when subjected to higher temperature anneals (440° C.+) exhibit undesirable shrinkage, for example, 5%-20% or more.
  • good thermal stability minimum or zero shrinkage at final anneals up to 440° C.-465° C.
  • Greatly improved thermal stability is provided over a wider range of anneal temperatures, for example, between 300° C.-550° C.
  • FIG. 3 shows an illustrative embodiment the process of the present invention, explaining the process in greater detail.
  • the wafer 12 is positioned on chuck 20 in chamber 16 and heated to a temperature generally in the range of 200° C. to 300° C.
  • gases C 4 F 8 , CH 4 , and SiH 4 are introduced into chamber 16 via supplies 40 and valves 42 and manifold 36.
  • the gases are distributed in chamber 16 through showerhead 46.
  • the flow rates of gases during step 102 are the rates required to maintain the ambient pressure within chamber 16 generally in the range of 0.3 Torr and 2.0 Torr.
  • the ratio of C 4 F 8 to CH 4 (C 4 F 8 /CH 4 ) during step 102 is generally in the range of 1/1 to 30/1 and preferably between 5/1 and 15/1.
  • the percentage of silane gas introduced in step 102, as a percentage of the three introduced gases C 4 F 8 , CH 4 , and SiH 4 is generally in the range of 1% to 15% SiH 4 .
  • the flow of C 4 F 8 , CH 4 , and SiH 4 during step 102 can alternatively be characterized as flow rates measured in standard cubic centimeters per minute (sccm), per cubic meter of interior volume 22 in PECVD chamber 16.
  • sccm standard cubic centimeters per minute
  • the following are suitable flow rates (per m 3 of chamber volume) for carrying out step 102:
  • step 104 is carried out by applying HF and LF plasma energy in chamber 16.
  • the HF energy is at a suggested standard frequency of 13.56 MHz and at an energy level of between 0.5 Watts and 3.0 Watts per square centimeter of surface area 58 of substrate wafer 12.
  • LF energy is applied at a frequency generally in the range of 100 KHz to 900 KHz at an energy level of between 0.5 Watts and 3.0 Watts per square centimeter of substrate surface.
  • Steps 102 and 104 deposit a-F:C on wafer 12 (step 108).
  • a suitable thickness of a-F:C film deposited in step 108 is generally in the range of 1,000 angstroms to 10,000 angstroms.
  • wafer 12 is removed from chamber 16 by any suitable means, such as handler 30, and annealed (step 110) in a suitable annealing oven at a temperature generally in the range of 300° C. to 550° C.
  • a suitable annealing oven at a temperature generally in the range of 300° C. to 550° C.
  • the process exhibits good thermal stability (i.e., shrinkage of less than approximately 1%) at anneal temperatures above 440° C., generally in the range of 440° C.-465° C.
  • a 6-inch wafer 12 was placed on chuck 20 and heated to a temperature of 250° C.
  • a flow of C 4 F 8 , CH 4 , and SiH 4 gases was introduced into the chamber at the following flow rates
  • HF power 13.56 MHz was applied at a power level of 200 Watts and LF power (500 KHz) was applied at 200 Watts.
  • the ambient pressure in the chamber was maintained at approximately 0.4 Torr.
  • the above conditions produced a deposition rate of a-F:C of 1,200 angstroms/minute. Deposition was carried out for four minutes. Then the wafer was removed from the chamber and a final anneal was carried out at 450° C. for 30 minutes.
  • the resultant dielectric constant k of the a-F:C was approximately 2.3.
  • the present invention has been found to improve the thermal stability and lower the dielectric constant of deposited a-F:C films formed by PECVD processes. Variations in the process are possible within the scope of the present invention. For example, the deposition temperature and ratio of gases specified in the experimental example are suggestive only. Within the specified ranges disclosed herein, it will be necessary to optimize the flow rates and temperatures used in IC manufacturing processes which are employed for commercial production.

Abstract

The invention provides a process for depositing fluorinated amorphous carbon (a-F:C) films on IC wafers to provide a low-k interconnect dielectric material. The process, carried out in a PECVD chamber, introduces silane gas (SiH4) into the mixture of C4 F8 and CH4 gases used to deposit a-F:C films. The silane helps to decrease the fluorine etchants in the deposited film, helping to improve the crosslinks in the deposited product. Film produced in accordance with the present invention has both low-k, generally below 2.4, and high thermal stability, generally above 440° C., allowing for higher thermal anneal temperatures.

Description

BACKGROUND AND SUMMARY OF THE INVENTION
The invention relates to a method of forming an interlevel dielectric of the type used in interconnect structures of integrated circuits, and more paticularly to a plasma enhanced chemical vapor deposition method of forming a low-dielectric-constant insulating material.
The designers and makers of large scale integrated circuits continue to make ever-smaller devices which allow for greater speed and increased device packing densities. Sizes of individual features (e.g., the transistor gate length) on ultra-large-scale-integration (ULSI) circuits is shrinking to less than 0.25 microns (μm). The resultant increase in packing densities on a semiconductor chip, and the associated increase in functionality, has greatly increased the number and density of interconnects on each chip.
Smaller on-chip devices, packed closer together, with increased functionality and complexity, require interconnects (lines, vias, etc.) which are smaller, more complex (e.g., more wiring levels), and more closely-spaced. The smaller sizes of the interconnects, which increases resistance, and closer interconnect spacing, leads to RC (resistance-capacitance) coupling problems including propagation delays and cross talk noise between interlevel and intralevel conductors. As interconnect lines, both interlevel and intralevel, become smaller and more closely spaced RC, delays become an increasing part of total signal delays, offsetting any speed advantage derived from smaller device size. RC delays thus limit improvement in device performance. Small conductor size increases the resistivity (R) of metal lines and smaller interline and interlevel spacing increases the capacitance (C) between lines. Use and development of lower-resistivity metals such as copper will continue to reduce the resistivity of interconnect lines. Capacitance can be reduced by employing lower dielectric constant (i.e., lower-k) dielectric materials.
Since capacitance (C) is directly proportional to the dielectric constant (k) of the interconnect dielectric, RC problems presented by ULSI circuits can be reduced if a low-dielectric-constant (low-k) material is used as the insulating material disposed between and around the interlevel and intralevel conductors (the dielectric being referred to herein as the "interconnect dielectric" or "interconnect dielectric material"). What the industry is seeking is a suitable replacement for silicon dioxide (SiO2), which has long been used as a dielectric in integrated circuits. Silicon dioxide has excellent thermal stability and relatively good dielectric properties, having a dielectric constant of around 4.0. But there is now a need for an interconnect dielectric material which is suitable for use in IC circuit interconnects and which has a lower dielectric constant than SiO2.
After a long search for possible low dielectric constant materials to be used as an interconnect dielectric in ULSI circuits, the candidates have now been narrowed down to a few, depending upon application. One of the promising materials, which has been actively studied recently and received considerable attention, is fluorinated amorphous carbon (a-F:C).
Fluorocarbon polymers have been studied for more than two decades and most of their applications is for the use of coating materials to protect plastics, fibers, and metals. It is known that a-F:C films can be fabricated using plasma enhanced CVD ("PECVD"). Early experience with a-F:C showed that the films deposited at room temperature could be deposited with a dielectric constant as low as 2.1 and a thermal stability <300° C. Further experimentation showed that if the a-F:C films were deposited at higher substrate temperatures, the thermal stability could be improved up to 400° C., but the dielectric constant increased above ˜2.5.
Table I illustrates how the dielectric constants and thermal stabilities of several members of carbon family compare to a-F:C. It shows that the dielectric constant can be lowered if carbon films contain higher fluorine concentrations. In the PECVD process, the fluorine concentration of a-F:C film depends on the fluorine to carbon ratio in the discharge, which is established by the feed gas composition, RF power input, substrate temperature, and total pressure. The thermal stability is closely related to the degree of crosslinking among the polymer chains. The greater the degree of crosslinking, the more tightly bound the structures are, and the higher the thermal stability. In a PECVD process, either raising substrate temperature, enhancing ion bombardment, or applying low frequency plasma energy can increase the crosslinking in a-F:C films. Higher temperature deposition has the disadvantage of inevitably reducing the fluorine concentration, thereby increasing the dielectric constant.
              TABLE I
______________________________________
Relevant properties for several members of carbon family
       Chemical                       Thermal
Material
       Composition  Structure   k     Stability
______________________________________
Diamond
       C            Crystalline, fully
                                Greater
                                      Very high
                    crosslinked than 5
Hydro- C & H        Amorphous   2.7-3.8
                                      350-
genated
       H: 30 at. %- polymer,          400° C.
Carbon 50 at. %     highly crosslinked
(a-H:C) or
Diamond-
like
Carbon
(DLC)
Fluor- C & F        Amorphous   2.1-2.8
                                      300-
inated F: 40 at. %- polymer,          420° C.
Amor-  50 at. %     highly crosslinked
phous
Carbon
(a-F:C)
PTFE   C & F        (--CF.sub.2 --)
                                2.0   <300° C.
or Teflon
       F: 67 at. %  polymer,
                    uncrosslinked
______________________________________
Compared with the processes at low deposition temperatures, the disadvantages of high temperature deposition processes are that it not only increases the dielectric constant, but also leads to poor adhesion to SiO2 and Si3 N4 due to increased thermal stress, and also causes higher leakage current in the films. It appears that a lower deposition temperature is desirable.
Fluorinated amorphous carbon has a dielectric constant k below 3.0 and, depending on the proportion of fluorine (F) in the film, can have a k in the range of 2.0 to 2.5. A major problem with a-F:C is its poor thermal stability. It has heretofore not been possible to prepare a-F:C films with suitable low-dielectric-constant properties (k less than 2.5), and a thermal stability above 400° C. Temperatures in the sintering range (450° C.) typical for manufacturing ULSI chips cause excessive shrinkage of the a-F:C film, probably due to fluorine volatilization. Mechanical strength and adhesion problems also are obstacles to the use of a-F:C as an interconnect dielectric in high-density integrated circuits.
It would be advantageous to have a dielectric material for use in interconnect structures of integrated circuits, alternatively referred to herein as an "interconnect dielectric" which has a low dielectric constant (k=3.0 or less) and improved thermal stability up to 450° C., thus providing a suitable lower-k replacement for silicon dioxide dielectric.
It would also be advantageous to have an a-F:C film which has a dielectric constant of 2.5 or less which is thermally stable to 450° C.
It would also be advantageous to have a method of forming a-F:C film on a silicon substrate using plasma enhanced chemical vapor deposition (PECVD) techniques for depositing low-k a-F:C dielectric material on a semiconductor substrate, wherein the resultant a-F:C is substantially stable up to 450° C.
Accordingly, a plasma enhanced chemical vapor deposition (PECVD) process is provided for depositing a dielectric material on a substrate for use in interconnect structures of integrated circuits. The method comprises steps which include positioning the substrate in a PECVD chamber and heating the substrate to a temperature above 200° C. A flow of fluorine containing gas (FCG) and carbon containing gas (CCG) is introduced into the chamber under sufficient applied energy to form a fluorine and carbon gas plasma in the chamber. The ratio of FCG to CCG is selected to deposit fluorinated amorphous carbon on the substrate. And at the same time as the flow of FCG and CCG is introduced into the chamber, a flow of silane (SiH4) is introduced into the chamber. The silane increases the thermal stability of the fluorinated amorphous carbon deposited on the substrate.
The preferred fluorine containing gas (FCG) used in the process of the present invention is octafluorocyclobutane (C4 F8). The preferred carbon containing gas (CCG) used in the process is methane (CH4). A suitable ratio of FCG to CCG for the deposit of fluorinated amorphous carbon on the substrate is generally in the range of between 1/1 and 30/1 (FCG/CCG) and, more preferably, generally in the range of 5/1 to 15/1. The percentage of silane gas in the mixture of FCG, CCG, and silane gases introduced into the PECVD chamber is preferably generally in the range of 1% to 15%. The ambient pressure maintained in the PECVD chamber during the introduction of FCG, CCG, and silane into the chamber is preferably generally in the range of 0.3 Torr to 2.0 Torr.
During the introduction of FCG, CCG, and silane into the PECVD chamber, plasma energy, in the form of high frequency (HF) plasma energy, is supplied to ionize the gases introduced into the chamber. Simultaneously, low frequency (LF) energy is introduced into the chamber, to enhance the crosslinking in the deposited a-F:C film. The HF energy has a frequency of 13.56 MHz and is preferably applied at an energy level of between 0.5 Watts and 3.0 Watts per square centimeter of substrate surface. Simultaneously, the LF is supplied in a frequency range generally in the range of 100 KHz to 900 KHz, the low frequency energy level preferably being generally in the range of 0.5 Watts and 3.0 Watts per square centimeter of substrate surface.
The above-described process is carried out for a duration sufficient to deposit a selected thickness of fluorinated amorphous carbon on the substrate. A suitable selected thickness for the a-F:C film deposited using the present invention is generally in the range of 1,000 angstroms to 10,000 angstroms, although the invention is not limited to any specific thickness range. Upon completion of the deposition of a selected thickness of fluorinated amorphous carbon on the substrate, the substrate and deposited fluorinated amorphous carbon is annealed. The present invention allows for annealing at a temperature greater than or equal to 440° C., although the process can be used with anneals of between 300° C. and 550° C. The duration of the anneal is a matter of design choice but will generally exceed 20 minutes and can be 2 hours or more, depending on the design and performance specifications of the integrated circuits being fabricated.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a schematic depiction of a PECVD chamber for carrying out selected steps in the process of the present invention.
FIG. 2 is a block diagram illustrating the steps in the process for depositing fluorinated amorphous carbon on a substrate in a PECVD chamber as shown in the FIG. 1, in accordance with the present invention.
FIG. 3 is a block diagram illustrating a preferred embodiment of a process for depositing fluorinated amorphous carbon on a substrate in a PECVD chamber, carrying the process through to a final anneal.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
The present invention provides a process for depositing fluorinated amorphous carbon (a-F:C) on a silicon wafer or other substrate. The wafer substrate, at the time the steps in the method are carried out, has been processed by well-known techniques (not shown) to produce integrated circuit (IC) features (e.g., transistors and other active and passive devices) on the wafer. The type and number of integrated circuit features on the substrate are unimportant to the process of the present invention, except that the low-k fluorinated amorphous carbon dielectric material is most advantageously employed on ultra-large-scale-integration (ULSI) high-density ICs. The dielectric material is used in interconnect structures, such as conductive lines and vias (not shown) which are well-known conductive interconnect features typically formed in, and extend through, the interconnect dielectric film which is deposited on the wafer, including the a-F:C dielectric deposited in the method of the present invention. The form, architecture, and conductive materials used in the interconnect structures, as well as the methods of forming such structures, are not described herein and are a matter of design choice well known to those skilled in the art. This invention relates to the method of forming a suitable low-dielectric-constant (low-k) dielectric film which is deposited on the wafer and is suitable for use between and around the conductive lines, vias, and other conductors in ULSI and similar ICs.
FIG. 1 is a schematic illustration of a suitable apparatus 10 for carrying out a plasma enhanced chemical vapor deposition (PECVD) on a substrate such as wafer 12. Apparatus 10 includes a PECVD chamber 16 of a size suitable for holding one or more wafers 12, which are supported in the chamber on a chuck 20. As is typical of such chambers, the interior 22 can be evacuated or pressurized as desired by a suitable pump and valve apparatus schematically illustrated in FIG. 1 by pump 26. Individual wafers 12 are moved in and out of chamber 16 by a suitable wafer handler 30 through a gate valve 32 in the chamber wall, allowing wafers to be moved onto chuck 20 for processing, and then removed from the chamber.
Selected gases used in PECVD processing are introduced into the chamber through a suitable manifold system 36 from various gas supply reservoirs indicated collectively at 40, controlled by valves 42. The gases are introduced into the chamber through what is called a shower head 46, which distributes the gases as required. Chuck 20 can be heated to any desired temperature, the heating element for this purpose being schematically depicted as heater 50. The heater and chuck are used to select the temperature of wafer 12 during PECVD processing.
Plasma energy is supplied to the chamber through an RF generator 52 which supplies high frequency (HF) RF power radiated through shower head 46. The industry standard for HF plasma energy use in PECVD chambers is 13.56 megahertz (MHz), although the invention is not limited to any exact high frequency value. Apparatus 10 preferably also includes a low frequency (LF) generator 56 for supplying LF power to the interior of the chamber. LF power is applied between the chuck 20 and shower head 46 in a manner well known to those skilled in the art. LF power is used to increase crosslinking in the amorphous fluorinated carbon (a-F:C) film deposited on wafer 12 during PECVD processing.
FIG. 2 illustrates the steps in the process of the present invention, which will be described with reference to FIGS. 1 and 2. A wafer substrate 12 is first positioned on chuck 20 in PECVD chamber 16 by wafer handler 30. The substrate 12 is typically a silicon wafer prepared for receiving a-F:C, the a-F:C being deposited on the upper surface 58 of the wafer. The first step shown in FIG. 2 is step 70, which is the heating of substrate 12 to a temperature above 200° C. Preferably, wafer 12 is heated to a temperature generally in the range of 200° C. -300° C.
The next step 76 is the introduction of a flow of fluorine containing gas (FCG) and carbon containing gas (CCG) into chamber 16 via manifold 36 from suitable supplies 40. In the process of the present invention, the preferred FCG is octafluorocyclobutane (C4 F8) and the preferred CCG is methane (CH4). The ratio of FCG/CCG introduced into chamber 16 is selected to deposit a-F:C on substrate 12 by plasma enhanced chemical vapor deposition. The suggested ratio is between 1/1 and 30/1 (FCG/CCG) and, preferably, between 5/1 and 15/1. During step 76 suitable plasma power is applied in chamber 16 (step 78). In the present invention, suitable plasma power includes HF energy (13.56 MHz), at an energy level of between 0.5 Watts and 3.0 Watts per square centimeter of substrate surface (i.e., the surface area of substrate 12), and LF energy, at a frequency generally in the range of 100 KHz 900 KHz, at an energy level of 0.5 Watts and 3.0 Watts per square centimeter of substrate surface.
As is known to those skilled of PECVD processing, the plasma energy in chamber 16 ionizes the introduced gases, generating polymer radicals which are deposited on surface 58 of wafer 12. C4 F8 provides discharge of two kinds of long-life radicals. One is the fluorocarbon radical (CFx) (wherein 1≦×≦2), which is the building block for a-F:C deposits. The other is F and F2 atoms, which are destructive etchants that form volatile fluorides which weaken the a-F:C film deposited on substrate 12. The methane serves to discharge hydrogen (H) radicals, which can tie up F atoms by forming volatile HF, which reduces the etching from the F and F2 atoms, thus improving the stability of the resultant a-F:C film deposited on the wafer. The deposition rate and the fluorine concentration of the a-F:C film are selectively controlled by the flow rates of the FCG and CCG gases, as well as the chamber pressure within chamber 16. As noted above, the ratio of FCG to CCG is generally between 1 to 1 and 30 to 1 and is preferably between 5 to 1 and 15 to 1 (FCG to CCG). The ambient pressure maintained within chamber 16 during steps 76 and 78 is preferably generally in the range of 0.3 Torr to 2.0 Torr.
The present invention further includes the additional step 80 of introducing silane (SiH4) gas into chamber 16, together with the FCG and CCG gases, during steps 76 and 78. The percentage of silane introduced into chamber 16 during step 80 is preferably generally in the range of 1% to 15% of the total introduced gases (i.e., FCG and CCG and silane). The silane has been found to improve the thermal stability of the deposited a-F:C film.
The reactions in the PECVD chamber are summarized as follows:
PLASMA POLYMERIZATION CHEMISTRY
Precursor: C4 F8 +CH4
Free radicals produced by plasma discharge: ##STR1## Adding CH4 →suppressing fluorine etching to (CFx)n deposits: ##STR2## Adding SiH4 →suppressing fluorine etching to (CFx)n deposits: ##STR3##
The amorphous fluorinated carbon deposited on wafer 12, which is the product 86 of the present invention, has been found to have a lower dielectric constant and greater thermal stability than a-F:C films deposited without the use of silane gas. Thermal stability is generally defined as minimal-to-zero shrinkage (e.g., less than 1% shrinkage) of the deposited a-F:C film during the high temperature anneal which is carried out upon completion of the interlevel interconnects on an IC wafer. It is advantageous in IC wafer fabrication to be able to anneal the fabricated wafer at a temperature above 440° C. for a minimum of approximately 20 minutes, and preferably between 30 minutes and up to several hours. The anneal is generally a part of the completion process for the devices on the wafer. One significant problem with the use of a-F:C dielectrics on IC wafers has been its poor thermal stability during anneals over 350° C.-400° C. Fluorinated amorphous carbon films deposited using prior art processes, when subjected to higher temperature anneals (440° C.+), exhibit undesirable shrinkage, for example, 5%-20% or more. Prior art PECVD amorphous fluorinated carbon deposition processes, which do not use silane, have been able to improve the thermal stability of the deposited film slightly (allowing for a final anneal temperature of up to 405° C. to 425° C.), with acceptable shrinkage, by reducing the fluorine content of the film. But lower F levels in the film tends to increase the dielectric constant (k) to perhaps k=2.6+.
The present invention is able to provide a-F:C films with good thermal stability (minimal or zero shrinkage at final anneals up to 440° C.-465° C.) with low k (k=2.25 to 2.5). Greatly improved thermal stability is provided over a wider range of anneal temperatures, for example, between 300° C.-550° C. The benefit of introducing silane gas into the PECVD chamber during a-F:C deposition is believed to help in the reduction of fluorine radicals by providing additional H radicals for combining with the F and F2 etchants in the chamber, as summarized by the final set of reactions shown above under the heading "PLASMA POLYMERIZATION CHEMISTRY." By whatever process, experiments with the introduction of silane in the percentages specified above does improve the thermal stability and reduce the dielectric constant of the resultant a-F:C film.
FIG. 3 shows an illustrative embodiment the process of the present invention, explaining the process in greater detail. Referring to FIGS. 1 and 3, in step 100 the wafer 12 is positioned on chuck 20 in chamber 16 and heated to a temperature generally in the range of 200° C. to 300° C. In step 102, gases C4 F8, CH4, and SiH4 are introduced into chamber 16 via supplies 40 and valves 42 and manifold 36. The gases are distributed in chamber 16 through showerhead 46. The flow rates of gases during step 102 are the rates required to maintain the ambient pressure within chamber 16 generally in the range of 0.3 Torr and 2.0 Torr. The ratio of C4 F8 to CH4 (C4 F8 /CH4) during step 102 is generally in the range of 1/1 to 30/1 and preferably between 5/1 and 15/1. The percentage of silane gas introduced in step 102, as a percentage of the three introduced gases C4 F8, CH4, and SiH4, is generally in the range of 1% to 15% SiH4.
The flow of C4 F8, CH4, and SiH4 during step 102 can alternatively be characterized as flow rates measured in standard cubic centimeters per minute (sccm), per cubic meter of interior volume 22 in PECVD chamber 16. The following are suitable flow rates (per m3 of chamber volume) for carrying out step 102:
3000 to 10,000 sccm of C4 F8 per cubic meter;
300 to 1100 sccm of CH4 per cubic meter; and
100 to 550 sccm of SiH4 per cubic meter.
During the introduction of gases C4 F8, CH4, and SiH4, step 104 is carried out by applying HF and LF plasma energy in chamber 16. The HF energy is at a suggested standard frequency of 13.56 MHz and at an energy level of between 0.5 Watts and 3.0 Watts per square centimeter of surface area 58 of substrate wafer 12. Simultaneously, LF energy is applied at a frequency generally in the range of 100 KHz to 900 KHz at an energy level of between 0.5 Watts and 3.0 Watts per square centimeter of substrate surface.
Steps 102 and 104 deposit a-F:C on wafer 12 (step 108). A suitable thickness of a-F:C film deposited in step 108 is generally in the range of 1,000 angstroms to 10,000 angstroms.
Finally, wafer 12 is removed from chamber 16 by any suitable means, such as handler 30, and annealed (step 110) in a suitable annealing oven at a temperature generally in the range of 300° C. to 550° C. The process exhibits good thermal stability (i.e., shrinkage of less than approximately 1%) at anneal temperatures above 440° C., generally in the range of 440° C.-465° C.
The following is a specific experimental example of the process of the present invention:
EXAMPLE
In an OXFORD Plasma lab 100 PECVD system chamber, a 6-inch wafer 12 was placed on chuck 20 and heated to a temperature of 250° C. A flow of C4 F8, CH4, and SiH4 gases was introduced into the chamber at the following flow rates
C4 F8 :137 sccm;
CH4 :15 sccm; and
SiH4 :6.1 sccm.
HF power (13.56 MHz) was applied at a power level of 200 Watts and LF power (500 KHz) was applied at 200 Watts. The ambient pressure in the chamber was maintained at approximately 0.4 Torr.
The above conditions produced a deposition rate of a-F:C of 1,200 angstroms/minute. Deposition was carried out for four minutes. Then the wafer was removed from the chamber and a final anneal was carried out at 450° C. for 30 minutes.
The resultant dielectric constant k of the a-F:C was approximately 2.3.
The present invention has been found to improve the thermal stability and lower the dielectric constant of deposited a-F:C films formed by PECVD processes. Variations in the process are possible within the scope of the present invention. For example, the deposition temperature and ratio of gases specified in the experimental example are suggestive only. Within the specified ranges disclosed herein, it will be necessary to optimize the flow rates and temperatures used in IC manufacturing processes which are employed for commercial production.

Claims (20)

What is claimed is:
1. A plasma enhanced chemical vapor deposition (PECVD) process for depositing a fluorinated amorphous carbon dielectric material on a substrate for use in interconnect structures of integrated circuits, the method comprising the following steps:
a) position the substrate in a PECVD chamber and heat the substrate to a temperature above 200° C.;
b) introduce a flow of fluorine containing gas (FCG) and carbon containing gas (CCG) into the chamber under sufficient applied energy to form a fluorine and carbon gas plasma, the flow rate ratio of FCG to CCG being selected to deposit fluorinated amorphous carbon containing 40 or more at. % F on the substrate; and
c) introduce a flow of SiH4 (silane) into the chamber together with the FCG and CCG in step b) the SiH4 providing H radicals for combining with F atoms to suppress fluorine etchants during fluorinated amorphous carbon deposition, whereby the silane increases the thermal stability of the fluorinated amorphous carbon deposited on the substrate.
2. A PECVD process as in claim 1 in which the substrate is heated to a temperature in the range of about 200° C. to about 300° C. in step a).
3. A PECVD process as in claim 1 in which the FCG introduced in step b) is C4 F8.
4. A PECVD process as in claim 3 in which the CCG introduced in step b) is CH4.
5. A PECVD process as in claim 4 in which the ambient pressure in the PECVD chamber maintained during the introduction of C4 F8, CH4, and silane is in the range of about 0.3 Torr to about 2.0 Torr.
6. A PECVD process as in claim 5 in which the ratio of C4 F8 to CH4 (C4 F8 /CH4) introduced into the PECVD chamber during steps b) and c) is between about 1/1 and about 30/1.
7. A PECVD process as in claim 5 in which the ratio of C4 F8 to CH4 (C4 F8 /CH4) introduced into the PECVD chamber during steps b) and c) is between about 5/1 and about 15/1.
8. A PECVD process as in claim 6 in which the percentage of silane in the C4 F8, CH4, and silane gases introduced into the PECVD chamber in steps b) and c) is in the range of about 1% to about 15%.
9. A PECVD process as in claim 5 in which flow rates of the C4 F8, CH4, and silane gases introduced into the PECVD chamber in steps b) and c), per cm3 of the chamber interior volume, are in the following ranges:
about 3000 to about 10,000 sccm of C4 F8 per m3 ;
about 300 to about 1100 sccm CH4 per m3 ; and
about 100 to about 550 sccm of silane per m3.
10. A PECVD process as in claim 1 in which the plasma energy applied in the chamber to form the gas plasma therein includes high-frequency energy at a frequency of 13.56 MHz and an energy level of between 0.5 Watts and 3.0 Watts per square centimeter of substrate surface.
11. A PECVD process as in claim 10 in which the plasma energy applied in the chamber further includes low-frequency energy at a frequency in the range of about 100 KHz to about 900 KHz and energy level of between 0.5 Watts and 3.0 Watts per square centimeter of substrate surface.
12. A PECVD process as in claim 1 including continuing the process until a selected thickness of fluorinated amorphous carbon is deposited on the substrate, and further including the step of annealing the substrate at a temperature in the range of about 300° C. to about 550° C.
13. A plasma enhanced chemical vapor deposition (PECVD) process for depositing fluorinated amorphous carbon dielectric on a substrate for use in interconnect structures of integrated circuits, the method comprising the following steps:
a) position the substrate in a PECVD chamber and heat the substrate to a temperature between 200° C. and 300° C.;
b) introduce octafluorocyclobutane (C4 F8), methane (CH4), and silane (SiH4) into the chamber, wherein the percentage of silane in the introduced gases is in the range of about 1% to about 15%;
c) apply plasma energy in the chamber to form a gas plasma which includes CFx polymer radicals, wherein x is greater than or equal to 1 and less than or equal to 2, for depositing fluorinated amorphous carbon containing 40 or more at. % fluorine on the substrate; and
d) maintain a sufficient flow of octafluorocyclobutane, methane, and silane into the chamber during step b) to provide an interior chamber pressure in the range of about 0.3 Torr to about 2.0 Torr until a selected thickness of the fluorinated amorphous carbon is deposited on the substrate, wherein the silane provides H radicals for combining with F atoms to suppress fluorine etchants during the fluorinated amorphous carbon deposition.
14. A PECVD process as in claim 13 in which the plasma energy applied in the chamber further includes high-frequency energy at a frequency of 13.56 MHz and an energy level of between 0.5 Watts and 3.0 Watts per square centimeter of substrate surface.
15. A PECVD process as in claim 14 in which the plasma energy applied in the chamber further includes low-frequency energy at a frequency in the range of about 100 KHz to about 900 KHz and energy level of between 0.5 Watts and 3.0 Watts per square centimeter of substrate surface.
16. A PECVD process as in claim 13 in which the ratio of octafluorocyclobutane to methane (C4 F8 /CH4) introduced into the PECVD chamber during the process is between about 1/1 and about 30/1.
17. A PECVD process as in claim 16 in which the percentage of silane in the C4 F8, CH4, and silane gases introduced into the PECVD chamber in steps b) and c) is in the range of about 1% to about 15%.
18. A PECVD process as in claim 13 in which the ratio of octafluorocyclobutane to methane (C4 F8 /CH4) introduced into the PECVD chamber during the process is between about 5/1 and about 15/1.
19. A PECVD process as in claim 18 in which the percentage of silane in the C4 F8, CH4, and silane gases introduced into the PECVD chamber in steps b) and c) is in the range of about 1% to about 15%.
20. A PECVD process as in claim 13 including continuing the process until a selected thickness of fluorinated amorphous carbon is deposited on the substrate, and further including the step of annealing the substrate at a temperature greater than or equal to 440° C.
US09/023,382 1998-02-13 1998-02-13 Method of making low-k fluorinated amorphous carbon dielectric Expired - Fee Related US5900290A (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US09/023,382 US5900290A (en) 1998-02-13 1998-02-13 Method of making low-k fluorinated amorphous carbon dielectric
JP10338211A JPH11251308A (en) 1998-02-13 1998-11-27 Low dielectric constant fluorinated amorphous carbon dielectric and formation method therefor
TW087120344A TW414812B (en) 1998-02-13 1998-12-08 Low-k fluorinated amorphous carbon dielectric and method of making the same
DE69933598T DE69933598T2 (en) 1998-02-13 1999-01-21 Low k fluorinated amorphous carbon dielectric, and method of making the same
EP99300444A EP0936282B1 (en) 1998-02-13 1999-01-21 Low-k fluorinated amorphous carbon dielectric and method of making the same
KR1019990003534A KR100283007B1 (en) 1998-02-13 1999-02-03 Low-k fluorinated amorphous carbon dielectric and method of making the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/023,382 US5900290A (en) 1998-02-13 1998-02-13 Method of making low-k fluorinated amorphous carbon dielectric

Publications (1)

Publication Number Publication Date
US5900290A true US5900290A (en) 1999-05-04

Family

ID=21814764

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/023,382 Expired - Fee Related US5900290A (en) 1998-02-13 1998-02-13 Method of making low-k fluorinated amorphous carbon dielectric

Country Status (6)

Country Link
US (1) US5900290A (en)
EP (1) EP0936282B1 (en)
JP (1) JPH11251308A (en)
KR (1) KR100283007B1 (en)
DE (1) DE69933598T2 (en)
TW (1) TW414812B (en)

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6147407A (en) * 1998-03-27 2000-11-14 Lucent Technologies Inc. Article comprising fluorinated amorphous carbon and process for fabricating article
US6184157B1 (en) * 1998-06-01 2001-02-06 Sharp Laboratories Of America, Inc. Stress-loaded film and method for same
EP1087036A1 (en) * 1999-09-27 2001-03-28 Tokyo Electron Limited Method and apparatus for observing porous amorphous film, and method and apparatus for forming the same
WO2001040537A1 (en) * 1999-11-30 2001-06-07 The Regents Of The University Of California Method for producing fluorinated diamond-like carbon films
SG81991A1 (en) * 1999-05-25 2001-07-24 Tokyo Electron Ltd Method for producing insulator film
US6296906B1 (en) 1999-09-30 2001-10-02 Novellus Systems, Inc. Annealing process for low-k dielectric film
US6303518B1 (en) 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
US6419985B1 (en) * 1997-11-27 2002-07-16 Tokyo Electron Ltd. Method for producing insulator film
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6465372B1 (en) * 1999-08-17 2002-10-15 Applied Materials, Inc. Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
US6486078B1 (en) 2000-08-22 2002-11-26 Advanced Micro Devices, Inc. Super critical drying of low k materials
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6652969B1 (en) * 1999-06-18 2003-11-25 Nissin Electric Co., Ltd Carbon film method for formation thereof and article covered with carbon film and method for preparation thereof
US6770332B2 (en) * 1997-11-20 2004-08-03 Tokyo Electron Limited Method for forming film by plasma
US6773762B1 (en) * 1997-11-20 2004-08-10 Tokyo Electron Limited Plasma treatment method
US20040158024A1 (en) * 2001-07-05 2004-08-12 Kreisler Lau Low dielectric constant materials and methods of preparation thereof
US20040247896A1 (en) * 2001-12-31 2004-12-09 Paul Apen Organic compositions
US7060323B2 (en) * 1996-08-29 2006-06-13 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US20060166491A1 (en) * 2005-01-21 2006-07-27 Kensaku Ida Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
US7101771B2 (en) 2000-04-04 2006-09-05 Micron Technology, Inc. Spin coating for maximum fill characteristic yielding a planarized thin film surface
DE102005034764A1 (en) * 2005-07-26 2007-02-01 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Process for the preparation of functional fluorocarbon polymer layers by plasma polymerization of perfluorocycloalkanes
US20100022033A1 (en) * 2006-03-28 2010-01-28 Lam Research Corporation Process for wafer temperature verification in etch tools
US20100093115A1 (en) * 2006-03-28 2010-04-15 Lam Research Corporation Etch tool process indicator method and apparatus
US9245967B2 (en) 2009-10-14 2016-01-26 Samsung Electronics Co., Ltd. Semiconductor device including metal silicide layer and method for manufacturing the same
CN110612596A (en) * 2017-04-13 2019-12-24 应用材料公司 Method and apparatus for depositing low dielectric constant films

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100541541B1 (en) * 1999-08-26 2006-01-12 삼성전자주식회사 Process Chamber of Plasma Process System
JP4758938B2 (en) * 2001-08-30 2011-08-31 東京エレクトロン株式会社 Insulating film forming method and insulating film forming apparatus
EP1568071B1 (en) * 2002-11-29 2019-03-20 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer comprising a separation layer and a support layer and its manufacturing method
US9520372B1 (en) 2015-07-20 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level package (WLP) and method for forming the same
KR20230169654A (en) 2022-06-09 2023-12-18 충남대학교산학협력단 High-k Amorphous Fluorinated Carbon Thin Films, Preparation Method thereof and Applications to Semiconductor or Capacitor Devices

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62180073A (en) * 1986-02-03 1987-08-07 Ricoh Co Ltd Amorphous carbon film and its production

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2962851B2 (en) * 1990-04-26 1999-10-12 キヤノン株式会社 Light receiving member
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
JP2737720B2 (en) * 1995-10-12 1998-04-08 日本電気株式会社 Thin film forming method and apparatus

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62180073A (en) * 1986-02-03 1987-08-07 Ricoh Co Ltd Amorphous carbon film and its production

Non-Patent Citations (12)

* Cited by examiner, † Cited by third party
Title
Article titled, Low Dielectric Constant Materials for ULSI Interlayer Dielectric Applications by W. W. Lee and P.S. Ho, published in MRS Bulletin/Oct. 1997, pp. 19 23. *
Article titled, Low-Dielectric-Constant Materials for ULSI Interlayer-Dielectric Applications by W. W. Lee and P.S. Ho, published in MRS Bulletin/Oct. 1997, pp. 19-23.
Paper titled, "Diamondlike Carbon Materials as Low-k Dielectrics for Multilevel Interconnects in ULSI" by A. Grill, A. Patel, K.L. Saenger, C. Jahnes, S.A. Cohen, A.G. Schrott, D.C. Edelstein and J.R. Paraszczak, pulished in Mat. Res. Soc. Symp. Proc. vol. 443, 1997 Materials Research Society, pp. 155-164.
Paper titled, "Fluorinated Amorphous Carbon Thin Films Grown from C4 F8 for Multilevel Interconnections of Integrated Circuits" by K. Endo, T. Tatsumi, Y. Matsubara and T. Horiuchi published in Mat. Res. Soc. Symp. Proc. vol. 443, 1997 Materials Research Society, pp. 165-170.
Paper titled, "Two Approaches to the Development of Los K Systems; Parylene AF-4, and Fluorinated Amorphous Carbon" by A. Harrus, J. Kelly, D. Kumar, T. Mountsier and M.A. Plano presented at 52nd Semiconductor Symposium of the Japaneses ECS, pp. 76-81.
Paper titled, "Ultra Low k Dielectric PECVD α-FC Films for Damascene Application" by S. Robles, P. Xu, W-F. Yau, J. Huang and K. Fairbairn presented at Advanced Metallization and Interconnect Systems for ULSI Systems Conf., Sep. 1997. No Page Number |.
Paper titled, Diamondlike Carbon Materials as Low k Dielectrics for Multilevel Interconnects in ULSI by A. Grill, A. Patel, K.L. Saenger, C. Jahnes, S.A. Cohen, A.G. Schrott, D.C. Edelstein and J.R. Paraszczak, pulished in Mat. Res. Soc. Symp. Proc. vol. 443, 1997 Materials Research Society, pp. 155 164. *
Paper titled, Fluorinated Amorphous Carbon Thin Films Grown from C 4 F 8 for Multilevel Interconnections of Integrated Circuits by K. Endo, T. Tatsumi, Y. Matsubara and T. Horiuchi published in Mat. Res. Soc. Symp. Proc. vol. 443, 1997 Materials Research Society, pp. 165 170. *
Paper titled, Fluorocarbon Films from Plasma Polymerization of Hexafluoropropylene and Hydrogen, by T.W. Mountsier and D. Kumar, published in Mat. Res. Soc. Symp. Proc. vol. 443, 1997 Materials Research Society, pp. 41 46. *
Paper titled, Fluorocarbon Films from Plasma Polymerization of Hexafluoropropylene and Hydrogen, by T.W. Mountsier and D. Kumar, published in Mat. Res. Soc. Symp. Proc. vol. 443, 1997 Materials Research Society, pp. 41-46.
Paper titled, Two Approaches to the Development of Los K Systems; Parylene AF 4, and Fluorinated Amorphous Carbon by A. Harrus, J. Kelly, D. Kumar, T. Mountsier and M.A. Plano presented at 52 nd Semiconductor Symposium of the Japaneses ECS, pp. 76 81. *
Paper titled, Ultra Low k Dielectric PECVD FC Films for Damascene Application by S. Robles, P. Xu, W F. Yau, J. Huang and K. Fairbairn presented at Advanced Metallization and Interconnect Systems for ULSI Systems Conf., Sep. 1997. No Page Number . *

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7060323B2 (en) * 1996-08-29 2006-06-13 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6773762B1 (en) * 1997-11-20 2004-08-10 Tokyo Electron Limited Plasma treatment method
US6770332B2 (en) * 1997-11-20 2004-08-03 Tokyo Electron Limited Method for forming film by plasma
US6419985B1 (en) * 1997-11-27 2002-07-16 Tokyo Electron Ltd. Method for producing insulator film
US6147407A (en) * 1998-03-27 2000-11-14 Lucent Technologies Inc. Article comprising fluorinated amorphous carbon and process for fabricating article
US6184157B1 (en) * 1998-06-01 2001-02-06 Sharp Laboratories Of America, Inc. Stress-loaded film and method for same
SG81991A1 (en) * 1999-05-25 2001-07-24 Tokyo Electron Ltd Method for producing insulator film
US6652969B1 (en) * 1999-06-18 2003-11-25 Nissin Electric Co., Ltd Carbon film method for formation thereof and article covered with carbon film and method for preparation thereof
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6465372B1 (en) * 1999-08-17 2002-10-15 Applied Materials, Inc. Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
US6583497B2 (en) * 1999-08-17 2003-06-24 Applied Materials Inc. Surface treatment of c-doped SiO2 film to enhance film stability during O2 ashing
EP1087036A1 (en) * 1999-09-27 2001-03-28 Tokyo Electron Limited Method and apparatus for observing porous amorphous film, and method and apparatus for forming the same
US6528108B1 (en) 1999-09-27 2003-03-04 Tokyo Electron Limited Method and apparatus for observing porous amorphous film, and method and apparatus for forming the same
US6296906B1 (en) 1999-09-30 2001-10-02 Novellus Systems, Inc. Annealing process for low-k dielectric film
US6303518B1 (en) 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
WO2001040537A1 (en) * 1999-11-30 2001-06-07 The Regents Of The University Of California Method for producing fluorinated diamond-like carbon films
US20070004219A1 (en) * 2000-04-04 2007-01-04 John Whitman Semiconductor device fabrication methods employing substantially planar buffer material layers to improve the planarity of subsequent planarazation processes
US7202138B2 (en) 2000-04-04 2007-04-10 Micron Technology, Inc. Spin coating for maximum fill characteristic yielding a planarized thin film surface
US20070004221A1 (en) * 2000-04-04 2007-01-04 John Whitman Methods for forming material layers with substantially planar surfaces on semiconductor device structures
US7101771B2 (en) 2000-04-04 2006-09-05 Micron Technology, Inc. Spin coating for maximum fill characteristic yielding a planarized thin film surface
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6486078B1 (en) 2000-08-22 2002-11-26 Advanced Micro Devices, Inc. Super critical drying of low k materials
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US20040158024A1 (en) * 2001-07-05 2004-08-12 Kreisler Lau Low dielectric constant materials and methods of preparation thereof
US7307137B2 (en) 2001-07-05 2007-12-11 Honeywell International Inc. Low dielectric constant materials and methods of preparation thereof
US20040247896A1 (en) * 2001-12-31 2004-12-09 Paul Apen Organic compositions
US20060166491A1 (en) * 2005-01-21 2006-07-27 Kensaku Ida Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
DE102005034764B4 (en) * 2005-07-26 2012-08-02 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Process for the preparation of functional fluorocarbon polymer layers by plasma polymerization of perfluorocycloalkanes and substrates coated therewith
US20090130330A1 (en) * 2005-07-26 2009-05-21 Fraunhofer-Gesellschaft Zur Foerderung Der Angerwandten Forschung E.V. Method for producing Functional Fluorocarbon Polymer Layers by Means of Plasma Polymerization of Perfluorocycloalkanes
DE102005034764A1 (en) * 2005-07-26 2007-02-01 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Process for the preparation of functional fluorocarbon polymer layers by plasma polymerization of perfluorocycloalkanes
US20100022033A1 (en) * 2006-03-28 2010-01-28 Lam Research Corporation Process for wafer temperature verification in etch tools
US20100093115A1 (en) * 2006-03-28 2010-04-15 Lam Research Corporation Etch tool process indicator method and apparatus
US7951616B2 (en) 2006-03-28 2011-05-31 Lam Research Corporation Process for wafer temperature verification in etch tools
US8206996B2 (en) 2006-03-28 2012-06-26 Lam Research Corporation Etch tool process indicator method and apparatus
US8492174B2 (en) 2006-03-28 2013-07-23 Lam Research Corporation Etch tool process indicator method and apparatus
US9245967B2 (en) 2009-10-14 2016-01-26 Samsung Electronics Co., Ltd. Semiconductor device including metal silicide layer and method for manufacturing the same
TWI562237B (en) * 2009-10-14 2016-12-11 Samsung Electronics Co Ltd Semiconductor device including metal silicide layer and method for manufacturing the same
CN110612596A (en) * 2017-04-13 2019-12-24 应用材料公司 Method and apparatus for depositing low dielectric constant films
CN110612596B (en) * 2017-04-13 2023-08-15 应用材料公司 Method and apparatus for depositing low dielectric constant films

Also Published As

Publication number Publication date
KR100283007B1 (en) 2001-02-15
DE69933598T2 (en) 2007-08-23
TW414812B (en) 2000-12-11
EP0936282B1 (en) 2006-10-18
EP0936282A3 (en) 2001-06-27
EP0936282A2 (en) 1999-08-18
JPH11251308A (en) 1999-09-17
KR19990072395A (en) 1999-09-27
DE69933598D1 (en) 2006-11-30

Similar Documents

Publication Publication Date Title
US5900290A (en) Method of making low-k fluorinated amorphous carbon dielectric
US6440878B1 (en) Method to enhance the adhesion of silicon nitride to low-k fluorinated amorphous carbon using a silicon carbide adhesion promoter layer
US6410462B1 (en) Method of making low-K carbon doped silicon oxide
US5869149A (en) Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US6991959B2 (en) Method of manufacturing silicon carbide film
US6159871A (en) Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6919270B2 (en) Method of manufacturing silicon carbide film
US6699784B2 (en) Method for depositing a low k dielectric film (K&gt;3.5) for hard mask application
US7030468B2 (en) Low k and ultra low k SiCOH dielectric films and methods to form the same
US6632478B2 (en) Process for forming a low dielectric constant carbon-containing film
US6448186B1 (en) Method and apparatus for use of hydrogen and silanes in plasma
EP0934433B1 (en) Method for depositing fluorine doped silicon dioxide films
KR20010075563A (en) Silicon carbide deposition method and use as a barrier layer and passivation layer
US20050048795A1 (en) Method for ultra low-K dielectric deposition
US6303519B1 (en) Method of making low K fluorinated silicon oxide
US20040087179A1 (en) Method for forming integrated dielectric layers
US6541400B1 (en) Process for CVD deposition of fluorinated silicon glass layer on semiconductor wafer
US20040161946A1 (en) Method for fluorocarbon film depositing
KR20010062216A (en) Method and apparatus for reducing fixed charges in a semiconductor device
KR20210082265A (en) 1-Methyl-1-iso-propoxy-silacycloalkane and high-density organosilica film prepared therefrom
US20020072248A1 (en) Process of forming a low dielectric constant material

Legal Events

Date Code Title Description
AS Assignment

Owner name: SHARP MICROELECTRONICS TECHNOLOGY, INC, WASHINGTON

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YANG, HONGNING;NGUYEN, TUE;REEL/FRAME:008981/0576

Effective date: 19980213

AS Assignment

Owner name: SHARP KABUSHIKI KAISHA, JAPAN

Free format text: ASSIGNMENT OF UNDIVIDED HALF INTEREST;ASSIGNOR:SHARP MICROELECTRONICS TECHNOLOGY, INC.;REEL/FRAME:009215/0949

Effective date: 19980506

AS Assignment

Owner name: SHARP LABORATORIES OF AMERICA, INC., WASHINGTON

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SHARP MICROELECTRONICS TECHNOLOGY, INC.;REEL/FRAME:009845/0188

Effective date: 19990330

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20110504