CN110226214B - 用于介电膜的选择性沉积的方法及设备 - Google Patents

用于介电膜的选择性沉积的方法及设备 Download PDF

Info

Publication number
CN110226214B
CN110226214B CN201880008386.7A CN201880008386A CN110226214B CN 110226214 B CN110226214 B CN 110226214B CN 201880008386 A CN201880008386 A CN 201880008386A CN 110226214 B CN110226214 B CN 110226214B
Authority
CN
China
Prior art keywords
substrate
chamber
film
transfer station
alkylsilane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880008386.7A
Other languages
English (en)
Other versions
CN110226214A (zh
Inventor
李宁
米哈拉·鲍尔西努
夏立群
杨冬青
朱拉拉
马尔科姆·J·贝文
特蕾莎·克莱默·瓜里尼
闫文波
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN110226214A publication Critical patent/CN110226214A/zh
Application granted granted Critical
Publication of CN110226214B publication Critical patent/CN110226214B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Formation Of Insulating Films (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

处理平台具有带机器人的中央传送站和具有大于或等于约0.1重量%水蒸气的环境,连接到传送站的一侧面的预清洁腔室和连接到传送站的一侧面的批量处理腔室。处理平台经构造以预清洁基板,以从第一表面移除原生氧化物,使用烷基硅烷形成阻挡层并选择性地沉积膜。亦描述了使用处理平台和处理多个晶片的方法。

Description

用于介电膜的选择性沉积的方法及设备
技术领域
本公开内容一般地涉及用于沉积薄膜的设备和方法。特别地,本公开内容涉及用于选择性地沉积膜的整合原子层沉积工具和方法。
背景技术
通过在基板表面上产生复杂图案化的材料层的工艺使集成电路成为可能。在基板上产生图案化材料需要用于沉积和移除材料层的受控方法。现代半导体制造工艺越来越重视在工艺步骤之间没有空气中断的膜的整合。此种要求对于配备制造商而言提出了允许将各种处理腔室整合到单个工具中的挑战。
已经变得风行的用于沉积薄膜的一种工艺是原子层沉积(ALD)。原子层沉积是一种方法,其中基板暴露于前驱物,该前驱物化学吸附到基板表面,随后暴露于与化学吸附的前驱物反应的反应物。ALD处理是自限性的,且可提供膜厚度的分子等级控制。然而,由于需要在暴露于前驱物和反应物之间净化反应腔室,所以ALD处理可能是耗时的。
因为用于半导体的图案化应用的需求,选择性沉积工艺正在变得更为频繁地采用。传统上,微电子工业中的图案化已经使用各种光刻和蚀刻工艺实现。然而,由于光刻正在以指数方式变得复杂且昂贵,因此使用选择性沉积来沉积特征变得更具吸引力。
随着装置尺寸继续减小到小于10nm的范畴,使用光刻技术的传统图案化工艺变得更具挑战性。在较小的装置尺寸下,不精确的图案化和降级的装置性能更为普遍。另外,多重图案化技术亦使制造制程变得复杂并且更昂贵。
因此,本领域存在有选择性地相对于一表面将膜选择性地沉积到另一个表面上的设备和方法的需求。
发明内容
本公开内容的一或多个实施方式涉及一种处理平台,包括:中央传送站、预清洁腔室和批量处理腔室。中央传送站中具有机器人和多个侧面。预清洁腔室连接到中央传送站的第一侧面。预清洁腔室经构造以执行湿式蚀刻工艺或干式蚀刻工艺中的一种或多种。批量处理腔室连接到中央传送站的第二侧面。批量处理腔室具有多个由气帘隔开的处理区域。批量处理腔室包括基座组件,基座组件经构造以围绕中心轴线支撑并旋转多个基板,使得基板移动通过多个处理区域。至少中央传送站具有在惰性气体中包含大于或等于约0.1重量%的水蒸气的环境。
本公开内容的进一步的实施方式涉及沉积膜的方法。提供了包括第一基板表面和第二基板表面的基板,第一基板表面包括羟基封端表面,第二基板表面包括氢封端表面。将基板暴露于钝化剂,以与羟基封端表面反应,用以在第一表面上形成阻挡层。钝化剂包含烷基硅烷。将基板暴露于一或多个沉积气体,以选择性地在相对于第一表面的第二基板表面上沉积膜。将膜暴露于氦去耦等离子体,以改善膜的质量。基板移动通过中央传送站至少一次,中央传送站包含具有大于或等于约0.1%重量的水蒸气的惰性气体的环境。
本公开内容的进一步的实施方式涉及沉积膜的方法。提供了包含第一基板表面和第二基板表面的基板,第一基板表面包括羟基封端表面,第二基板表面包括氢封端表面。基板表面暴露于蚀刻工艺,以从第二表面移除原生氧化物。蚀刻工艺包含稀释的HF或基于等离子体的蚀刻中的一或多种。将基板暴露于钝化剂,以与羟基封端表面反应,用以形成阻挡层。钝化剂包含具有通式SiR4的烷基硅烷,其中每个R独立地为C1-C6烷基、取代或未取代的胺、取代或未取代的环胺,烷基硅烷基本上不包含Si-H键,其中至少一个R基团是具有范围在4至10个原子的环的取代或未取代的环胺,其中一个原子是氮原子。将基板暴露于一或多个沉积气体,以选择性地在相对于第一表面的第二基板表面上沉积膜。膜包含硅以及以下项中的一或多种:氧,氮或碳。将膜暴露于氦去耦等离子体,以改善膜的质量。基板移动通过中央传送站至少一次,中央传送站具有包含大于或等于约0.1重量%的水蒸气的惰性气体的环境。
附图说明
以上简要概述本公开内容的详述特征可以被详细理解的方式、以及本公开内容的更特定描述,可通过参照实施方式来理解,一些实施方式绘示于所附图式中。然而,应注意所附的图式仅绘示了本公开内容的典型实施方式,因而不应被视为对本公开内容的范围的限制,因为本公开内容可允许其他等同有效的实施方式。
图1示出根据本公开内容的一或多个实施方式的处理平台的示意图;
图2示出根据本公开内容的一或多个实施方式的批量处理腔室的横截面图;
图3示出根据本公开内容的一或多个实施方式的批量处理腔室的局部透视图;
图4示出根据本公开内容的一或多个实施方式的批量处理腔室的示意图;
图5示出根据本公开内容的一或多个实施方式的用于在批量处理腔室中使用的楔形气体分配组件的一部分的示意图;
图6示出根据本公开内容的一或多个实施方式的批量处理腔室的示意图;及
图7示出根据本公开内容的一或多个实施方式的方法的示意图。
在所附的图式中,类似的部件和/或特征可具有相同的附图标号。此外,相同类型的各种部件可通过在附图标号之后用破折号和区分类似部件之间的第二符号来区分。若在说明书中仅使用第一附图标号,则描述适用于具有相同第一附图标号的任何一个相似部件,而无论第二附图标号为何。
具体实施方式
在描述本公开内容的数个示例性实施方式之前,应该理解本公开内容不限于以下描述中阐述的构成或处理步骤的细节。本公开内容能够具有其他实施方式且能够以各种方式来实施或执行。
如于此所用的“晶片”或“基板”是指在制造制程期间在其上进行膜处理的基板上形成的任何基板或材料表面。例如,可在其上进行处理的基板表面包括诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂氧化硅、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石的材料以及诸如金属、金属氮化物、金属合金和其他导电材料的任何其他材料,此取决于应用。基板包括但不限于半导体晶片。基板可暴露于预处理工艺以抛光、蚀刻、还原、氧化、羟基化、退火、UV固化、电子束固化和/或烘烤基板表面。除了直接在基板本身的表面上进行膜处理之外,在本公开内容中,所披露的任何膜处理步骤还可在基板上形成的底层上执行,如下面更详细地披露,且术语“基板表面”意欲包括如上下文指出的此种底层。因此,例如,在膜/层或部分膜/层已经沉积到基板表面上的情况下,新沉积的膜/层的暴露表面成为基板表面。
本公开内容的一或多个实施方式提供了基于表面封端化学基团在处理晶片的特定区域上选择性地形成介电膜的方法。原子层沉积(ALD)膜生长可通过传统时域处理或通过批量处理腔室中的空间ALD来完成。一些实施方式使用表面处理来确保装置晶片上存在不同的封端基团,使得将基于不同表面来区别随后的ALD膜生长。例如,为了制备以Si-H基团封端的裸Si表面,可使用稀释的HF湿式清洁或基于等离子体的干式清洁来移除Si表面上的原生氧化物并形成Si-H键。为了制备可阻挡ALD膜生长的钝化表面,可在氧化硅表面上形成疏水表面单层。例如,可将烷基胺基硅烷吸附到氧化硅表面上,以在SiO表面上形成烷基甲硅烷基团。一些实施方式的ALD膜生长化学作用基于硅卤化物和氨反应,其可在裸Si表面而非钝化的SiO表面上选择性生长。一些实施方式可实现的最大厚度为在裸Si上生长约钝化的SiO表面上基本上没有膜生长。定期的SiO表面再生和钝化可用于在裸硅上生长比SiO更厚的生长。
在一些实施方式中,具有Si/C/O/N组成的低介电常数膜亦可被选择性沉积。一些实施方式的SiCON沉积使用含C的Si前驱物、氨和氧化剂,如O2,O3或N2O。
在一些实施方式中,等离子体处理被用作改善已沉积膜性质的方式。例如,热生长的SiN膜可具有高湿蚀刻速率。已经出人意料地发现使用氦的去耦等离子体处理显著地改善了膜性质。
图1示出根据本公开内容的一或多个实施方式的处理平台100。图1所示的实施方式仅代表一可能的构造,且不应被视为限制本公开内容的范围。例如,在一些实施方式中,处理平台100具有不同数量的处理腔室、缓冲腔室和机器人构造。
处理平台100包括中央传送站110,中央传送站110具有多个侧面111、112、113、114、115、116。所示的传送站110具有第一侧面111、第二侧面112、第三侧面113、第四侧面114、第五侧面115和第六侧面116。尽管显示了六个侧面,但本领域技术人员将理解传送站110可有任何合适数量的侧面,此取决于例如处理平台100的整体构造。
传送站110具有定位于其中的机器人117。机器人117可为能够在处理期间移动晶片的任何合适的机器人。在一些实施方式中,机器人117具有第一臂118和第二臂119。第一臂118和第二臂119可独立于另一臂移动。第一臂118和第二臂119可在x-y平面上和/或沿着z轴移动。在一些实施方式中,机器人117包括第三臂或第四臂(未示出)。每个臂都可独立于其他臂移动。
批量处理腔室120可连接到中央传送站110的第一侧面111。批量处理腔室120可经构造以在批量处理时间内一次处理x个晶片。在一些实施方式中,批量处理腔室120可经构造以同时处理范围在约四(x=4)至约十二(x=12)个晶片。在一些实施方式中,批量处理腔室120经构造以同时处理六个(x=6)晶片。如本领域技术人员将理解的,尽管批量处理腔室120可在装载/卸除单个晶片之间处理多个晶片,但是每个晶片可在任何给定时间经受不同的处理条件。例如,如图2到6所示的空间原子层沉积腔室将晶片暴露于不同处理区域中的不同处理条件,使得当晶片移动通过每个区域时,工艺完成。
图2示出包括气体分配组件220(亦称为注射器或注射器组件)和基座组件240的处理腔室200的横截面。气体分配组件220是在处理腔室中使用的任何类型的气体输送装置。气体分配组件220包括面向基座组件240的前表面221。前表面221可具有任何数量或种类的开口,以向基座组件240输送气流。气体分配组件220还包括在所示的实施方式中是基本上圆形的外周边边缘224。
所使用的气体分配组件220的具体类型可根据所使用的特定工艺而变化。本公开内容的实施方式可用于控制基座和气体分配组件之间的间隙的任何类型的处理系统中。尽管可采用各种类型的气体分配组件(如,喷头),但是本公开内容的实施方式对于具有多个实质上平行的气体通道的空间气体分配组件可能是特别有用的。如在本说明书和所附的权利要求书中所使用的,术语“基本平行”是指气体通道的细长轴线在相同的大致方向上延伸。气体通道的平行度可能会有轻微的缺陷。在二元反应中,多个实质上平行的气体通道可包括至少一个第一反应气体A通道、至少一个第二反应气体B通道、至少一个净化气体P通道和/或至少一个真空V通道。从(多个)第一反应气体A通道、(多个)第二反应气体B通道和(多个)净化气体P通道流出的气体被导向晶片的顶表面。一些气流横跨晶片表面水平移动并通过(数个)净化气体P通道流出处理区域。从气体分配组件的一端移动到另一端的基板将依次暴露于各处理气体,从而在基板表面上形成一层。
在一些实施方式中,气体分配组件220是由单个注射器单元制成的刚性静止主体。在一或多个实施方式中,气体分配组件220由多个单独的扇区(如,注射器单元222)组成,如图3所示。单个工件主体或多扇区主体任一者可与所描述的本公开内容的各种实施方式一起使用。
基座组件240定位在气体分配组件220下方。基座组件240包括顶表面241和在顶表面241中的至少一个凹部242。基座组件240还具有底表面243和边缘244。根据待处理的基板60的形状和尺寸,凹部242可为任何合适的形状和尺寸。在图2所示的实施方式中,凹部242具有平坦的底部,以支撑晶片的底部;然而,凹部的底部可变化。在一些实施方式中,凹部具有围绕凹部的外周边边缘的梯级区域,梯级区域经调整尺寸以支撑晶片的外周边边缘。由梯级支撑的晶片的外周边边缘的量可以变化,例如,根据晶片的厚度以及晶片的背侧上已经存在的特征的存在而变化。
在一些实施方式中,如图2所示,基座组件240的顶表面241中的凹部242经调整尺寸以使得支撑在凹部242中的基板60具有与基座240的顶表面241实质上共平面的顶表面61。如在本说明书中和所附的权利要求书中所使用,术语“基本共平面”意味着晶片的顶表面和基座组件的顶表面在±0.2mm内共平面。在一些实施方式中,顶表面在0.5mm,±0.4mm,±0.35mm,±0.30mm,±0.25mm,±0.20mm,±0.15mm,±0.10mm,或±0.05mm内共平面。
图2的基座组件240包括支撑柱260,支撑柱260能够提升、降低和旋转基座组件240。基座组件可包括加热器,或气体管线,或支撑柱260的中心内的电气部件。支撑柱260可为增加或减小在基座组件240和气体分配组件220之间的间隙而将基座组件240移动到适当位置的主要手段。基座组件240还可包括微调致动器262,微调致动器262可对基座组件240进行微调,以在基座组件240和气体分配组件220之间产生预定的间隙270。
在一些实施方式中,间隙270的距离在约0.1mm至约5.0mm的范围中,或在约0.1mm至约3.0mm的范围中,或在约0.1mm至约2.0mm的范围中,或在约0.2mm至约1.8mm的范围中,或在约0.3mm至约1.7mm的范围中,或在约0.4mm至约1.6mm的范围中,或在约0.5mm至约1.5的范围中mm,或在约0.6mm至约1.4mm的范围中,或在约0.7mm至约1.3mm的范围中,或在约0.8mm至约1.2mm的范围中,或在约0.9mm至约1.0mm的范围中约1.1mm,或约1mm。
图式中所示的处理腔室200是转盘式腔室,其中基座组件240可保持多个基板60。如图3所示,气体分配组件220可包括多个单独的注射器单元222,当晶片在注射器单元下方移动时,每个注射器单元222能够在晶片上沉积膜。示出两个派形(pie-shaped)注射器单元222被定位在基座组件240的大致相对侧面上并在基座组件240的上方。此数量的注射器单元222仅出于说明目的而示出。将理解可包括更多或更少的注射器单元222。在一些实施方式中,存在有足够数量的派形注射器单元222,以形成与基座组件240的形状相符的形状。在一些实施方式中,每个单独的派形注射器单元222可独立地移动、移除和/或替换,而不影响任何其他的注射器单元222。例如,可升高一个区段以允许机器人对在基座组件240和气体分配组件220之间的区域的存取,以装载/卸除基板60。
具有多个气体注射器的处理腔室可用以同时处理多个晶片,使得晶片经历相同的处理流程。例如,如图4所示,处理腔室200具有四个气体注射器组件和四个基板60。在处理开始时,基板60可定位在气体分配组件220之间。使基座组件240旋转17了45°,将导致在气体分配组件220之间的每个基板60被移动到气体分配组件220,以用于膜沉积,如由气体分配组件220下面的虚线圆圈所示。再度旋转45°将使基板60离开气体分配组件220。基板60和气体分配组件220的数量可相同或不同。在一些实施方式中,存在有与处理气体分配组件相同数量的晶片正在被处理。在一或多个实施方式中,将处理的晶片的数量是气体分配组件的数量的几分之一或整数倍。例如,若存在有四个气体分配组件,则存在有4x个晶片正在被处理,其中x是大于或等于1的整数值。在一示例性实施方式中,气体分配组件220包括由气帘分开的八个处理区域,且基座组件240可保持六个晶片。
图4中所示的处理腔室200仅代表一种可能的构造,且不应被视为限制本公开内容的范围。在此,处理腔室200包括多个气体分配组件220。在所示的实施方式中,有四个气体分配组件220(亦称为注射器组件)围绕处理腔室200平均地间隔开。所示的处理腔室200是八角形的;然而,本领域技术人员将理解此是一种可能的形式,且不应被视为对本公开内容的范围的限制。所示的气体分配组件220是梯形的,但可为单个圆形部件或由多个派形区段组成,如图3所示。
图4中所示的实施方式包括负载锁定腔室280(亦称为工厂界面)或类似缓冲站的辅助腔室。负载锁定腔室280连接到处理腔室200的一侧面,以允许例如是基板(亦称为基板60)从腔室200装载/卸除。晶片机器人可定位在负载锁定腔室280中,以将基板移动到基座上。
转盘(如,基座组件240)的旋转可为连续的或间歇的(不连续的)。在连续处理中,晶片不断旋转,使得晶片依次暴露于每个注射器。在不连续处理中,晶片可移动到注射器区域并停止,且接着到达在注射器之间的区域84并停止。例如,转盘可旋转,使得晶片从注射器间的区域跨过注射器(或停止在注射器附近)移动并继续到转盘可再次暂停的下一个注射器间的区域。注射器之间的暂停可为在每个层沉积(如,暴露于等离子体)之间的额外处理步骤提供时间。
图5示出可称为注射器单元222的气体分配组件220的扇区或部分。注射器单元222可单独使用或与其他注射器单元组合使用。例如,如图6所示,组合图5的四个注射器单元222以形成单个气体分配组件220(为了清晰而未示出分离的四个注射器单元的线)。除了净化气体端口255和真空端口245之外,尽管图的5注射器单元222具有第一反应气体端口225和第二气体端口235两者,但是注射器单元222并非需要全部这些部件。
参考图5和图6两者,根据一或多个实施方式的气体分配组件220可包括多个扇区(或注射器单元222),每个扇区相同或不同。气体分配组件220定位在处理腔室内并包含在气体分配组件220的前表面221中的多个细长气体端口225、235、245。多个细长气体端口225、235、245、255从邻近内周边边缘223的区域延伸朝向邻近气体分配组件220的外周边边缘224的区域。所示的多个气体端口包括第一反应气体端口225、第二气体端口235、真空端口245及净化气体端口255,真空端口245环绕第一反应气体端口和第二反应气体端口中的各个。
参考图5或6中所示的实施方式,然而,当表述端口从至少约内部周边区域延伸到至少约外部周边区域时,端口并非仅是径向地从内部区域延伸到外部区域而已。随着真空端口245围绕反应气体端口225和反应气体端口235,端口可切向延伸。在图5和6所示的实施方式中,楔形反应气体端口225、235由真空端口245在所有边缘上被包围,所述边缘包括邻近内周边区域和外周边区域。
参考图5,当基板沿着路径227移动时,基板表面的每个部分暴露于各种反应气体。沿路径227行进,基板将暴露于或“看到”净化气体端口255、真空端口245、第一反应气体端口225、真空端口245、净化气体端口255、真空端口245、第二气体端口235和真空端口245。因此,在图5所示的路径227的末端处,基板已经暴露于来自第一反应气体端口225的第一反应气体和来自第二反应气体端口235的第二反应气体,以形成一层。所示的注射器单元222形成四分之一圆,但可更大或更小。图6中所示的气体分配组件220可被认为是图4的四个注射器单元222串联连接的组合。
图5的注射器单元222示出了分隔反应气体的气帘250。术语“气帘”用以描述分开反应气体以免混合的气流或真空的任何组合。图5所示的气帘250包含与第一反应气体端口225相邻的真空端口245的部分、中间的净化气体端口255及与第二气体端口235相邻的真空端口245的一部分。气体流动与真空的组合用以防止或最小化第一反应气体和第二反应气体的气相反应。
参考图6,来自气体分配组件220的气流和真空的组合形成分离进入多个处理区域350。处理区域大体界定在各气体端口225、235周围,其中气帘250在处理区域350之间。图6所示的实施方式构成八个单独的处理区域350,处理区域350有八个单独的气帘250位于其间。处理腔室可具有至少两个处理区域。在一些实施方式中,存在有至少三个,四个,五个,六个,七个,八个,九个,10个,11个,或12个处理区域。
在处理期间,基板可在任何给定时间暴露于多于一个处理区域350。然而,暴露于不同处理区域的部分将具有将两者隔开的气帘。例如,若基板的前缘进入包括第二气体端口235的处理区域,则基板的中间部分将位于气帘250下方,且基板的后缘将处于包括第一反应性气体端口225的处理区域。
示出了连接到处理腔室200的工厂界面(负载锁定腔室280)。将基板60示出为叠加在气体分配组件220上以提供基准框架。基板60通常可坐落在基座组件上,以被保持在气体分配组件220的前表面221附近。基板60经由工厂界面(负载锁定腔室280)装载到处理腔室200中的基板支撑件或基座组件上(参见图4)。因为基板60位于第一反应气体端口225附近并位于两个气帘250之间,所以基板60可被示出为定位在处理区域内。沿着路径227旋转基板60,这样将围绕处理腔室200逆时针移动基板。因此,基板60将暴露于包括第一处理区域350a与第八处理区域350h之间所有处理区域的第一处理区域350a至第八处理区域350h。
本公开内容的一些实施方式涉及包含具有多个处理区域350a-350h的处理腔室200的处理方法,其中每个处理区域由气帘250与相邻区域分开。例如,图6中所示的处理腔室。取决于气流的布置,处理腔室内的气帘和处理区域的数量可为任何合适的数量。图6所示的实施方式具有八个气帘250和八个处理区域350a-350h。
返回参考图1,处理平台100包括连接到中央传送站110的第二侧面112的预清洁腔室140。预清洁腔室140经构造以将晶片暴露于湿式蚀刻或干式蚀刻中的一或多种,湿式蚀刻包括稀释的(1%)氢氟酸,干式蚀刻包含基于等离子体的蚀刻。例如,基于等离子体的蚀刻工艺可能会使基板表面暴露于氨和HF的混合物。
在一些实施方式中,处理平台进一步包括连接到中央传送站110的第三侧面113的第二批量处理腔室130。第二批量处理腔室130可与批量处理腔室120类似地构造,或可经构造以执行不同的工艺或处理不同数量的基板。
第二批量处理腔室130可与第一批量处理腔室120相同或不同。在一些实施方式中,第一批量处理腔室120和第二批量处理腔室130经构造以在相同的批次时间内使用相同数量的晶片执行相同的工艺,使得x和y(第二批量处理腔室130中的晶片的数量)相同,且(第二批量处理腔室130的)第一批次时间和第二批次时间相同。在一些实施方式中,第一批量处理腔室120和第二批量处理腔室130经构造以具有一或多个不同数量的晶片(x不等于y),不同批次时间,或两者。
在图1所示的实施方式中,处理平台100包括连接到中央传送站110的第四侧面114的第二预清洁腔室150。第二预清洁腔室150可与预清洁腔室140相同或不同。在一些实施方式中,第一批量处理腔室120和第二批量处理腔室130经构造以在相同的批次时间(x=y)处理相同数量的晶片,且第一单晶片处理腔室和第二单晶片处理腔室(亦即,预清洁腔室140、150)经构造成以相同的时间量(1/x=1/y)执行相同的工艺。
处理平台100可包括连接到机器人117的控制器195(连接未示出)。控制器195可经构造以利用机器人117的第一臂118在预清洁腔室140和第一批量处理腔室120之间移动晶片。在一些实施方式中,控制器195还经构造以利用机器人117的第二臂119在第二单晶片处理腔室150和第二批量处理腔室130之间移动晶片。
处理平台100还可包括连接到中央传送站110的第五侧面115的第一缓冲站151和/或连接到中央传送站110的第六侧面116的第二缓冲站152。第一缓冲站151和第二缓冲站152可执行相同或不同的功能。例如,缓冲站可保持处理后的晶片盒并返回到原始盒,或第一缓冲站151可保存处理后移动到第二缓冲站152的未处理晶片。在一些实施方式中,一或多个缓冲站经构造以在处理之前和/或之后预处理,预热,或清洁晶片。
在一些实施方式中,控制器195经构造以使用机器人117的第一臂118在第一缓冲站151与预清洁腔室140和第一批量处理腔室120的一或多者之间移动晶片。在一些实施方式中,控制器195经构造以使用机器人117的第二臂119在第二缓冲站152与第二单晶片处理腔室150或第二批量处理腔室130的一或多个之间移动晶片。
控制器195可耦接到处理平台100的各种部件以控制其操作。控制器195可为控制整个处理平台100的单个控制器,或控制处理平台100的各个部分的多个控制器。例如,处理平台100可包括用于各个的处理腔室、中央传送站、工厂界面和机器人的单独的控制器。在一些实施方式中,控制器195包括中央处理单元(CPU)196、内存197和支持电路198。控制器195可直接控制处理平台100,或经由与特定处理腔室和/或支持系统部件相关联的计算机(或控制器)控制处理平台100。控制器195可为任何形式的通用计算机处理器中的一者,其可在用于控制各种腔室和子处理器的工业设置中使用。控制器195的内存197或计算机可读媒体可为易于获得的本地或远程的内存(如随机存取内存(RAM),只读存储器(ROM),软盘,硬盘,光储存媒体(如,光盘或数字视频盘),快闪驱动器,或任何其他形式的数字内存)的一或多个。支持电路198耦接到CPU 196,用于以常规方式支持处理器。这些电路包括快取、电源、频率电路、输入/输出电路和子系统及类似者。一或多个工艺可作为软件例行程序储存在内存197中,软件例行程序可被执行或调用而以如于此所述的方式控制处理平台100或单独的处理腔室的操作。软件例行程序还可由位于由CPU 196控制的硬件的远程的第二CPU(未显示)储存和/或执行。控制器195可包括一或多个构造,所述构造可包括用以控制流率,气体阀,气体源,旋转,移动,加热,冷却,或执行各种构造的其他工艺的任何命令或功能。
处理平台100还可包括在中央传送站110与任何处理腔室之间的一或多个狭缝阀160。在所示的实施方式中,在每个处理腔室120、130、140、150和中央传送站110之间都有狭缝阀160。狭缝阀160可打开和关闭,以将处理腔室内的环境与中央传送站110内的环境隔离。例如,若处理腔室在处理期间将产生等离子体,则关闭处理腔室的狭缝阀以防止杂散等离子体损坏传送站中的机器人可能是有帮助的。
在一些实施方式中,处理腔室不容易从中央传送站110移除。为了允许在任何处理腔室上执行维护,每个处理腔室可进一步在处理腔室的侧面上包括多个通道门170。通道门170允许手动进入处理腔室而无需从中央传送站110移除处理腔室。在所示的实施方式中,除了连接至传送站的侧面之外,每个处理腔室的每一侧面都具有通道门。包括如此多的通道门170可能使所采用的处理腔室的构造复杂化,因为腔室内的硬件需要经构造以可通过门进出。
一些实施方式的处理平台包括连接到传送站110的水箱180。水箱180可经构造以向任何或全部处理腔室提供冷却剂。尽管被称为“水”箱,但是本领域技术人员将理解可使用任何冷却剂。
在一些实施方式中,处理平台100的尺寸允许进行连接以通过单个电力连接器190而容纳电力。单个电力连接器190附接到处理平台100,以向每个处理腔室和中央传送站110提供电力。
处理平台100可连接到工厂界面102以允许晶片或晶片盒被装载到平台100中。工厂界面102内的机器人103可将晶片或盒移入和移出缓冲站151、152。晶片或盒可由中央传送站110中的机器人117在平台100内移动。在一些实施方式中,工厂界面102是另一群集工具的传送站。
在一些实施方式中,第二预清洁腔室150是等离子体处理腔室。一些实施方式的等离子体处理腔室将基板暴露于包含氦的去耦等离子体。发明人意外地发现去耦氦等离子体改善了Si/C/O/N膜的湿式蚀刻速率。
图7示出了根据本公开内容的一或多个实施方式的代表性方法。基板710具有带羟基封端表面的第一基板表面712。基板710还具有带氢封端表面的第二基板表面714。在一些实施方式中,第二表面714上形成有一些原生氧化物,如图7所示。尽管由图7所示的实施方式示出键合到基板表面的简单单键,但是本领域技术人员将理解此仅仅是为了说明的目的,并理解表面原子键合不像所示的如此简单。例如,氧化物表面可为键合到多于一个硅原子的桥接(bridged)氧原子,且表面和本体组成的化学计量不一定是一对一的。
第一表面712和第二表面714可为用于选择性沉积的任何合适的表面。在一些实施方式中,第一表面包含具有OH端基团的介电表面,且第二表面包含具有或不具有原生氧化物的Si-H基团的硅表面。在一些实施方式中,第一表面包含具有-OH端基团的介电表面,且第二表面包含具有或不具有原生氧化物的金属表面。在一些实施方式中,第一表面包含具有-OH端基团的金属氧化物表面,且第二表面包含具有或不具有原生氧化物的Si-H基团的硅表面。在一些实施方式中,第一表面包含具有-OH端基团的金属氧化物表面,且第二表面包含没有原生氧化物的干净金属表面。
若原生氧化物存在于第二表面714上,则移除原生氧化物可实现更有效的选择性沉积处理。将基板710暴露于蚀刻工艺可从第二表面714移除原生氧化物。蚀刻工艺可为湿式蚀刻工艺(例如,暴露于稀释的HF(1%))或干式蚀刻工艺(如,暴露于等离子体)。在一些实施方式中,蚀刻工艺是基于等离子体的工艺。在一些实施方式中,基于等离子体的蚀刻工艺包括将基板暴露于氨和氢氟酸的等离子体。
在一些实施方式中,从第二表面714移除原生氧化物提供了具有基本上仅氢封端的表面。以此种方式使用时,术语“基本上仅氢封端”是指表面封端是大于或等于表面积的约98%的氢。在一些实施方式中,从第二表面714移除原生氧化物提供了具有基本上无氧封端的表面。以此种方式使用时,术语“基本上无氧封端”是指表面封端包含小于约2%的包含氧原子的表面积。
在一或多个实施方式中,用以从第二表面714移除原生氧化物的处理亦氧化第一表面712,以提供具有基本上无氢封端的表面。以此种方式使用时,术语“基本上无氢封端”是指所表述的表面的表面封端是氢小于或等于表面积的约2%。在一些实施方式中,第一表面712包含基本上仅羟基封端。以此种方式使用时,术语“基本上仅羟基封端”是指对象表面的表面封端是大于或等于表面积的约98%的羟基团。
包括第一表面712和第二表面714的基板710可暴露于钝化剂,以与羟基封端表面反应,以形成阻挡层713。一些实施方式的钝化剂包含烷基硅烷。在一些实施方式中,烷基硅烷具有通式SiR4,其中每个R独立地为C1-C6烷基、取代或未取代的胺、取代或未取代的环胺。
在一些实施方式中,烷基硅烷包含基本上无Si-H键。以此种方式使用时,术语“基本上无Si-H键”是指基于硅键的总数,钝化剂包含小于约1%的Si-H键。一些实施方式的钝化剂在第一表面712上形成表面封端-OSiRx,置换-OH封端。在一些实施方式中,钝化剂包含1-(三甲基甲硅烷基)吡咯烷(1-(trimethylsilyl)pyrrolidine),或双(二甲基氨基)二甲基硅烷(bis(dimethylamino)dimethylsilane)中的一或多种。
在一些实施方式中,烷基硅烷包含具有范围在4至10个原子的环的至少一个取代或未取代的环胺。在一些实施方式中,烷基硅烷包含具有一个氮原子的环胺。在一些实施方式中,环胺具有不多于一个氮原子和不少于一个氮原子。在一或多个实施方式中,环胺包含吡咯烷,其中吡咯烷的氮原子键合到烷基硅烷的硅原子。在一些实施方式中,烷基硅烷包含1-(三甲基甲硅烷基)吡咯烷。在一或多个实施方式中,烷基硅烷基本上由1-(三甲基甲硅烷基)吡咯烷组成。以此方式使用时,术语“基本上由...组成”意指烷基硅烷在分子基础上大于或等于约98%的1-(三甲基甲硅烷基)吡咯烷。
基板可在任何合适的温度和压力下暴露于钝化剂。在一些实施方式中,在约50℃至约500℃的范围中,或约100℃至约400℃的范围中的温度下,将基板暴露于钝化剂。在一些实施方式中,在约30Torr至约120Torr的范围中,或在约40Torr至约100Torr的范围中,或在约50Torr至约90Torr的范围中的压力下,将基板暴露于钝化剂。在一或多个实施方式中,基板在没有等离子体的情况下在热处理中暴露于钝化剂。
在形成阻挡层713之后,将基板710暴露于一或多个沉积气体,以选择性地在相对于第一表面712的第二表面714上沉积膜715。就此而言,术语“选择性地相对于”意味着在第二表面上形成的膜的程度比可在第一表面上形成的膜更大。例如,膜715可在第二表面上形成大于或等于在第一表面上形成的膜的20倍,30倍,40倍,或50倍厚。
可由任何合适的技术来形成膜715,包括但不限于原子层沉积。在一些实施方式中,膜715形成在批量处理腔室中,如图2到6所示。例如,膜715可通过依次暴露于硅前驱物和反应物而形成。一些实施方式的膜715包含SiN,SiO,SiON,SiC,SiCO,SiCN,或SiCON中的一或多种。在一些实施方式中,膜715包含硅及以下项中的一或多种:氧,碳,或氮原子。在一些实施方式中,膜715在原子基础上以高达约百分之二的量掺杂有B,As,或P中的一或多种。
在一些实施方式中,硅前驱物包含卤化硅并且反应物包含氨。在一些实施方式中,硅前驱物包含具有或不具有卤素原子的有机硅化合物。在一些实施方式中,反应物包括氮贡献物质、氧贡献物质和/或碳贡献物质。在一些实施方式中,硅前驱物向膜715贡献氮,氧,或碳的一或多个。
在批量处理腔室中,基板可在处理腔室的交替处理区域中暴露于硅前驱物和反应物。参考图6,例如,处理区域350a、350c、350e、350g可将基板表面暴露于硅前驱物,且处理区域350b、350d、350f、350h可将基板表面暴露于反应物,使得围绕处理腔室的基板的每次旋转将基板表面暴露于四个循环的硅前驱物/反应物。
基板可在任何合适的处理腔室中暴露于钝化剂。在一些实施方式中,基板暴露于预清洁腔室中的钝化剂。在一些实施方式中,基板在单独的钝化腔室中暴露于钝化剂。在一些实施方式中,基板暴露于批量处理腔室中的钝化剂。例如,可改变批量处理腔室的处理区域,使得在处理区域中流动的反应气体被钝化剂代替。在形成阻挡层之后,处理区域中钝化剂的流动可用硅前驱物和反应物代替。
膜的厚度可沉积到预定量。一段时间之后,即使存在阻挡层713,膜715亦可开始沉积在第一表面712上。不受任何特定操作理论的束缚,据信阻挡层713可通过重复地暴露于沉积反应物暴露而移除。为了增加膜715的厚度并维持选择性,可周期性地补充阻挡层713。在一些实施方式中,在不多于20,30,40,50,60,70,80,90,或100个原子层沉积循环以沉积膜715之后,将基板暴露于钝化剂。在一些实施方式中,基板是在形成膜715到约至约的范围中的厚度之后暴露于钝化剂,或在形成膜715到高达约/>/>或/>的厚度之后暴露于钝化剂。
阻挡层713的再生可通过任何合适的处理来完成。例如,基板的表面可在约1Torr至约30Torr的范围中的压力下用惰性气体(如,N2或He)净化范围在约10分钟至约60分钟的时间。在净化表面之后,基板可再次暴露于钝化剂,以再生阻挡层713。在一些实施方式中,表面被净化范围在约15分钟至约50分钟的时间,或范围在约20分钟至约40分钟的时间。在一些实施方式中,表面在范围在约10Torr至约25Torr的压力或范围在约15Torr至约20Torr的压力下净化。
在一些实施方式中,通过首先蚀刻基板的整个表面,接着暴露于钝化剂来再生阻挡层713。蚀刻工艺可为用以预清洁表面的相同处理,或可为不同的蚀刻工艺。
膜715可在任何合适的温度下形成。在一些实施方式中,膜715在范围在约200℃至约550℃、或范围在约300℃至约500℃、或范围在约350℃至约450℃的温度下形成。在一些实施方式中,膜715通过热处理形成而没有等离子体暴露。在一些实施方式中,膜715通过等离子体强化处理形成。
所沉积的膜715可具有可通过后沉积处理来优化或改善的膜性质。例如,沉积的氮化硅膜可具有高湿式蚀刻速率。将膜暴露于后沉积处理可用以改善沉积膜715的湿式蚀刻速率。在一些实施方式中,后沉积处理改进了膜的质量。在一些实施方式中,改善的膜的质量包含湿式蚀刻速率,折射率,密度,或氢浓度中的一或多个。
一些实施方式的后沉积处理包含将基板表面暴露于去耦等离子体。一或多个实施方式的去耦等离子体包括氦。在一些实施方式中,去耦等离子体基本上由氦组成。如在此方面所使用的,术语“基本上由氦组成”意指等离子体包含大于或等于约95原子百分比的氦。一些实施方式的处理压力在约1mTorr至约1Torr的范围中。较低的压力可用于高宽深比结构的各向同性处理。处理期间的晶片温度可在从约腔室温至约500℃的范围中。
在一些实施方式中,处理平台具有在清洁之后不易氧化基板表面的环境。如在此方面所使用的,术语“环境”是指至少中央传送站110内的环境条件。一些实施方式的处理平台的环境还包括在沉积处理中使用的任何处理腔室。例如,若在处理中使用两个处理腔室,则“环境”可能包括两个处理腔室和中央传送站。在一些实施方式中,处理平台的环境包含水蒸气。水蒸气可与惰性气体混合或可为纯净的。在一些实施方式中,水蒸气以范围在约0.1重量%至约90重量%的量存在于惰性气体中。在一些实施方式中,水蒸气以范围在约1重量%至约80重量%、或范围在约2重量%至约70重量%、或范围在约3重量%至约60重量%、或范围在约4重量%至约50重量%、或范围在约5重量%至约40重量%、或范围在约10重量%至约20重量%的量而存在。在一些实施方式中,环境包含氮气,氢气,氦气,氩气,氪气,氖气,或氙气中的一或多种,其中水蒸气的量大于或等于约0.1%,0.5%,1%,2%,3%,4%,5%,6%,7%,8%,9%,10%,12%,14%,16%,18%,或20%。
根据一或多个实施方式,在形成层之前及/或之后使基板经受处理。此种处理可在相同的腔室中或在一或多个单独的处理腔室中执行。在一些实施方式中,基板从第一腔室移动到单独的第二腔室用于进一步处理。基板可从第一腔室直接移动到单独的处理腔室,或它可从第一腔室移动到一或多个传输腔室,并接着移动到单独的处理腔室。因此,处理设备可包含与传送站连通的多个腔室。此种设备可被称为“群集工具”或“群集系统”及类似者。
一般而言,群集工具是包括多个腔室的模块化系统,多个腔室执行各种功能,包括基板中心寻找和定向、脱气、退火、沉积和/或蚀刻。根据一或多个实施方式,群集工具包括至少第一腔室和中央传送腔室。中央传送腔室可容纳机器人,机器人可在处理腔室和负载锁定腔室之间和之中来回运送基板。传送腔室通常保持在真空状态并提供用于将基板从一个腔室来回运送到另一个腔室和/或到位于群集工具的前端处的负载锁定腔室的中间阶段。可适于本公开内容的两种熟知的群集工具是可购自加州圣克拉拉市的应用材料公司的和/>然而,为了执行如于此所述的处理的特定步骤的目的,可改变腔室的确切布置和组合。可使用的其他处理腔室包括但不限于:循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、化学清洁、热处理(诸如RTP)、等离子体氮化、脱气、取向、羟基化和其他基板处理。通过在群集工具上的腔室中实施工艺,在沉积随后的膜之前,可避免用大气杂质对基板的表面污染而不会氧化。
根据一或多个实施方式,基板持续处于真空或“负载锁定”状态,且当从一个腔室移动到下一个腔室时不暴露于环境空气。因此传送腔室处于真空状态并在真空压力下“抽气”。惰性气体可能存在于处理腔室或传送腔室中。在一些实施方式中,惰性气体被用作净化气体以移除一些或全部反应物。根据一或多个实施方式,净化气体在沉积腔室的出口处被注入,以防止反应物从沉积腔室移动到传送腔室和/或附加处理腔室。因此,惰性气体的流动在腔室的出口处形成帘幕。
可在单个基板沉积腔室中处理基板,其中在处理另一基板之前装载、处理和卸载单个基板。类似于传送系统,基板亦可以连续方式处理,其中多个基板被单独地装载到腔室的第一部分中,移动通过腔室并从腔室的第二部分卸载。腔室和相关联的传送系统的形状可形成直线路径或弯曲路径。另外,处理腔室可为转盘,其中多个基板围绕中心轴线移动并暴露于整个转盘通道中的沉积、蚀刻、退火、清洁等处理。
在处理期间,基板可被加热或冷却。此种加热或冷却可由任何合适的手段完成,包括但不限于改变基板支撑件的温度并使加热或冷却的气体流向基板表面。在一些实施方式中,基板支撑件包括可被控制而以传导方式改变基板温度的加热器/冷却器。在一或多个实施方式中,所采用的气体(反应气体或惰性气体任一个)被加热或冷却,以局部地改变基板温度。在一些实施方式中,加热器/冷却器位于腔室内靠近基板表面,以对流方式改变基板温度。
在处理期间,基板亦可为静止的或旋转的。旋转的基板可连续地旋转或以不连续的阶段旋转。例如,基板可在整个处理中旋转,或基板可在暴露于不同的反应气体或净化气体之间少量旋转。在处理期间旋转基板(连续地或步进地)可通过最小化(例如)气体流动几何形状中的局部变化的影响来帮助产生更均匀的沉积或蚀刻。
贯穿本说明书对“一个实施方式”、“某些实施方式”、“一或多个实施方式”或“实施方式”的详述意味着结合该实施方式描述的特定特征,结构,材料,或特性被包括在本公开内容的至少一个实施方式中。因此,贯穿本说明书各处出现的诸如“在一或多个实施方式中”、“在某些实施方式中”、“在一个实施方式中”或“在实施方式中”的短语不一定表示本公开内容的相同实施方式。此外,特定的特征,结构,材料,或特性可以任何合适的方式结合在一或多个实施方式中。
尽管已经参考特定实施方式描述了于此的公开内容,但是应该理解这些实施方式仅仅是对本公开内容的原理和应用的说明。对于本领域技术人员而言将显而易见的是,在不背离本公开内容的精神和范围的情况下,可对本公开内容的方法和设备进行各种修改和变型。因此,本公开内容意欲包括在随附的权利要求及其等效形式的范围内的修改和变型。

Claims (19)

1.一种处理平台,包括:
中央传送站,具有机器人在所述中央传送站中,所述中央传送站具有多个侧面;
预清洁腔室,连接到所述中央传送站的第一侧面,所述预清洁腔室经构造以执行湿式蚀刻工艺或干式蚀刻工艺中的一种或多种;及
批量处理腔室,连接到所述中央传送站的第二侧面,所述批量处理腔室具有多个由气帘隔开的处理区域,所述批量处理腔室包括基座组件,所述基座组件经构造以围绕中心轴线支撑并旋转多个基板,使得所述基板移动通过所述多个处理区域,
其中至少所述中央传送站具有在惰性气体中包含大于或等于约0.1重量%的水蒸气的环境,
其中所述预清洁腔室、所述批量处理腔室、或钝化腔室中的一或多个经构造以输送包括烷基硅烷的钝化剂,使得包括第一基板表面和第二基板表面的基板暴露于所述钝化剂,所述第一基板表面包括羟基封端表面,所述第二基板表面包括氢封端表面,所述基板暴露于所述钝化剂以与所述羟基封端表面反应以在所述第一基板表面上形成阻挡层,并且其中所述批量处理腔室被配置为将所述基板暴露于一或多个沉积气体,以选择性地在相对于所述第一基板表面的所述第二基板表面上沉积膜。
2.如权利要求1所述的处理平台,进一步包括:等离子体腔室,连接到所述中央传送站的第三侧面,所述等离子体腔室经构造以产生去耦等离子体。
3.如权利要求1所述的处理平台,其中所述多个处理区域包括硅前驱物和反应物,所述反应物包括供氧反应物、供氮反应物、或供碳反应物中的一或多种。
4.如权利要求3所述的处理平台,其中所述多个处理区域进一步包括钝化区域,所述钝化区域包括钝化剂。
5.如权利要求1所述的处理平台,其中所述烷基硅烷具有通式SiR4,其中每个R独立地为C1-C6烷基、取代或未取代的胺、取代或未取代的环胺,所述烷基硅烷基本上不包含Si-H键。
6.如权利要求5所述的处理平台,其中所述烷基硅烷包括具有范围在4至10个原子的环的至少一个取代或未取代的环胺。
7.如权利要求6所述的处理平台,其中所述环胺具有一个氮原子。
8.如权利要求7所述的处理平台,其中所述环胺包括吡咯烷,其中所述吡咯烷的所述氮原子键合到所述烷基硅烷的硅原子。
9.如权利要求8所述的处理平台,其中所述烷基硅烷包括1-(三甲基甲硅烷基)吡咯烷。
10.如权利要求1所述的处理平台,进一步包括:控制器,连接到所述机器人、所述预清洁腔室和所述批量处理腔室,所述控制器经构造以从所述预清洁腔室将基板移动到所述批量处理腔室。
11.如权利要求1所述的处理平台,进一步包括:狭缝阀,所述狭缝阀在所述中央传送站与以下项中的每一个之间:所述预清洁腔室和所述批量处理腔室。
12.如权利要求11所述的处理平台,其中所述批量处理腔室包括多个通道门,所述多个通道门在所述批量处理腔室的多个侧面上,以允许手动进入所述批量处理腔室而不从所述中央传送站移除所述批量处理腔室。
13.一种沉积膜的方法,所述方法包含以下步骤:
提供包括第一表面和第二表面的基板,所述第一表面包括羟基封端表面,所述第二表面包括氢封端表面;
将所述基板暴露于钝化剂,以与所述羟基封端表面反应,以在所述第一表面上形成阻挡层,所述钝化剂包括烷基硅烷;
将所述基板暴露于一或多个沉积气体,以选择性地在相对于所述第一表面的所述第二基板表面上沉积膜;及
将所述膜暴露于氦去耦等离子体,以改善所述膜的质量,
其中所述基板至少一次移动通过中央传送站,所述中央传送站包括具有大于或等于约0.1%重量的水蒸气的惰性气体的环境。
14.如权利要求13所述的方法,进一步包含以下步骤:在形成所述阻挡层之前,将所述第一表面和所述第二表面暴露于蚀刻工艺,以从所述第二表面移除多个原生氧化物,所述蚀刻工艺包括稀释的HF或基于等离子体的蚀刻中的一或多种。
15.如权利要求14所述的方法,其中所述烷基硅烷具有通式SiR4,其中每个R独立地为C1-C6烷基、取代或未取代的胺、取代或未取代的环胺,所述烷基硅烷基本上不包含Si-H键。
16.如权利要求15所述的方法,其中所述烷基硅烷包括具有范围在4至10个原子的环的至少一个取代或未取代的环胺。
17.如权利要求16所述的方法,其中所述环胺具有一个氮原子。
18.如权利要求17所述的方法,其中所述烷基硅烷包括吡咯烷。
19.一种沉积膜的方法,所述方法包含以下步骤:
提供包括第一表面和第二表面的基板,所述第一表面包括羟基封端表面,所述第二表面包括氢封端表面;
将所述基板暴露于蚀刻工艺,以从所述第二表面移除多个原生氧化物,所述蚀刻工艺包括稀释的HF或基于等离子体的蚀刻中的一或多种;
将所述基板暴露于钝化剂,以与所述羟基封端表面反应,以形成阻挡层,所述钝化剂包含具有通式SiR4的烷基硅烷,其中每个R独立地为C1-C6烷基、取代或未取代的胺、取代或未取代的环胺,所述烷基硅烷基本上不包含Si-H键,其中至少一个R基团是具有范围在4至10个原子的环的取代或未取代的环胺,其中一个原子是氮原子;
将所述基板暴露于一或多个沉积气体,以选择性地在相对于所述第一表面的第二基板表面上沉积膜,所述膜包含硅和以下项中的一或多种:氧、氮、或碳;及
将所述膜暴露于氦去耦等离子体,以改善所述膜的质量,
其中所述基板至少一次移动通过中央传送站,所述中央传送站具有包含大于或等于约0.1重量%的水蒸气的惰性气体的环境。
CN201880008386.7A 2017-01-24 2018-01-24 用于介电膜的选择性沉积的方法及设备 Active CN110226214B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762449668P 2017-01-24 2017-01-24
US62/449,668 2017-01-24
PCT/US2018/015018 WO2018140474A1 (en) 2017-01-24 2018-01-24 Method and apparatus for selective deposition of dielectric films

Publications (2)

Publication Number Publication Date
CN110226214A CN110226214A (zh) 2019-09-10
CN110226214B true CN110226214B (zh) 2023-11-03

Family

ID=62907076

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880008386.7A Active CN110226214B (zh) 2017-01-24 2018-01-24 用于介电膜的选择性沉积的方法及设备

Country Status (6)

Country Link
US (2) US20180211833A1 (zh)
JP (1) JP6992089B2 (zh)
KR (2) KR102493326B1 (zh)
CN (1) CN110226214B (zh)
TW (1) TWI700750B (zh)
WO (1) WO2018140474A1 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111326403A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 晶圆预处理方法及半导体设备
JP6860605B2 (ja) 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
TW202111144A (zh) * 2019-04-30 2021-03-16 美商得昇科技股份有限公司 使用甲基化處理的選擇性沉積
FI129628B (en) * 2019-09-25 2022-05-31 Beneq Oy Method and apparatus for processing a substrate surface
EP3822389A1 (en) * 2019-11-13 2021-05-19 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk Onderzoek TNO Area selective atomic layer depostion method and tool
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11359281B2 (en) * 2020-01-26 2022-06-14 Applied Materials, Inc. Selective deposition of SiCON by plasma ALD
JP7072012B2 (ja) * 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
JP7254044B2 (ja) * 2020-03-25 2023-04-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
TW202204658A (zh) * 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
JP7339975B2 (ja) 2021-03-18 2023-09-06 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
JP7305700B2 (ja) 2021-04-19 2023-07-10 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11702733B2 (en) 2021-05-07 2023-07-18 Applied Materials, Inc. Methods for depositing blocking layers on conductive surfaces
JP7443312B2 (ja) * 2021-09-29 2024-03-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム、及び基板処理装置

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05259098A (ja) * 1992-03-11 1993-10-08 Tokyo Electron Ltd 真空排気方法
JPH10173025A (ja) * 1996-12-16 1998-06-26 Kokusai Electric Co Ltd 半導体製造装置のロードロック室
JP2000150839A (ja) * 1998-09-04 2000-05-30 Canon Inc 半導体基板の作製方法
JP2000150838A (ja) * 1998-09-04 2000-05-30 Canon Inc 半導体基板及び半導体基板の作製方法
JP2000286449A (ja) * 1999-03-31 2000-10-13 Toyoda Gosei Co Ltd Iii族窒化物系化合物半導体素子及びその製造方法
US6165916A (en) * 1997-09-12 2000-12-26 Kabushiki Kaisha Toshiba Film-forming method and film-forming apparatus
US6297172B1 (en) * 1999-06-07 2001-10-02 Sony Corporation Method of forming oxide film
JP2002151502A (ja) * 2000-10-31 2002-05-24 Applied Materials Inc 酸化膜形成方法及び装置並びに基体生産物
CN101174562A (zh) * 2006-11-01 2008-05-07 东京毅力科创株式会社 基板处理方法和基板处理系统

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP2000243992A (ja) 1999-02-22 2000-09-08 Kanegafuchi Chem Ind Co Ltd シリコン系薄膜光電変換装置の製造方法
US6358859B1 (en) * 2000-05-26 2002-03-19 Taiwan Semiconductor Manufacturing Company HBr silicon etching process
JP3616366B2 (ja) * 2001-10-23 2005-02-02 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR101015063B1 (ko) * 2003-08-27 2011-02-16 주성엔지니어링(주) 복수 기판 홀더 및 이를 장착한 챔버
KR100567530B1 (ko) 2003-12-30 2006-04-03 주식회사 하이닉스반도체 반도체 소자의 산화막 형성 방법
JP4669679B2 (ja) * 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
WO2006055984A2 (en) * 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
JP4518986B2 (ja) * 2005-03-17 2010-08-04 東京エレクトロン株式会社 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体
US20070209930A1 (en) * 2006-03-09 2007-09-13 Applied Materials, Inc. Apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
EP2041774A2 (en) * 2006-07-03 2009-04-01 Applied Materials, Inc. Cluster tool for advanced front-end processing
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US20080254605A1 (en) * 2007-04-16 2008-10-16 Interuniversitair Microelektronica Centrum (Imec) Method of reducing the interfacial oxide thickness
US7910497B2 (en) * 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
JP5342811B2 (ja) * 2008-06-09 2013-11-13 東京エレクトロン株式会社 半導体装置の製造方法
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
EP2709138B1 (en) * 2010-05-11 2016-11-30 Applied Materials, Inc. Chamber for physical vapor deposition
CN104106128B (zh) * 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
TW201437421A (zh) * 2013-02-20 2014-10-01 Applied Materials Inc 用於旋轉料架原子層沉積之裝置以及方法
JP6204213B2 (ja) * 2014-01-28 2017-09-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US20160064275A1 (en) * 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
JP6411172B2 (ja) * 2014-10-24 2018-10-24 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
TWI701357B (zh) 2015-03-17 2020-08-11 美商應用材料股份有限公司 用於膜沉積的脈衝化電漿
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
CN113936994A (zh) 2015-05-01 2022-01-14 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
US10510566B2 (en) * 2015-07-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool techniques with improved efficiency
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05259098A (ja) * 1992-03-11 1993-10-08 Tokyo Electron Ltd 真空排気方法
JPH10173025A (ja) * 1996-12-16 1998-06-26 Kokusai Electric Co Ltd 半導体製造装置のロードロック室
US6165916A (en) * 1997-09-12 2000-12-26 Kabushiki Kaisha Toshiba Film-forming method and film-forming apparatus
JP2000150839A (ja) * 1998-09-04 2000-05-30 Canon Inc 半導体基板の作製方法
JP2000150838A (ja) * 1998-09-04 2000-05-30 Canon Inc 半導体基板及び半導体基板の作製方法
JP2000286449A (ja) * 1999-03-31 2000-10-13 Toyoda Gosei Co Ltd Iii族窒化物系化合物半導体素子及びその製造方法
US6297172B1 (en) * 1999-06-07 2001-10-02 Sony Corporation Method of forming oxide film
JP2002151502A (ja) * 2000-10-31 2002-05-24 Applied Materials Inc 酸化膜形成方法及び装置並びに基体生産物
CN101174562A (zh) * 2006-11-01 2008-05-07 东京毅力科创株式会社 基板处理方法和基板处理系统

Also Published As

Publication number Publication date
KR102493326B1 (ko) 2023-01-27
US20210043448A1 (en) 2021-02-11
WO2018140474A1 (en) 2018-08-02
CN110226214A (zh) 2019-09-10
JP2020515082A (ja) 2020-05-21
KR20190101508A (ko) 2019-08-30
KR20220042495A (ko) 2022-04-05
US20180211833A1 (en) 2018-07-26
TWI700750B (zh) 2020-08-01
KR102380712B1 (ko) 2022-03-29
JP6992089B2 (ja) 2022-01-13
TW201836023A (zh) 2018-10-01

Similar Documents

Publication Publication Date Title
CN110226214B (zh) 用于介电膜的选择性沉积的方法及设备
US10957532B2 (en) Method and apparatus for deposition of low-k films
KR102312827B1 (ko) 저-k 막들의 증착을 위한 방법들 및 장치
US20180155834A1 (en) Integrated Atomic Layer Deposition Tool
TW201842539A (zh) 金屬氮化物膜的選擇性蝕刻
JP7297932B2 (ja) 材料構造を向上させる処理
EP4302320A1 (en) Treatments to improve device performance
CN110998788A (zh) 金属氧化物后处理方法
US20210193468A1 (en) Treatments To Improve Device Performance
US20210104401A1 (en) Novel method for gate interface engineering
TW202409321A (zh) 用於高品質選擇性氮化矽沉積的集成方法及工具
KR20240005938A (ko) 고품질 선택적 실리콘 질화물 증착을 위한 통합된 방법 및 도구
KR20210053241A (ko) Finfet 형성을 위한 캡 산화
CN117378293A (zh) 具有偶极区域的v-nand堆叠

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant