JP2020515082A - 誘電体膜の選択的堆積のための方法及び装置 - Google Patents

誘電体膜の選択的堆積のための方法及び装置 Download PDF

Info

Publication number
JP2020515082A
JP2020515082A JP2019560060A JP2019560060A JP2020515082A JP 2020515082 A JP2020515082 A JP 2020515082A JP 2019560060 A JP2019560060 A JP 2019560060A JP 2019560060 A JP2019560060 A JP 2019560060A JP 2020515082 A JP2020515082 A JP 2020515082A
Authority
JP
Japan
Prior art keywords
substrate
processing
alkylsilane
chamber
transfer station
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019560060A
Other languages
English (en)
Other versions
JP6992089B2 (ja
Inventor
ニン リー,
ニン リー,
ミハエラ バルシーヌ,
ミハエラ バルシーヌ,
リーチュン シア,
リーチュン シア,
ドンチン ヤン,
ドンチン ヤン,
ララ チュー,
ララ チュー,
マルコム ジェー. ベバン,
マルコム ジェー. ベバン,
テレサ クレーマー グアリーニ,
テレサ クレーマー グアリーニ,
ウェンポー イェン,
ウェンポー イェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020515082A publication Critical patent/JP2020515082A/ja
Application granted granted Critical
Publication of JP6992089B2 publication Critical patent/JP6992089B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

ロボット及び約0.1重量%以上の水蒸気を含む環境を備えた中央移送ステーションと、移送ステーションの側面に結合された前洗浄チャンバと、移送ステーションの側面に結合されたバッチ処理チャンバとを有する処理プラットフォーム。基板を前洗浄して第1の表面から自然酸化物を除去し、アルキルシランを使用してブロッキング層を形成し、選択的に膜を堆積するように構成された処理プラットフォーム。処理プラットフォームを使用して複数のウエハを処理する方法もまた記載される。【選択図】図1

Description

本開示は、一般に、薄膜を堆積するための装置及び方法に関する。特に、本開示は、膜を選択的に堆積するための統合原子層堆積ツール及び方法に関する。
集積回路は、基板表面上に複雑にパターン形成された材料層を生成するプロセスによって可能になる。基板上にパターン形成された材料を生成するには、材料層の堆積と除去のための制御された方法が必要である。最新の半導体製造プロセスでは、プロセスのステップ間で空気による中断のない膜の統合がますます重要になってきている。このような要件は、機器メーカーが様々な処理チャンバを単一のツールに統合できるようにするための課題となる。
薄膜の堆積で一般的になった1つのプロセスは、原子層堆積(ALD)である。原子層堆積は、基板表面に化学吸着する前駆体に基板を曝露し、続いて化学吸着した前駆体と反応する反応物に曝露する方法である。ALDプロセスは自己制限的であり、膜の厚さを分子レベルで制御することができる。ただし、前駆体と反応物への曝露の間に反応チャンバをパージする必要があるため、ALD処理には時間がかかる可能性がある。
半導体のパターン形成用途に必要なので、選択的堆積プロセスがより頻繁に用いられるようになってきている。従来、マイクロエレクトロニクス産業でのパターン形成は、様々なリソグラフィ及びエッチングプロセスを使用して達成されてきた。しかし、リソグラフィは、指数関数的に複雑で高価になっているため、特徴を堆積するための選択的堆積の使用が、より魅力的になっている。
デバイスのサイズが10nm領域未満まで小さくなり続けているため、フォトリソグラフィ技術を使用した従来のパターン形成プロセスは、より困難になっている。不正確なパターン形成とデバイス性能の低下は、デバイスサイズが小さいほど、よく見られる。加えて、複数のパターニング技術によっても、製造プロセスが複雑になり、より高価になる。
したがって、当技術分野では、選択的にある表面を越えて異なる表面上に選択的に膜を堆積させる装置及び方法が必要である。
本開示の1つ又は複数の実施形態は、中央移送ステーション、前洗浄チャンバ及びバッチ処理チャンバを備える処理プラットフォームを対象とする。中央移送ステーションは、内部にロボットを有し、複数の側面有する。前洗浄チャンバは、中央移送ステーションの第1の側面に結合される。前洗浄チャンバは、湿式エッチングプロセス又はドライエッチングプロセスのうちの1つ又は複数を実行するように構成される。バッチ処理チャンバは、中央移送ステーションの第2の側面に結合される。バッチ処理チャンバは、ガスカーテンによって分離された複数の処理領域を有する。バッチ処理チャンバは、基板が複数の処理領域を通って移動するように、中心軸の周りで複数の基板を支持し回転させるように構成されたサセプタアセンブリを含む。少なくとも中央移送ステーションは、不活性ガス中に約0.1重量%以上の水蒸気を含む環境を有する。
本開示の更なる実施形態は、膜を堆積する方法を対象とする。ヒドロキシル終端表面を含む第1の基板表面と水素終端表面を含む第2の基板表面とを含む基板が、提供される。基板がパッシベーション剤に曝露され、ヒドロキシル終端表面と反応し、第1の表面の上にブロッキング層を形成する。パッシベーション剤は、アルキルシランを含む。基板が1つ又は複数の堆積ガスに曝露され、選択的に前記第1の表面を越えて第2の基板表面の上に膜を堆積する。膜がヘリウム分離プラズマに曝露され、膜の品質を改善する。基板は、不活性ガスが約0.1重量%以上の水蒸気を有する環境を含む中央移送ステーションを通って少なくとも1回移動する。
本開示の更なる実施形態は、膜を堆積する方法を対象とする。ヒドロキシル終端表面を含む第1の基板表面と水素終端表面を含む第2の基板表面とを含む基板が、提供される。基板表面は、エッチングプロセスに曝露され、第2の表面から自然酸化物を除去する。エッチングプロセスは、希釈HF又はプラズマベースのエッチングのうちの1つ又は複数を含む。基板がパッシベーション剤に曝露され、ヒドロキシル終端表面と反応し、ブロッキング層を形成する。パッシベーション剤が、一般式SiRを有するアルキルシランを含み、ここで各Rが、独立してC1−C6アルキル、置換又は非置換アミン、置換又は非置換環状アミンであり、アルキルシランが実質的にSi−H結合を含まず、少なくとも1つのR基が、4個から10個の範囲の原子を有する環を有する置換又は非置換環状アミンであり、1個の原子が窒素原子である。基板が1つ又は複数の堆積ガスに曝露され、選択的に第1の表面を越えて第2の基板表面の上に膜を堆積する。膜は、ケイ素と、酸素、窒素又は炭素のうちの1つ又は複数を含む。膜がヘリウム分離プラズマに曝露され、膜の品質を改善する。基板は、約0.1重量%以上の水蒸気を有する不活性ガスを含む環境を有する中央移送ステーションを通って少なくとも1回移動する。
本開示の上述の特徴を詳細に理解できるように、上記で簡単に要約されている本開示のより詳細な説明が、実施形態を参照することによって得られ、それらの実施形態の一部が添付図面に示される。しかし、本開示は他の等しく有効な実施形態も許容しうることから、添付図面は本開示の典型的な実施形態を示しているにすぎず、したがって、本開示の範囲を限定すると見なすべきではないことに留意されたい。
本開示の1つ又は複数の実施形態による処理プラットフォームの概略図を示す。 本開示の1つ又は複数の実施形態によるバッチ処理チャンバの断面図を示す。 本開示の1つ又は複数の実施形態によるバッチ処理チャンバの部分斜視図を示す。 本開示の1つ又は複数の実施形態によるバッチ処理チャンバの概略図を示す。 本開示の1つ又は複数の実施形態による、バッチ処理チャンバ内で使用するためのくさび形ガス分配アセンブリの一部の概略図を示す。 本開示の1つ又は複数の実施形態によるバッチ処理チャンバの概略図を示す。 本開示の1つ又は複数の実施形態による方法の概略図を示す。
添付図面において、類似の構成要素及び/又は特徴は、同一の参照符号を有することがある。更に、同種の様々な構成要素は、ダッシュと、類似の構成要素間で区別を行う第2の符号により、参照符号に従って区別されることがある。第1の参照符号だけが明細書中で使用される場合、説明は、第2の参照符号に関係なく、同一の第1の参照符号を有する類似の構成要素のうちの任意の1つに適用可能である。
本開示のいくつかの例示的な実施形態を説明する前に、本開示が下記の説明において明記される構成又はプロセスステップの詳細事項に限定されないと理解すべきである。本開示は、他の実施形態も可能であり、様々な方法で実践又は実行可能である。
本明細書において「ウエハ(wafer)又は基板(substrate)」とは、製造プロセス中にその上に膜処理が実行される、任意の基板表面又は基板上に形成された任意の材料面を指す。例えば、その上で処理が実行可能である基板表面は、用途に応じて、ケイ素、酸化ケイ素、歪みシリコン、シリコンオンインシュレータ(silicon on insulator:SOI)、炭素がドープされた酸化ケイ素、アモルファスシリコン、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに金属、金属窒化物、金属合金、及びその他の導電性材料といった他の任意の材料を含む。基板は、半導体ウエハを含むが、それに限定されない。基板は、基板表面を研磨、エッチング、還元、酸化、水酸化、アニール、UV硬化、電子ビーム硬化及び/又はベークするために前処理プロセスに曝露されることがある。基板自体の表面上に直接膜処理を行うことに加えて、本開示では、開示されている膜処理ステップのうちの任意のものが、より詳細に後述されるように、基板上に形成された下層に対して実行されることもあり、「基板表面(substrate surface)」という用語は、文脈が示すように、そのような下層を含むことを意図している。したがって、例えば基板表面上に膜/層又は部分的な膜/層が堆積している場合には、新たに堆積した膜/層の露出面が、基板表面になる。
本開示の1つ又は複数の実施形態は、表面終端化学基に基づいて、処理ウエハの特定の領域上に選択的に誘電体膜を形成する方法を提供する。原子層堆積(ALD)膜の成長は、従来の時間領域処理によって又はバッチ処理チャンバ内の空間ALDによって、実行することができる。いくつかの実施形態は、表面処理を使用して、デバイスウエハ上に異なる終端基が存在することを保証し、その後のALD膜成長が異なる表面に基づいて区別されるようにする。例えば、Si−H基で終端された露出したSi表面を準備するには、希薄HF湿式洗浄又はプラズマベースのドライ洗浄を使用して、Si表面の自然酸化物を除去し、Si−H結合を形成することができる。ALD膜の成長をブロックできる不動態化された表面を準備するために、酸化ケイ素表面に疎水性表面単層を形成することができる。例えば、アルキルアミノシランを酸化ケイ素表面に吸着させて、SiO表面にアルキルシリル基を形成することができる。いくつかの実施形態のALD膜成長化学は、パッシベーション化された(passivated)SiO表面ではなく、露出したSi表面上で選択的に成長することができるハロゲン化ケイ素及びアンモニア反応に基づいている。いくつかの実施形態により達成可能な最大の厚さは、露出したSi上で約100Åの成長であり、パッシベーション化されたSiO表面上には実質的に膜成長がない。周期的なSiO表面の再生とパッシベーションを使用して、SiOよりも露出したSi上でより厚い成長を見ることができるだろう。
いくつかの実施形態では、Si/C/O/Nの組成を有する低誘電率膜も選択的に堆積させることができる。いくつかの実施形態のSiCON堆積は、C含有Si前駆体、アンモニア、及びO、O又はNOなどの酸化剤を使用する。
いくつかの実施形態では、堆積されたままの膜特性を改善する方法として、プラズマ処理が使用される。例えば、熱成長したSiN膜は、高い湿式エッチング速度を持つことができるだろう。驚くべきことに、ヘリウムを使用した分離プラズマ処理は、膜特性を劇的に改善することが示された。
図1は、本開示の1つ又は複数の実施形態による処理プラットフォーム100を示す。図1に示される実施形態は、1つの可能な構成の単なる代表例であり、本開示の範囲を限定するものと解釈すべきではない。例えば、いくつかの実施形態では、処理プラットフォーム100は、異なる数の処理チャンバ、バッファチャンバ、及びロボット構成を有する。
処理プラットフォーム100は、複数の側面111、112、113、114、115、116を有する中央移送ステーション110を含む。図示された移送ステーション110は、第1の側面111、第2の側面112、第3の側面113、第4の側面114、第5の側面115及び第6の側面116を有する。6つの側面が図示されるが、当業者は、例えば、処理プラットフォーム100の全体構成に応じて、移送ステーション110に対して任意の適切な数の側面が存在しうることを理解するだろう。
移送ステーション110は、内部に配置されたロボット117を有する。ロボット117は、処理中にウエハを移動させることができる任意の適切なロボットとすることができる。いくつかの実施形態では、ロボット117は、第1のアーム118及び第2のアーム119を有する。第1のアーム118及び第2のアーム119は、他のアームとは独立して動かすことができる。第1のアーム118及び第2のアーム119は、x−y平面で及び/又はz軸に沿って動かすことができる。いくつかの実施形態では、ロボット117は、第3のアーム又は第4のアーム(図示せず)を含む。アームの各々は、他のアームとは独立して動かすことができる。
バッチ処理チャンバ120は、中央移送ステーション110の第1の側面111に結合することができる。バッチ処理チャンバ120は、バッチ時間に一度にx個のウエハを処理するように構成することができる。いくつかの実施形態では、バッチ処理チャンバ120は、約4個(x=4)から約12個(x=12)の範囲のウエハを同時に処理するように構成することができる。いくつかの実施形態では、バッチ処理チャンバ120は、同時に6個(x=6)のウエハを処理するように構成される。当業者に理解されるように、バッチ処理チャンバ120は、個々のウエハの搬入/搬出間で複数のウエハを処理することができるが、各ウエハは、いつでも異なるプロセス条件に曝露されることがある。例えば、図2から図6に示されるような空間原子層堆積チャンバは、ウエハが異なる処理領域で異なるプロセス条件に曝露され、ウエハが各領域を通って移動する際に、プロセスが完了するようにする。
図2は、インジェクタ又はインジェクタアセンブリとも称される、ガス分配アセンブリ220と、サセプタアセンブリ240とを含む、処理チャンバ200の断面図を示す。ガス分配アセンブリ220は、処理チャンバ内で使用される任意の種類のガス供給デバイスである。ガス分配アセンブリ220は、サセプタアセンブリ240に面する正面221を含む。正面221は、サセプタアセンブリ240に向けてガスの流れを供給するための任意の数の又は様々な開口部を有することができる。ガス分配アセンブリ220はまた、外周エッジ224も含み、図示される実施形態では、外周エッジ224は実質的に円形である。
使用される具体的な種類のガス分配アセンブリ220は、使用されている特定のプロセスに応じて変化しうる。本開示の実施形態は、サセプタとガス分配アセンブリとの間の間隙が制御される任意の種類の処理システムと共に使用することができる。様々な種類のガス分配アセンブリ(例えば、シャワーヘッドなど)を用いることができるが、本開示の実施形態は、複数の実質的に平行なガスチャネルを有する空間的ガス分配アセンブリを用いると、特に有用でありうる。この明細書及び付随する特許請求の範囲で使用される、「実質的に平行(substantially parallel)」という用語は、ガスチャネルの長手方向軸が大体同じ方向に延びることを意味する。ガスチャネルの平行度には、若干の不完全性があってもよい。二元反応では、複数の実質的に平行なガスチャネルは、少なくとも1つの第1の反応性ガスAのチャネル、少なくとも1つの第2反応性ガスBのチャネル、少なくとも1つのパージガスPのチャネル、及び/又は少なくとも1つの真空Vのチャネルを含むことができる。第1の反応性ガスAのチャネル(複数可)、第2の反応性ガスBのチャネル(複数可)、及び、パージガスPのチャネル(複数可)から流れるガスは、ウエハの上面に向けられる。ガス流の一部は、ウエハの表面にわたって水平に移動し、パージガスPのチャネル(複数可)を通って処理領域から出る。基板は、基板がガス分配アセンブリの一方の端から他方の端まで移動することで、処理ガスの各々に順に曝露され、基板表面上に層が形成されることになる。
いくつかの実施形態では、ガス分配アセンブリ220は、単一のインジェクタユニットで作製された剛性の静止体である。1つ又は複数の実施形態では、ガス分配アセンブリ220は、図3に示すように、複数の個別セクタ(例えば、複数のインジェクタユニット222)で構成される。単片の本体又は複数セクタの本体のいずれであっても、説明される本開示の様々な実施形態と共に使用することができる。
サセプタアセンブリ240は、ガス分配アセンブリ220の下に配置される。サセプタアセンブリ240は、上面241と、上面241における少なくとも1つの凹部242とを含む。サセプタアセンブリ240は、底面243及びエッジ244も有する。凹部242は、処理されている基板60の形状及びサイズに応じて、任意の適した形状及びサイズとすることができる。図2に示す実施形態では、凹部242は、ウエハの底部を支持するための平らな底部を有しているが、凹部の底部は変化しうる。いくつかの実施形態では、凹部は、凹部の外周エッジの周辺に、ウエハの外周エッジを支持するようサイズ決定される段差領域を有する。ウエハの外周エッジの、この段差によって支持される分量は、例えば、ウエハの厚さ、及びウエハの裏側に既にある特徴の存在に応じて変動しうる。
いくつかの実施形態では、図2に示すように、サセプタアセンブリ240の上面241における凹部242は、凹部242内で支持される基板60が、サセプタ240の上面241と実質的に同一平面の上面61を有するように、サイズ決定される。本明細書及び添付の特許請求の範囲で使用される、「実質的に同一平面の(substantially coplanar)」という用語は、ウエハの上面とサセプタアセンブリの上面とが、±0.2mm以内で同一平面にあることを意味する。ある実施形態では、上面は、0.5mm、±0.4mm、±0.35mm、±0.30mm、±0.25mm、±0.20mm、±0.15mm、±0.10mm又は±0.05mm以内で同一平面にある。
図2のサセプタアセンブリ240は、サセプタアセンブリ240を上昇させ、下降させ、かつ回転させることが可能な支持ポスト260を含む。サセプタアセンブリは、支持ポスト260の中心内にヒータ又はガスライン若しくは電子部品を含みうる。支持ポスト260は、サセプタアセンブリ240とガス分配アセンブリ220との間の間隙を広げたり狭めたりして、サセプタアセンブリ240を適切な位置へと移動させる、主たる手段でありうる。サセプタアセンブリ240は、サセプタアセンブリ240とガス分配アセンブリ220との間に所定の間隙270を創出するためにサセプタアセンブリ240に対してマイクロ調整を行うことができる、微調整アクチュエータ262も含みうる。
いくつかの実施形態では、間隙270の距離は、約0.1mmから約5.0mmの範囲内、又は約0.1mmから約3.0mmの範囲内、又は約0.1mmから約2.0mmの範囲内、又は約0.2mmから約1.8mmの範囲内、又は約0.3mmから約1.7mmの範囲内、又は約0.4mmから約1.6mmの範囲内、又は約0.5mmから約1.5mmの範囲内、又は約0.6mmから約1.4mmの範囲内、又は約0.7mmから約1.3mmの範囲内、又は約0.8mmから約1.2mmの範囲内、又は約0.9mmから約1.1mmの範囲内であるか、或いは約1mmである。
図に示す処理チャンバ200は、内部でサセプタアセンブリ240が複数の基板60を保持できるカルーセル型チャンバである。図3に示すように、ガス分配アセンブリ220は、複数の別個のインジェクタユニット222を含んでよく、各インジェクタユニット222は、インジェクタユニットの下方でウエハが移動する際に、ウエハ上に膜を堆積可能である。サセプタアセンブリ240上の、ほぼ反対側に配置された、2つのパイ型インジェクタユニット222が図示される。このインジェクタユニット222の数は、例示目的のためだけに示される。より多い又はより少ない数のインジェクタユニット222を含むことができると理解されよう。いくつかの実施形態では、サセプタアセンブリ240の形状に一致する形状を形成するのに十分な数のパイ型インジェクタユニット222が存在する。いくつかの実施形態では、個々のパイ型インジェクタユニット222の各々は、他のインジェクタユニット222のいずれにも影響を与えることなく、個別に移動し、取り外され、かつ/又は交換されうる。例えば、ロボットがサセプタアセンブリ240とガス分配アセンブリ220との間の領域にアクセスして、基板60を搬入/搬出できるようにするために、1つのセグメントが上昇しうる。
複数のウエハが同じプロセスフローを経るように、これら複数のウエハを同時に処理するために、複数のガスインジェクタを有する処理チャンバを使用することができる。例えば、図4に示すように、処理チャンバ200は、4つのガスインジェクタアセンブリ及び4つの基板60を有する。処理開始の際、基板60は、ガス分配アセンブリ220間に置くことができる。サセプタアセンブリ240を45°回転させる(17)と、ガス分配アセンブリ220の間にある各基板60は、ガス分配アセンブリ220の下に点線円で示されるように、膜堆積のためにガス分配アセンブリ220に移動することになる。更に45o回転させることにより、基板60はガス分配アセンブリ220から離れる方向に移動することになるだろう。基板60とガス分配アセンブリ220との数は、同じであっても異なっていてもよい。いくつかの実施形態では、処理されているウエハの数は、存在するガス分配アセンブリと同じ数になる。1つ又は複数の実施形態では、処理されているウエハの数は、ガス分配アセンブリの数の分数又は整数倍になる。例えば、4つのガス分配アセンブリが存在する場合、4xの処理されているウエハが存在し、ここでxは1以上の整数値である。例示的な実施形態では、ガス分配アセンブリ220は、ガスカーテンによって分離された8つの処理領域を含み、サセプタアセンブリ240は、6つのウエハを保持することができる。
図4に示す処理チャンバ200は、実現可能な一構成を表しているにすぎず、本開示の範囲を限定するものと解釈すべきではない。ここでは、処理チャンバ200は、複数のガス分配アセンブリ220を含む。図示した実施形態では、処理チャンバ200の周囲に均等に離間した4つのガス分配アセンブリ220(インジェクタアセンブリとも称される)が存在する。図示する処理チャンバ200は八角形であるが、これは実現可能な一形状であり、本開示の範囲を限定するものと解釈すべきではないことを当業者は理解するだろう。図示するガス分配アセンブリ220は台形であるが、単一の円形構成要素とすることができ、又は図3に示すもののように、複数のパイ型セグメントで構成することもできる。
図4に示す実施形態は、ロードロックチャンバ280(ファクトリインターフェースとも称される)、又はバッファステーションのような補助チャンバを含む。ロードロックチャンバ280は、例えば基板(基板60とも称される)がチャンバ200に搬入/チャンバ200から搬出できるように、処理チャンバ200の側面に結合される。サセプタ上に基板を移動させるために、ロードロックチャンバ280内にウエハロボットが配置されてもよい。
カルーセル(例えば、サセプタアセンブリ240)の回転は、連続的であっても、間欠的(非連続的)であってもよい。連続処理において、ウエハは、インジェクタの各々に順に曝露されるよう常に回転している。非連続処理において、ウエハは、インジェクタ領域に移動して停止し、次いで、インジェクタ間の領域84に移動して停止することができる。例えば、カルーセルは、ウエハがインジェクタ間領域からインジェクタを横切って移動し(又はインジェクタに隣接して停止し)、カルーセルが再度停止できる次のインジェクタ間領域へと続いて移動するよう回転することができる。インジェクタ間で停止することにより、各層の堆積と堆積との間に、追加の処理ステップ(例えばプラズマへの曝露)のための時間が提供されうる。
図5は、インジェクタユニット222と称されうる、ガス分配アセンブリ220のセクタ又は一部分を示す。インジェクタユニット222は、個別に、又は他のインジェクタユニットと組み合わせて、使用することができる。例えば、図6に示すように、図5のインジェクタユニット222を4つ組み合わせて、単一のガス分配アセンブリ220を形成する。(分かりやすくするために、4つのインジェクタユニットを分ける線は図示されない。)図5のインジェクタユニット222は、パージガスポート255及び真空ポート245に加えて、第1の反応性ガスポート225と第2のガスポート235との両方を有しているが、インジェクタユニット222に、これらの構成要素の全てが必要なわけではない。
図5と図6との両方を参照すると、1つ又は複数の実施形態によるガス分配アセンブリ220は、複数のセクタ(又は複数のインジェクタユニット222)を備えてよく、各セクタは同一であるか又は異なっている。ガス分配アセンブリ220は、処理チャンバ内に配置され、ガス分配アセンブリ220の正面221に複数の細長いガスポート225、235、245を備える。複数の細長いガスポート225、235、245、255は、ガス分配アセンブリ220の内周エッジ223に隣接するエリアから外周エッジ224に隣接するエリアに向かって延びる。図示する複数のガスポートは、第1の反応性ガスポート225と、第2のガスポート235と、第1の反応性ガスポートと第2の反応性ガスポートの各々を取り囲む真空ポート245と、パージガスポート255とを含む。
図5又は図6に示す実施形態を参照して、ポートは少なくとも内周領域周辺から少なくとも外周領域周辺まで延びると記述するとしても、ポートは、単に内側領域から外側領域まで半径方向に延びるだけではない可能性がある。ポートは、真空ポート245が反応性ガスポート225及び反応性ガスポート235を取り囲むように、接線方向に延びる可能性がある。図5及び図6に示す実施形態では、くさび形の反応性ガスポート225、235は、内周領域及び外周領域に隣接したエッジを含む全てのエッジで、真空ポート245によって取り囲まれる。
図5を参照すると、基板が経路227に沿って移動するにつれ、基板表面の各部分は、様々な反応性ガスに曝露される。経路227を辿ると、基板は、パージガスポート255、真空ポート245、第1の反応性ガスポート225、真空ポート245、パージガスポート255、真空ポート245、第2のガスポート235、及び真空ポート245に曝露される、すなわちそれらに「遭遇する(see)」ことになる。したがって、図5に示す経路227の端で、基板は、第1の反応性ガスポート225からの第1の反応性ガス、及び第2の反応性ガスポート235からの第2の反応性ガスに曝されて、層を形成した。図示されるインジェクタユニット222は、四分円となっているが、より大きい又はより小さいものである可能性もある。図6に示すガス分配アセンブリ220は、連続的に結合された図4の4つのインジェクタユニット222の組み合わせと見なすことができる。
図5のインジェクタユニット222は、反応性ガス同士を分離させるガスカーテン250を示す。「ガスカーテン(gas curtain)」という用語は、反応性ガスを混合しないように分離させるガス流又は真空の任意の組み合わせを説明するために使用される。図5に示すガスカーテン250は、真空ポート245の第1の反応性ガスポート225に隣接する部分、中間のパージガスポート255、及び真空ポート245の第2のガスポート235に隣接する部分を含む。このガス流と真空との組み合わせは、第1の反応性ガスと第2の反応性ガスとの気相反応を防止する又は最少化するために使用することができる。
図6を参照すると、ガス分配アセンブリ220からのガス流と真空との組み合わせは、複数の処理領域350への分離を形成する。処理領域は、350の間のガスカーテン250を用いて、個々のガスポート225、235の周りに大まかに画定される。図6に示す実施形態は、8つの別個のガスカーテン250を間に有する、8つの別個の処理領域350を構成する。処理チャンバは、少なくとも2つの処理領域を有することができる。いくつかの実施形態では、少なくとも3つ、4つ、5つ、6つ、7つ、8つ、9つ、10、11、又は12の処理領域が存在する。
処理中に、基板は、どの所与の時点においても2つ以上の処理領域350に曝露されうる。しかし、異なる処理領域に曝露される部分は、その2つを分離するガスカーテンを有することになる。例えば、基板の前方エッジが第2のガスポート235を含む処理領域に入る場合、基板の中間部分はガスカーテン250の下にあり、かつ、基板の後方エッジは第1の反応性ガスポート225を含む処理領域内にあることになる。
ファクトリインターフェース(ロードロックチャンバ280)は、処理チャンバ200に結合されて示される。参照のフレームを提供するために、基板60は、ガス分配アセンブリ220に重ね合わせて示される。基板60は、ガス分配アセンブリ220の前面221の近くに保持されるよう、しばしばサセプタアセンブリ上に置かれることがある。基板60は、ファクトリインターフェース(ロードロック280)を介して、処理チャンバ200内の基板支持体又はサセプタアセンブリ上に搬送される(図4参照)。処理領域内に配置された基板60が図示されうるが、それは、基板が、第1の反応性ガスポート225に隣接し、かつ2つのガスカーテン250a、250bの間に位置するからである。基板60を経路227に沿って回転させることにより、この基板は、処理チャンバ200の周りを反時計回りに移動することになる。ゆえに、基板60は、第1の処理領域350aから第8の処理領域350hまでの処理領域に曝露されることになり、第1の処理領域と第8の処理領域との間に全ての処理領域が含まれる。
本開示のいくつかの実施形態は、複数の処理領域350a−350hを有し、各処理領域がガスカーテン250によって隣接する領域から分離された処理チャンバ200を含む処理方法を対象とする。例えば、図6に処理チャンバが示される。処理チャンバ内のガスカーテンと処理領域の数は、ガス流の配置に応じて、任意の適した数とすることができる。図6に示す実施形態は、8つのガスカーテン250と8つの処理領域350a−350hとを有する。
再び図1を参照すると、処理プラットフォーム100は、中央移送ステーション110の第2の側面112に結合された前洗浄チャンバ140を含む。前洗浄チャンバ140は、希釈(1%)フッ化水素酸を含む湿式エッチング又はプラズマベースのエッチングを含むドライエッチングのうちの1つ又は複数にウエハを曝露するように構成される。例えば、プラズマベースのエッチングプロセスは、基板表面をアンモニアとHFとの混合物に曝露することがある。
いくつかの実施形態では、処理プラットフォームは、中央移送ステーション110の第3の側面113に結合された第2のバッチ処理チャンバ130を更に備える。第2のバッチ処理チャンバ130は、バッチ処理チャンバ120と同様に構成することができ、又は異なるプロセスを実行するか、異なる数の基板を処理するように構成することができる。
第2のバッチ処理チャンバ130は、第1のバッチ処理チャンバ120と同じであっても異なっていてもよい。いくつかの実施形態では、第1のバッチ処理チャンバ120及び第2のバッチ処理チャンバ130は、同じバッチ時間で同じ数のウエハで同じプロセスを実行するように構成され、したがって、x及びy(第2のバッチ処理チャンバ130内のウエハの数)は同じであり、第1のバッチ時間及び第2のバッチ時間(第2のバッチ処理チャンバ130の)は同じである。いくつかの実施形態では、第1のバッチ処理チャンバ120及び第2のバッチ処理チャンバ130は、1つ又は複数の異なる数のウエハ(xはyに等しくない)、異なるバッチ時間、又はその両方を有するように構成される。
図1に示される実施形態では、処理プラットフォーム100は、中央移送ステーション110の第4の側面114に結合された第2の前洗浄チャンバ150を含む。第2の前洗浄チャンバ150は、前洗浄チャンバ140と同じであっても異なっていてもよい。いくつかの実施形態では、第1のバッチ処理チャンバ120及び第2のバッチ処理チャンバ130は、同じバッチ時間(x=y)で、同じ数のウエハを処理するように構成され、第1及び第2の単一ウエハ処理チャンバ(すなわち、前洗浄チャンバ140、150)は、同じ時間(1/x=1/y)で同じプロセスを実行するように構成される。
処理プラットフォーム100は、ロボット117に結合されたコントローラ195を含むことができる(結合は示されていない)。コントローラ195は、ロボット117の第1のアーム118を用いて、前洗浄チャンバ140と第1のバッチ処理チャンバ120との間でウエハを移動させるように構成することができる。いくつかの実施形態では、コントローラ195は、ロボット117の第2アーム119により第2の単一ウエハ処理チャンバ150と第2のバッチ処理チャンバ130との間でウエハを移動させるようにも構成される。
処理プラットフォーム100は、中央移送ステーション110の第5の側面115に結合された第1のバッファステーション151及び/又は中央移送ステーション110の第6の側面116に結合された第2バッファステーション152も含むことができる。第1のバッファステーション151及び第2のバッファステーション152は、同じ又は異なる機能を実行することができる。例えば、バッファステーションは、処理されて元のカセットに戻されるウエハのカセットを保持してもよく、又は第1のバッファステーション151は、処理後に第2のバッファステーション152に移動される未処理ウエハを保持してもよい。いくつかの実施形態では、1つ又は複数のバッファステーションは、処理の前及び/又は処理の後にウエハを前処理、予熱又は洗浄するように構成される。
いくつかの実施形態では、コントローラ195は、ロボット117の第1のアーム118を使用して、第1のバッファステーション151と前洗浄チャンバ140及び第1バッチ処理チャンバ120のうちの1つ又は複数との間でウエハを移動させるように構成される。いくつかの実施形態では、コントローラ195は、ロボット117の第2のアーム119を使用して、第2のバッファステーション152と第2の単一ウエハ処理チャンバ150又は第2のバッチ処理チャンバ130のうちの1つ又は複数との間でウエハを移動させるように構成される。
コントローラ195は、その動作を制御するために、処理プラットフォーム100の様々な構成要素に接続されうる。コントローラ195は、処理プラットフォーム100全体を制御する単一のコントローラ、又は処理プラットフォーム100の個々の部分を制御する複数のコントローラとすることができる。例えば、処理プラットフォーム100は、個々の処理チャンバ、中央移送ステーション、ファクトリインターフェース及びロボットの各々に対して別個のコントローラを含みうる。いくつかの実施形態では、コントローラ195は、中央処理装置(CPU)196、メモリ197、及びサポート回路198を含む。コントローラ195は、処理プラットフォーム100を直接制御してもよく、特定の処理チャンバ及び/又はサポートシステム構成要素に関連付けられたコンピュータ(又はコントローラ)を介して制御してもよい。コントローラ195は、様々なチャンバ及びサブプロセッサを制御するための工業環境で使用できる任意の形態の汎用コンピュータプロセッサのうちの1つでありうる。コントローラ195のメモリ197又はコンピュータ可読媒体は、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、光記憶媒体(例えば、コンパクトディスク若しくはデジタルビデオディスク)、フラッシュドライバ、又はローカル若しくは遠隔の任意の他の形態のデジタルストレージなど、容易に入手可能なメモリのうちの1つ又は複数でありうる。サポート回路198は、従来型の様態でプロセッサをサポートするためにCPU196に接続される。これらの回路は、キャッシュ、電力供給装置、クロック回路、入出力回路、及びサブシステムなどを含む。1つ又は複数のプロセスは、本明細書に記載の方法で、処理プラットフォーム100又は個々の処理チャンバの動作を制御するために実行又は呼び出すことができるソフトウェアルーチンとして、メモリ198に格納されうる。ソフトウェアルーチンはまた、CPU196によって制御されているハードウェアから遠隔に位置する第2のCPU(図示せず)によって、記憶及び/又は実行されうる。コントローラ195は、流量、ガスバルブ、ガス源、回転、移動、加熱、冷却、又は様々な構成を実行するための他のプロセスを制御するための任意の命令又は機能を含むことができる1つ又は複数の構成を含むことができる。
処理プラットフォーム100はまた、中央移送ステーション110と処理チャンバのいずれかとの間に1つ又は複数のスリットバルブ160を含みうる。図示される実施形態では、処理チャンバ120、130、140、150の各々と中央移送ステーション110との間にスリットバルブ160がある。スリットバルブ160は、中央移送ステーション110内の環境から処理チャンバ内の環境を分離するために開閉することができる。例えば、処理チャンバが処理中にプラズマを生成することになる場合、その処理チャンバのスリットバルブを閉じて、浮遊プラズマが搬送ステーションのロボットに損傷を与えないようにすることは役に立つことがある。
いくつかの実施形態では、処理チャンバは、中央移送ステーション110から容易に取り外し可能ではない。処理チャンバのいずれかでメンテナンスを実行できるようにするために、処理チャンバの各々は、処理チャンバの側面に複数のアクセスドア170を更に含んでもよい。アクセスドア170は、中央移送ステーション110から処理チャンバを取り外すことなく、処理チャンバへの手動アクセスを可能にする。図示された実施形態では、移送ステーションに結合された側面を除く処理チャンバそれぞれの各側面は、アクセスドア170を有する。非常に多くのアクセスドア170を含めることは、チャンバ内のハードウェアがドアを通してアクセス可能に構成される必要があるだろうから、採用される処理チャンバの構造を複雑にする可能性がある。
いくつかの実施形態の処理プラットフォームは、移送ステーション110に結合されたウォーターボックス180を含む。ウォーターボックス180は、処理チャンバのいずれか又はすべてに冷却剤を供給するように構成することができる。「ウォーター(water)」ボックスと呼ばれるが、当業者は、あらゆる冷却剤が使用できることを理解するだろう。
いくつかの実施形態では、処理プラットフォーム100のサイズは、単一の電力コネクタ190を介して電力を収容するための結合を可能にする。単一の電力コネクタ190は、処理プラットフォーム100に取り付けられて、処理チャンバの各々及び中央移送ステーション110に電力を供給する。
処理プラットフォーム100は、ウエハ又はウエハのカセットをプラットフォーム100に搬入できるように、ファクトリインターフェース102に結合することができる。ファクトリインターフェース102内のロボット103は、ウエハ又はカセットをバッファステーション151、152に出し入れすることができる。ウエハ又はカセットは、中央移送ステーション110のロボット117によって、プラットフォーム100内を移動させることができる。いくつかの実施形態では、ファクトリインターフェース102は、別のクラスタツールの移送ステーションである。
いくつかの実施形態では、第2の前洗浄チャンバ150は、プラズマ処理チャンバである。いくつかの実施形態のプラズマ処理チャンバは、ヘリウムを含む分離プラズマに基板を曝露する。発明者らは、驚くべきことに、分離されたヘリウムプラズマがSi/C/O/N膜の湿式エッチング速度を改善することを発見した。
図7は、本開示の1つ又は複数の実施形態による代表的な方法を示す。基板710は、ヒドロキシル終端表面を備えた第1の基板表面712を有する。基板710は、水素終端表面を備えた第2の基板表面714も有する。いくつかの実施形態では、第2の表面714は、図7に示されるように、その上に形成された何らかの自然酸化物を有する。図7に示される実施形態は、基板表面への単純な単結合を示すが、当業者は、これが単に例示目的であることを理解し、表面原子結合が図示されるほど単純ではないことを理解するだろう。例えば、酸化物表面は、2つ以上のケイ素原子に結合した架橋酸素原子の可能性があり、表面及びバルク組成の化学量論は必ずしも1対1ではない。
第1の表面712及び第2の表面714は、選択的堆積のための任意の適切な表面でありうる。いくつかの実施形態では、第1の表面は、−OH末端基を有する誘電体表面を含み、第2の表面は、自然酸化物を含む又は含まないSi−H基を有するケイ素表面を含む。いくつかの実施形態では、第1の表面は、−OH末端基を有する誘電体表面を含み、第2の表面は、自然酸化物を含む又は含まない金属表面を含む。いくつかの実施形態では、第1の表面は、−OH末端基を有する金属酸化物表面を含み、第2の表面は、自然酸化物を含む又は含まないSi−H基を含むケイ素表面を含む。いくつかの実施形態では、第1の表面は、−OH末端基を有する金属酸化物表面を含み、第2の表面は、自然酸化物を含まないクリーンな金属表面を含む。
自然酸化物が第2の表面714上に存在する場合、自然酸化物の除去は、より効果的な選択的堆積プロセスを可能にすることがある。基板710をエッチングプロセスに曝露することにより、第2の表面714から自然酸化物を除去することができる。エッチングプロセスは、湿式エッチングプロセス(例えば、希釈HF(1%)への曝露)又はドライエッチングプロセス(例えば、プラズマへの曝露)とすることができる。いくつかの実施形態では、エッチングプロセスは、プラズマベースのプロセスである。いくつかの実施形態では、プラズマベースのエッチングプロセスは、基板をアンモニア及びフッ化水素酸のプラズマに曝露することを含む。
いくつかの実施形態では、第2の表面714から自然酸化物を除去すると、実質的に水素終端のみを有する表面が提供される。このように使用される場合、「実質的に水素終端のみ(substantially only hydrogen terminations)」という用語は、表面終端が表面積の約98%以上において水素であることを意味する。いくつかの実施形態では、第2の表面714から自然酸化物を除去すると、酸素終端が実質的にない表面が提供される。このように使用される場合、「実質的に酸素終端がない(substantially no oxygen terminations)」という用語は、表面終端が酸素原子を含む表面積を約2%未満含むことを意味する。
1つ又は複数の実施形態では、第2の表面714から自然酸化物を除去するために使用されるプロセスはまた、第1の表面712も酸化し、実質的に水素終端のない表面を提供する。このように使用される場合、「実質的に水素終端がない(substantially no hydrogen terminations)」という用語は、指定された表面の表面終端が、表面積の約2%以下において水素であることを意味する。いくつかの実施形態では、第1の表面712は、実質的にヒドロキシル終端のみを含む。このように使用される場合、「実質的にヒドロキシル終端のみ(substantially only hydroxyl terminations)」という用語は、対象表面の表面終端が表面積の約98%以上においてヒドロキシル基であることを意味する。
第1の表面712及び第2の表面714を含む基板710は、ヒドロキシル終端表面と反応してブロッキング層713を形成するために、パッシべーション剤に曝露することができる。いくつかの実施形態のパッシベーション剤は、アルキルシランを含む。いくつかの実施形態では、一般式SiRを有し、ここで各Rは、独立して、C1−C6アルキル、置換又は非置換アミン、置換又は非置換環状アミンである。
いくつかの実施形態では、アルキルシランは、実質的にSi−H結合を含まない。このように使用される場合、「実質的にSi−H結合を含まない(substantially no Si−H bonds)」という用語は、パッシベーション剤がケイ素結合の総数に基づいて約1%未満のSi−H結合を含むことを意味する。いくつかの実施形態のパッシベーション剤は、第1の表面712上に表面終端−OSiRを形成し、−OH終端を置換する。いくつかの実施形態では、パッシベーション剤は、1−(トリメチルシリル)ピロリジン又はビス(ジメチルアミノ)ジメチルシランのうちの1つ又は複数を含む。
いくつかの実施形態では、アルキルシランは、4個から10個の原子の範囲の環を有する少なくとも1つの置換又は非置換環状アミンを含む。いくつかの実施形態では、アルキルシランは、1つの窒素原子を有する環状アミンを含む。いくつかの実施形態では、環状アミンは、せいぜい1つの窒素原子及び1つ以上の窒素原子を有する。1つ又は複数の実施形態では、環状アミンは、ピロリジンの窒素原子がアルキルシランのケイ素原子に結合しているピロリジンを含む。いくつかの実施形態では、アルキルシランは、1−(トリメチルシリル)ピロリジンを含む。1つ又は複数の実施形態では、アルキルシランは、本質的に1−(トリメチルシリル)ピロリジンからなる。このように使用される場合、「本質的に〜からなる(consists essentially of 〜)」という用語は、アルキルシランが分子ベースで約98%以上において1−(トリメチルシリル)ピロリジンであることを意味する。
基板は、任意の適切な温度及び圧力でパッシベーション剤に曝露することができる。いくつかの実施形態では、基板は、約50℃から約500℃の範囲、又は約100℃から約400℃の範囲の温度でパッシベーション剤に曝露される。いくつかの実施形態では、基板は、約30Torr〜約120Torrの範囲、又は約40Torr〜約100Torrの範囲、又は約50Torr〜約90Torrの範囲の圧力で、パッシベーション剤に曝露される。1つ又は複数の実施形態では、基板は、プラズマを含まない熱処理でパッシベーション剤に曝露される。
ブロッキング層713を形成した後に、基板710は、1つ又は複数の堆積ガスに曝露されて、選択的に第1の表面712を越えて第2の表面714上に膜715を堆積する。この点で使用される場合、「選択的に〜を越えて(selectively over)」という用語は、膜が第1の表面に形成できるよりも大きい程度まで、膜が第2の表面に形成されることを意味する。例えば、膜715は、膜が第1の表面に形成されるよりも20倍、30倍、40倍又は50倍以上厚い第2の表面に形成することができる。
膜715の形成は、原子層堆積を含むがこれに限定されない任意の適切な技術によって起こりうる。いくつかの実施形態では、膜715は、図2から図6に示されるもののようなバッチ処理チャンバで形成される。例えば、膜715は、ケイ素前駆体及び反応物への連続的な曝露によって形成されてもよい。いくつかの実施形態の膜715は、SiN、SiO、SiON、SiC、SiCO、SiCN又はSiCONのうちの1つ又は複数を含む。いくつかの実施形態では、膜715は、ケイ素と、酸素、炭素又は窒素原子のうちの1つ又は複数とを含む。いくつかの実施形態では、膜715は、原子ベースで約2%までの量のB、As又はPのうちの1つ又は複数でドープされる。
いくつかの実施形態では、ケイ素前駆体はハロゲン化ケイ素を含み、反応物はアンモニアを含む。いくつかの実施形態では、ケイ素前駆体は、ハロゲン原子を含む又は含まない有機ケイ素化合物を含む。いくつかの実施形態では、反応物は、窒素寄与種、酸素寄与種及び/又は炭素寄与種を含む。いくつかの実施形態では、ケイ素前駆体は、窒素、酸素又は炭素のうちの1つ又は複数を膜715に寄与する。
バッチ処理チャンバでは、処理チャンバの交互の処理領域で、ケイ素前駆体と反応物に基板を曝露することができる。図6を参照すると、例えば、処理領域350a、350c、350e、350gは、基板表面をケイ素前駆体に曝露し、処理領域350b、350d、350f、350hは、基板表面を反応物に曝露し、よって、処理チャンバの周りの基板の各回転は、基板表面を4サイクルのケイ素前駆体/反応物に曝露する。
基板は、任意の適切な処理チャンバ内でパッシベーション剤に曝露することができる。いくつかの実施形態では、基板は、前洗浄チャンバ内でパッシベーション剤に曝露される。いくつかの実施形態では、基板は、別個のパッシべーションチャンバ内でパッシベーション剤に曝露される。いくつかの実施形態では、基板は、バッチ処理チャンバ内でパッシベーション剤に曝露される。例えば、バッチ処理チャンバの処理領域は、変更することができ、処理領域を流れる反応性ガスがパッシベーション剤で置換される。ブロッキング層を形成した後、処理領域内のパッシベーション剤の流れは、ケイ素前駆体と反応物とで置き換えることができる。
膜の厚さは、所定の量まで堆積させることができる。しばらくして、ブロッキング層713が存在していても、膜715は、第1の表面712上に堆積し始める可能性がある。特定の動作理論に束縛されることなく、ブロッキング反応層713は、堆積反応物への繰り返しの曝露により除去されることがあると考えられる。膜715の厚さを増加させ、選択性を維持するために、ブロッキング層713は、定期的に補充されてもよい。いくつかの実施形態では、基板は、膜715を堆積するために、20、30、40、50、60、70、80、90又は100未満の原子層堆積サイクルの後に、パッシベーション剤に曝露される。いくつかの実施形態では、基板は、膜715を約30Åから約100Åの範囲の厚さまで形成した後に、又は膜715を最大約20Å、30Å、40Å、50Å、60Å若しくは70Åまでの厚さに形成した後に、パッシベーション剤に曝露される。
ブロッキング層713の再生は、任意の適切なプロセスによって行うことができる。例えば、基板の表面は、約1Torrから約30Torrの範囲の圧力で、約10分から約60分の範囲の時間、不活性ガス(例えば、N又はHe)でパージすることができる。表面をパージした後に、基板は、ブロッキング層713を再生するため、再度パッシベーション剤に曝露することができる。いくつかの実施形態では、表面は、約15分から約50分の範囲の時間、又は約20分から約40分の範囲の時間、パージされる。いくつかの実施形態では、表面は、約10Torrから約25Torrの範囲、又は約15Torrから約20Torrの範囲の圧力で、パージされる。
いくつかの実施形態では、ブロッキング層713は、最初に基板の表面全体をエッチングし、続いてパッシベーション剤に曝露することにより、再生される。エッチングプロセスは、表面を前洗浄するために使用されるものと同じプロセスであっても、又は異なるエッチングプロセスであってもよい。
膜715は、任意の適切な温度で形成することができる。いくつかの実施形態では、膜715は、約200℃から約550℃の範囲、又は約300℃から約500℃の範囲、又は約350℃から約450℃の範囲の温度で形成される。いくつかの実施形態では、膜715は、プラズマ曝露のない熱処理によって形成される。いくつかの実施形態では、膜715は、プラズマ強化プロセスにより形成される。
堆積した膜715は、堆積後処理によって最適化又は改善できる膜特性を有しうる。例えば、堆積した窒化ケイ素膜は、高い湿式エッチング速度を有しうる。膜を堆積後処理に曝露することは、堆積膜715の湿式エッチング速度を改善するために使用することができる。いくつかの実施形態では、堆積後処理は、膜の品質を改善する。いくつかの実施形態では、改善された膜の品質は、湿式エッチング速度、屈折率、密度又は水素濃度のうちの1つ又は複数を含む。
いくつかの実施形態の堆積後処理は、基板表面を分離プラズマに曝露することを含む。1つ又は複数の実施形態の分離プラズマは、ヘリウムを含む。いくつかの実施形態では、分離プラズマは、本質的にヘリウムからなる。これに関連して使用される「本質的にヘリウムからなる(consists essentially of helium)」という用語は、プラズマが約95原子%以上のヘリウムを含むことを意味する。いくつかの実施形態の処理圧力は、約1mTorrから約1Torrの範囲内にある。より低い圧力は、高アスペクト比構造の等方性処理に使用されうる。処理中のウエハ温度は、ほぼ室温から約500oCの範囲とすることができる。
いくつかの実施形態では、処理プラットフォームは、洗浄後に基板表面を容易に酸化しない環境を有する。これに関連して使用される「環境(environment)」という用語は、少なくとも中央移送ステーション110内の周囲条件を指す。いくつかの実施形態の処理プラットフォームの環境は、堆積プロセスで使用される任意の処理チャンバも含む。例えば、プロセスで2つの処理チャンバが使用される場合、「環境」には、2つの処理チャンバと中央移送ステーションとが含まれることがある。いくつかの実施形態では、処理プラットフォームの環境は、水蒸気を含む。水蒸気は、不活性ガス混合されていても、混合されていなくてもよい。いくつかの実施形態では、水蒸気は、不活性ガス中に約0.1重量%から約90重量%の範囲の量で存在する。いくつかの実施形態では、水蒸気は、約1重量%から約80重量%の範囲、又は約2重量%から約70重量%の範囲、又は約3重量%から約60重量%の範囲、又は約4重量%から約50重量%の範囲、又は約5重量%から約40重量%の範囲、又は約10重量%から約20重量%の範囲の量で存在する。いくつかの実施形態では、環境は、約0.1%、0.5%、1%、2%、3%、4%、5%、6%、7%、8%、9%、10%、12%、14%、16%、18%、又は20%以上の量の水蒸気を含む窒素、水素、ヘリウム、アルゴン、クリプトン、ネオン又はキセノンのうちの1つ又は複数を含む。
1つ又は複数の実施形態によれば、基板は、層を形成する前及び/又は後に処理を受ける。この処理は、同じチャンバ内で実行することも、1つ又は複数の別個の処理チャンバで実行することもできる。いくつかの実施形態では、基板を第1のチャンバから、さらなる処理のための別の第2のチャンバに移動させる。基板は、第1のチャンバから別の処理チャンバに直接移動させることができ、又は第1のチャンバから1つ若しくは複数の移送チャンバに移動させてから、別の処理チャンバに移動させることができる。したがって、処理装置は、移送ステーションと連通している複数のチャンバを備えうる。この種の装置は、「クラスタツール(cluster tool)」又は「クラスタシステム(clustered system)」などと呼ばれることがある。
概して、クラスタツールは、基板の中心測定及び配向、ガス抜き、アニーリング、堆積及び/又はエッチングを含む様々な機能を実行する複数のチャンバを備えるモジュールシステムである。1つ又は複数の実施形態によれば、クラスタツールは、少なくとも第1のチャンバ及び中央移送チャンバを含む。中央移送チャンバは、複数の処理チャンバと複数のロードロックチャンバとの間で基板を往復搬送することができるロボットを収納しうる。移送チャンバは、真空条件に通常維持され、1つのチャンバから別のチャンバへ、及び/又はクラスタツールの前端に置かれたロードロックチャンバへ、基板を往復搬送するための中間段階を提供する。本開示に適合されうる2つの周知のクラスタツールは、Centura(登録商標)及びEndura(登録商標)であり、どちらもカリフォルニア州サンタクララのApplied Materials,Inc.から入手可能である。しかしながら、本明細書に記載されるプロセスの特定のステップを実行する目的で、チャンバの正確な配置及び組み合わせは変更されることがある。使用されうる他の処理チャンバは、限定されないが、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、前洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、ガス抜き、配向、ヒドロキシル化、及びその他の基板処理を含む。クラスタツール上のチャンバ内で処理を実行することにより、その次の膜を堆積する前に酸化することなく、空気中の不純物との基板の表面汚染を回避することができる。
1つ又は複数の実施形態によれば、基板は、連続的に真空又は「ロードロック」条件下にあり、1つのチャンバから次のチャンバへ移動されるときに、周囲空気に曝露されない。移送チャンバは、このように真空下にあり、真空圧力下で「ポンプダウン」される。不活性ガスが、処理チャンバ又は移送チャンバの中に存在しうる。いくつかの実施形態では、反応物の一部又は全部を除去するために、不活性ガスがパージガスとして使用される。1つ又は複数の実施形態によれば、反応物が堆積チャンバから移送チャンバ及び/又は更なる処理チャンバに移動するのを防止するために、パージガスが堆積チャンバの出口で注入される。従って、不活性ガスの流れが、チャンバの出口でカーテンを形成する。
基板は、単一基板堆積チャンバの中で処理することができ、そこでは、単一の基板が搬入され、処理され、そして、他の基板が処理される前に搬出される。基板は、複数の基板が個々に、チャンバの第1の部分へと搬入され、チャンバを通って移動し、かつ、チャンバの第2の部分から搬出される、コンベヤシステムに類似した連続的な方法で処理することもできる。チャンバ及び関連するコンベヤシステムの形状は、直線経路又は曲線経路を形成しうる。加えて、処理チャンバは、複数の基板が、中心軸の周りを移動し、カルーセル経路の間中、堆積、エッチング、アニーリング、洗浄などの処理に曝露される、カルーセルであってもよい。
処理の間、基板は、加熱又は冷却することができる。そのような加熱又は冷却は、限定されないが、基板支持体の温度を変化させること、及び基板表面へ加熱された又は冷却されたガスを流すことを含む、任意の適当な手段により、達成することができる。いくつかの実施形態において、基板支持体は、伝導的に基板温度を変化させるように制御することができるヒータ/クーラを含む。1つ又は複数の実施形態において、用いられているガス(反応性ガス又は不活性ガスのいずれか)が、基板温度を局所的に変化させるために、加熱又は冷却される。いくつかの実施形態では、基板温度を対流で変化させるために、ヒータ/クーラが、基板表面に隣接してチャンバ内部に置かれる。
基板はまた、処理の間、静止又は回転させることができる。回転する基板は、連続的に又は不連続なステップで、回転させることができる。例えば、基板は、処理全体を通して回転させてもよく、又は種々の反応性ガス又はパージガスへの曝露と曝露の間に、少量ずつ回転させてもよい。処理の間に基板を(連続的又は段階的のいずれかで)回転させることは、例えば、ガス流の形状寸法における局所的な変動性の効果を最小化することによって、より均一な堆積又はエッチング形成に役立つことがある。
この明細書全体を通しての、「1つの実施形態(one embodiment)」、「ある実施形態(certain embodiments)」、「1つ又は複数の実施形態(one or more embodiments)」、又は、「実施形態(an embodiment)」に対する言及は、実施形態に関連して説明される特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。ゆえに、この明細書全体の様々な箇所での「1つ又は複数の実施形態では」、「ある実施形態では」、「1つの実施形態では」、又は「実施形態では」といった表現の表出は、本開示の同一の実施形態に必ずしも言及するわけではない。更に、特定の特徴、構造、材料、又は特性は、1つ又は複数の実施形態において、任意の適した様態で組み合わされることがある。
本明細書の開示は特定の実施形態を参照して説明されているが、これらの実施形態は本開示の原理及び用途の例示にすぎないと理解すべきである。本開示の本質及び範囲から逸脱することなく、本開示の方法及び装置に対して様々な修正及び変形が実行可能であることが、当業者には明らかだろう。ゆえに、本開示は、添付の特許請求の範囲及びそれらの均等物に含まれる修正及び変形を含むことが意図される。

Claims (15)

  1. 内部にロボットを有し、複数の側面を有する中央移送ステーションと、
    前記中央移送ステーションの第1の側面に結合され、かつ湿式エッチングプロセス又はドライエッチングプロセスのうちの1つ又は複数を実行するように構成された
    前洗浄チャンバと、
    前記中央移送ステーションの第2の側面に結合されたバッチ処理チャンバであって、ガスカーテンによって分離された複数の処理領域を有するバッチ処理チャンバであって、複数の基板が前記複数の処理領域を通って移動するように、中心軸の周りで前記複数の基板を支持し回転させるように構成されたサセプタアセンブリを含むバッチ処理チャンバと
    を備え、
    少なくとも前記中央移送ステーションが、不活性ガス中に約0.1重量%以上の水蒸気を含む環境を有する、処理プラットフォーム。
  2. 前記中央移送ステーションの第3の側面に結合され、かつ分離プラズマを生成するように構成されたプラズマチャンバを更に備える、請求項1に記載の処理プラットフォーム。
  3. 前記複数の処理領域が、ケイ素前駆体と、酸素供給反応物、窒素供給反応物又は炭素供給反応物のうちの1つ又は複数を含む反応物とを含み、かつ前記複数の処理領域が、パッシベーション剤を含むパッシベーション領域を更に含む、請求項1に記載の処理プラットフォーム。
  4. 前記前洗浄チャンバ、前記バッチ処理チャンバ又はパッシベーションチャンバのうちの1つ又は複数が、アルキルシランを含むパッシベーション剤を供給するように構成される、請求項1に記載の処理プラットフォーム。
  5. 前記アルキルシランが一般式SiRを有し、ここで各Rが独立してC1−C6アルキル、置換又は非置換アミン、置換又は非置換環状アミンであり、前記アルキルシランがSi−H結合を実質的に含まない、請求項4に記載の処理プラットフォーム。
  6. 前記アルキルシランが、4個から10個の原子範囲の環を有する少なくとも1つの置換又は非置換環状アミンを含む、請求項5に記載の処理プラットフォーム。
  7. 前記環状アミンが1個の窒素原子を有する、請求項6に記載の処理プラットフォーム。
  8. 前記環状アミンが、ピロリジンの窒素原子が前記アルキルシランのケイ素原子に結合されたピロリジンを含む、請求項7に記載の処理プラットフォーム。
  9. 前記アルキルシランが、1−(トリメチルシリル)ピロリジンを含む、請求項8に記載の処理プラットフォーム。
  10. 膜を堆積する方法であって、
    ヒドロキシル終端表面を含む第1の基板表面と、水素終端表面を含む第2の基板表面とを含む基板を提供することと、
    前記基板を、アルキルシランを含むパッシベーション剤に曝露し、前記ヒドロキシル終端表面と反応させて、前記第1の表面の上にブロッキング層を形成することと、
    前記基板を1つ又は複数の堆積ガスに曝露して、選択的に前記第1の表面を越えて第2の基板表面の上に膜を堆積することと、
    前記膜をヘリウム分離プラズマに曝露し、前記膜の品質を改善することと
    を含み、
    前記基板が、不活性ガスが約0.1重量%以上の水蒸気を有する環境を含む中央移送ステーションを通って少なくとも1回移動する、方法。
  11. 前記第1の基板表面及び前記第2の基板表面をエッチングプロセスに曝露し、前記ブロッキング層を形成する前に、前記第2の表面から自然酸化物を除去することを更に含み、前記エッチングプロセスが希釈HF又はプラズマベースのエッチングのうちの1つ又は複数を含む、請求項10に記載の方法。
  12. 前記アルキルシランが一般式SiRを有し、ここで各Rが、独立してC1−C6アルキル、置換又は非置換アミン、置換又は非置換環状アミンであり、前記アルキルシランが実質的にSi−H結合を含まない、請求項11に記載の方法。
  13. 前記アルキルシランが、4個から10個の原子範囲の環を有する少なくとも1つの置換又は非置換環状アミンを含む、請求項12に記載の方法。
  14. 前記環状アミンが1つの窒素原子を有する、請求項13に記載の方法。
  15. 前記アルキルシランがピロリジンを含む、請求項14に記載の方法。
JP2019560060A 2017-01-24 2018-01-24 誘電体膜の選択的堆積のための方法及び装置 Active JP6992089B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762449668P 2017-01-24 2017-01-24
US62/449,668 2017-01-24
PCT/US2018/015018 WO2018140474A1 (en) 2017-01-24 2018-01-24 Method and apparatus for selective deposition of dielectric films

Publications (2)

Publication Number Publication Date
JP2020515082A true JP2020515082A (ja) 2020-05-21
JP6992089B2 JP6992089B2 (ja) 2022-01-13

Family

ID=62907076

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019560060A Active JP6992089B2 (ja) 2017-01-24 2018-01-24 誘電体膜の選択的堆積のための方法及び装置

Country Status (6)

Country Link
US (2) US20180211833A1 (ja)
JP (1) JP6992089B2 (ja)
KR (2) KR102380712B1 (ja)
CN (1) CN110226214B (ja)
TW (1) TWI700750B (ja)
WO (1) WO2018140474A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022165287A (ja) * 2021-04-19 2022-10-31 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11521848B2 (en) 2021-03-18 2022-12-06 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2023049818A (ja) * 2021-09-29 2023-04-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム、及び基板処理装置

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111326403A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 晶圆预处理方法及半导体设备
JP6860605B2 (ja) 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7311628B2 (ja) * 2019-04-30 2023-07-19 マトソン テクノロジー インコーポレイテッド メチル化処理を使用した選択的な堆積
FI129628B (en) * 2019-09-25 2022-05-31 Beneq Oy Method and apparatus for processing a substrate surface
EP3822389A1 (en) * 2019-11-13 2021-05-19 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk Onderzoek TNO Area selective atomic layer depostion method and tool
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11359281B2 (en) * 2020-01-26 2022-06-14 Applied Materials, Inc. Selective deposition of SiCON by plasma ALD
JP7072012B2 (ja) * 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
JP7254044B2 (ja) * 2020-03-25 2023-04-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
TW202204658A (zh) * 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
US11702733B2 (en) 2021-05-07 2023-07-18 Applied Materials, Inc. Methods for depositing blocking layers on conductive surfaces
US20240183035A1 (en) * 2022-11-22 2024-06-06 Applied Materials, Inc. Area selective deposition through surface silylation

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05259098A (ja) * 1992-03-11 1993-10-08 Tokyo Electron Ltd 真空排気方法
JP2000243992A (ja) * 1999-02-22 2000-09-08 Kanegafuchi Chem Ind Co Ltd シリコン系薄膜光電変換装置の製造方法
JP2005197642A (ja) * 2003-12-30 2005-07-21 Hynix Semiconductor Inc 半導体素子の酸化膜形成方法
JP2008521261A (ja) * 2004-11-22 2008-06-19 アプライド マテリアルズ インコーポレイテッド バッチ処理チャンバを用いた基板処理装置
WO2016149541A1 (en) * 2015-03-17 2016-09-22 Applied Materials, Inc. Pulsed plasma for film deposition
WO2016178978A1 (en) * 2015-05-01 2016-11-10 Applied Materials, Inc. Selective deposition of thin film dielectrics using surface blocking chemistry

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10173025A (ja) * 1996-12-16 1998-06-26 Kokusai Electric Co Ltd 半導体製造装置のロードロック室
JP3529989B2 (ja) * 1997-09-12 2004-05-24 株式会社東芝 成膜方法及び半導体装置の製造方法
JP3748499B2 (ja) * 1998-09-04 2006-02-22 キヤノン株式会社 半導体基板の作製方法
JP3733265B2 (ja) * 1998-09-04 2006-01-11 キヤノン株式会社 半導体基板の作製方法及び半導体膜の作製方法
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP3760663B2 (ja) * 1999-03-31 2006-03-29 豊田合成株式会社 Iii族窒化物系化合物半導体素子の製造方法
JP2000349081A (ja) * 1999-06-07 2000-12-15 Sony Corp 酸化膜形成方法
US6358859B1 (en) * 2000-05-26 2002-03-19 Taiwan Semiconductor Manufacturing Company HBr silicon etching process
JP4801248B2 (ja) * 2000-10-31 2011-10-26 アプライド マテリアルズ インコーポレイテッド 酸化膜形成方法及び装置
JP3616366B2 (ja) * 2001-10-23 2005-02-02 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR101015063B1 (ko) * 2003-08-27 2011-02-16 주성엔지니어링(주) 복수 기판 홀더 및 이를 장착한 챔버
JP4669679B2 (ja) * 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
JP4518986B2 (ja) * 2005-03-17 2010-08-04 東京エレクトロン株式会社 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体
US20070209930A1 (en) * 2006-03-09 2007-09-13 Applied Materials, Inc. Apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
JP2009543355A (ja) * 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
JP4849614B2 (ja) * 2006-11-01 2012-01-11 東京エレクトロン株式会社 基板処理方法及び基板処理システム
US20080254605A1 (en) * 2007-04-16 2008-10-16 Interuniversitair Microelektronica Centrum (Imec) Method of reducing the interfacial oxide thickness
US7910497B2 (en) * 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
JP5342811B2 (ja) * 2008-06-09 2013-11-13 東京エレクトロン株式会社 半導体装置の製造方法
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
EP2709138B1 (en) * 2010-05-11 2016-11-30 Applied Materials, Inc. Chamber for physical vapor deposition
KR102028779B1 (ko) * 2012-02-13 2019-10-04 어플라이드 머티어리얼스, 인코포레이티드 기판의 선택적 산화를 위한 방법 및 장치
TW201437421A (zh) * 2013-02-20 2014-10-01 Applied Materials Inc 用於旋轉料架原子層沉積之裝置以及方法
JP6204213B2 (ja) * 2014-01-28 2017-09-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US20160064275A1 (en) * 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
JP6411172B2 (ja) * 2014-10-24 2018-10-24 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10510566B2 (en) * 2015-07-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool techniques with improved efficiency
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05259098A (ja) * 1992-03-11 1993-10-08 Tokyo Electron Ltd 真空排気方法
JP2000243992A (ja) * 1999-02-22 2000-09-08 Kanegafuchi Chem Ind Co Ltd シリコン系薄膜光電変換装置の製造方法
JP2005197642A (ja) * 2003-12-30 2005-07-21 Hynix Semiconductor Inc 半導体素子の酸化膜形成方法
JP2008521261A (ja) * 2004-11-22 2008-06-19 アプライド マテリアルズ インコーポレイテッド バッチ処理チャンバを用いた基板処理装置
WO2016149541A1 (en) * 2015-03-17 2016-09-22 Applied Materials, Inc. Pulsed plasma for film deposition
WO2016178978A1 (en) * 2015-05-01 2016-11-10 Applied Materials, Inc. Selective deposition of thin film dielectrics using surface blocking chemistry

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11521848B2 (en) 2021-03-18 2022-12-06 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2022165287A (ja) * 2021-04-19 2022-10-31 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7305700B2 (ja) 2021-04-19 2023-07-10 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP2023049818A (ja) * 2021-09-29 2023-04-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム、及び基板処理装置
JP7443312B2 (ja) 2021-09-29 2024-03-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム、及び基板処理装置

Also Published As

Publication number Publication date
KR20220042495A (ko) 2022-04-05
TWI700750B (zh) 2020-08-01
KR20190101508A (ko) 2019-08-30
TW201836023A (zh) 2018-10-01
CN110226214A (zh) 2019-09-10
KR102380712B1 (ko) 2022-03-29
WO2018140474A1 (en) 2018-08-02
KR102493326B1 (ko) 2023-01-27
JP6992089B2 (ja) 2022-01-13
CN110226214B (zh) 2023-11-03
US20180211833A1 (en) 2018-07-26
US20210043448A1 (en) 2021-02-11

Similar Documents

Publication Publication Date Title
US20210043448A1 (en) Method and Apparatus for Selective Deposition of Dielectric Films
TWI737859B (zh) 金屬表面上之氧化鋁的選擇性沉積
US11702742B2 (en) Methods of forming nucleation layers with halogenated silanes
JP7094367B2 (ja) ルテニウムの選択的原子層堆積
KR102312827B1 (ko) 저-k 막들의 증착을 위한 방법들 및 장치
JP6913752B2 (ja) 核形成のない間隙充填aldプロセス
US10957532B2 (en) Method and apparatus for deposition of low-k films
US20190385838A1 (en) In-Situ Pre-Clean For Selectivity Improvement For Selective Deposition
US11830741B2 (en) Method for forming film
JP7144532B2 (ja) 選択的エッチングプロセスの選択性を高める方法
JP6946463B2 (ja) ワードライン抵抗を低下させる方法
US20230369031A1 (en) Integrated method and tool for high quality selective silicon nitride deposition

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190917

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210202

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210302

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210527

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210622

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211007

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20211007

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20211018

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20211019

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211109

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211208

R150 Certificate of patent or registration of utility model

Ref document number: 6992089

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150