JP2008521261A - バッチ処理チャンバを用いた基板処理装置 - Google Patents

バッチ処理チャンバを用いた基板処理装置 Download PDF

Info

Publication number
JP2008521261A
JP2008521261A JP2007543527A JP2007543527A JP2008521261A JP 2008521261 A JP2008521261 A JP 2008521261A JP 2007543527 A JP2007543527 A JP 2007543527A JP 2007543527 A JP2007543527 A JP 2007543527A JP 2008521261 A JP2008521261 A JP 2008521261A
Authority
JP
Japan
Prior art keywords
substrate
substrate processing
chamber
processing chamber
volume
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007543527A
Other languages
English (en)
Inventor
ランディア, ピー.エス. タカー,
スティーヴ, ジー. ガナイェム,
ジョセフ ユドフスキー,
アーロン ウェブ,
アダム, エー. ブレイラブ,
ニール メリー,
ヴィネイ, ケー. シャー,
アンドレアス, ジー. ヒギダス,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008521261A publication Critical patent/JP2008521261A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45593Recirculation of reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本発明の態様は、システム処理能力を高めるために1以上のバッチ基板処理チャンバ及び/又は単一基板処理チャンバ内で基板を処理するように適合されたマルチチャンバ処理システム(例えば、クラスタツール)を用いて基板を処理するための方法及び装置を含んでいる。一実施態様においては、システムは、処理能力を最適化するとともに処理欠陥を最少にするために、バッチ処理チャンバのみ、又はバッチ基板処理チャンバと単一基板処理チャンバを含む基板処理シーケンスを行うように構成されている。一実施態様においては、バッチ処理チャンバは、基板処理シーケンスにおいて他のプロセスレシピステップと比べて不釣合いに長いプロセスレシピステップを行うことによりシステム処理能力を高めるために用いられる。本発明の態様は、また、繰り返し可能なALD堆積プロセス又はCVD堆積プロセスを行うことができるように処理チャンバに前駆物質を分配するための装置及び方法を含んでいる。
【選択図】 図2E

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的には、単一基板とバッチ堆積処理モジュールの双方を含む処理シーケンスを行うように構成された統合処理システムに関する。
関連技術の説明
[0002]半導体デバイスを形成するプロセスは、一般に、制御された処理環境で、基板を処理する能力を持つマルチチャンバ処理システム(例えば、クラスタツール)内で行われる。典型的な制御された処理環境は、ロードロックとメインフレームに接続されている複合真空処理チャンバとの間に基板を搬送する基板搬送ロボットを収容するメインフレームを持つ真空システムを含んでいる。制御された処理環境は、搬送中や種々の基板処理ステップの完了の間、基板表面の汚染を最小にすることを含む多くの利点を持っている。従って、制御された環境での処理は、欠陥の発生数を減少させ、デバイスの歩留まりを改善する。
[0003]基板製造プロセスの有効性は、デバイス歩留まりと所有コスト(COO)である2つの関連した重要な要因によってしばしば測定されている。これらの要因は、電子デバイスを製造するためのコストとそれによるデバイス製造業者の市場での競争力に直接影響するので重要である。COOは、多くの要因によって影響されるが、システムとチャンバ処理能力又は単に所望の処理シーケンスを用いて処理される毎時の基板の数によって著しく影響される。プロセスシーケンスは、一般的には、デバイス製造ステップのシーケンス、又はプロセスレシピステップとして定義され、クラスタツール内の1以上の処理チャンバ内で完了する。プロセスシーケンスは、一般的には、種々の基板(又はウエハ)製造処理を含むことができる。クラスタツール内の基板処理能力がロボット制限でない場合には、最も長いプロセスレシピステップは、一般的には、処理シーケンスの処理能力を制限し、COOを増大させ、恐らくは所望の処理シーケンスを非実用的にする。
[0004]従来のクラスタツールのプロセスシーケンスは、所望の半導体デバイス製造プロセスを行うように適合されている複数の単一基板処理チャンバを用いている。典型的な堆積プロセスを行うPVDツール又はCVDツールのような従来の製造プロセスに典型的なシステム処理能力は、一般的には、毎時30〜60枚の基板である。典型的な前処理ステップと後処理ステップすべてを持つ2〜4のプロセスチャンバシステムについては、約1〜2分の最大処理時間に変換される。許容しうる最大処理ステップ時間は、システム内に含まれる平行プロセス又は重複チャンバの数に基づいて変動させることができる。
[0005]デバイスの処理速度を改善させるとともにデバイスによる熱の発生を減少させるために半導体デバイスのサイズを縮小させる産業の努力が、プロセス変動に対する産業の許容量を縮小させてきた。これらのより厳重な要求を満たすために、産業はより厳重なプロセス窓要求を満たす多くの新規なプロセスを開発してきたが、これらのプロセスは完了するのにしばしば長い時間がかかる。例えば、或るALDプロセスは、基板表面上に高品質の層を堆積させるためには約10〜200分のチャンバ処理時間が必要となってしまい、毎時約0.3〜6枚の基板程度での基板処理シーケンス処理能力になる。デバイス性能要求のためにこのようなプロセスを選ぶことを強いられたが、従来の単一基板処理チャンバ内でデバイスを製造するコストは、基板処理能力が低いために増大する。また、1週間あたりのウエハの始めの必要な数を満たすために、ウエハ製造工場へより多くのツールを加えることは可能であるが、これらがしばしば基板製造プロセスの最も高価な態様であることから、かなりのウエハ製造工場のサイズとツールを行うスタッフのを増加させずに、プロセスチャンバ又はツールの数を増加させることはしばしば非実用的である。
[0006]半導体デバイスのサイズの縮小と常に増加するデバイスの性能要求によって、デバイスの製造プロセスの均一性と再現性の許容しうる変動量が著しく減少した。デバイス性能の変動性と再現性に影響し得る一要因は、“待ち行列時間”として知られる。待ち行列時間は、一般的には、製造されたデバイス性能に或る有害な影響を防ぐために、第1プロセスが基板上で完了された後で第2プロセスが基板上で完了されなければならない前に、大気中の又は他の汚染物質に基板がさらされ得る時間として定義される。基板が大気中の又は他の汚染物質に許容しうる待ち行列時間に近い又はそれ超える時間さらされる場合には、デバイスの性能は、第1層と第2層の間の界面の汚染によって影響されてしまう。それ故、大気中の又は他の汚染源に基板をさらすことを含むプロセスシーケンスについては、デバイスの性能の変動性を防ぐために、基板がこれらの供給源にさらされている時間は制御され最少にしなければならない。それ故、有用な電子デバイス製造プロセスは、均一で再現性のあるプロセス結果をもたらし、汚染の影響の最少にし、基板処理シーケンスでの使用に考えられるように所望の処理能力を満たさなければならない。
[0007]それ故、必要とされるデバイス性能の目標を満たし、システム処理能力を増大させ、それによるプロセスシーケンスCOOを低減させ得るように基板を処理し得るシステム、方法、装置が求められている。
発明の概要
[0008]本発明は、一般的には、通常は大気圧で維持されている搬送領域と、基板を加熱及び/又は冷却するのに適合されている冷却プレートと、ファクトリインタフェースの搬送領域と連通しているバッチ可能基板処理チャンバと、冷却プレートとバッチ可能基板処理チャンバの間の1枚以上の基板の搬送を行うように適合されている搬送領域に位置する搬送ロボットを持つファクトリインタフェースとを備えた基板処理装置を提供する。
[0009]本発明の実施形態は、更に、通常は大気圧で維持されている搬送領域を持つファクトリインタフェースと、基板を加熱及び/又は冷却するように適合されている冷却プレートと、ファクトリインタフェースの搬送領域と連通しているバッチ可能基板処理チャンバアセンブリであって、内部プロセス容積を形成する1以上の壁を持つ基板処理領域を備えた前記バッチ可能基板処理チャンバアセンブリと、内部バッファ容積を形成する1以上の壁を持つ基板バッファ領域であって、基板処理領域に隣接して位置する前記基板バッファ領域と、2枚以上の基板を支持するように適合されているプロセスカセットであって、リフト機構の使用によって内部バッファ容積と内部プロセス容積の間を搬送可能である前記プロセスカセットと、冷却プレートとプロセスカセットの間に1枚以上の基板を搬送するように適合されている搬送領域に位置する搬送ロボットとを備えた基板処理装置を提供する。
[0010]本発明の実施形態は、更に、2枚以上の基板を含むように適合されているポッドと、通常は大気圧に維持している搬送領域を持つファクトリインタフェースと、ファクトリインタフェースの搬送領域と連通している第1バッチ可能基板処理チャンバアセンブリであって、第1内部プロセス容積を形成する1以上の壁を持つ第1基板処理領域を備えている前記第1バッチ可能基板処理チャンバアセンブリと、第1内部バッファ容積を形成する1以上の壁を持つ第1搬送領域であって、第1基板処理領域に縦に隣接して位置する前記第1搬送領域と、2枚以上の基板を支持するように適合されている第1プロセスカセットであって、リフト機構の使用によって第1内部バッファ容積と第1内部プロセス容積の間に搬送可能である前記第1プロセスカセットと、ファクトリインタフェースの搬送領域に連通している第2バッチ可能基板処理チャンバアセンブリであって、第2内部プロセス容積を形成する1以上の壁を持つ第2基板処理領域を備えている前記第2バッチ可能基板処理チャンバアセンブリと、第2内部バッファ容積を形成する1以上の壁を持つ第2搬送領域であって、第2基板処理領域に縦に隣接して位置する前駆第2搬送領域と、2枚以上の基板を支持するように適合されている第2プロセスカセットであって、リフト機構の使用によって第2内部バッファ容積と第2内部プロセス容積の間に搬送可能である前記第2プロセスカセットと、第1内部プロセス容積、第2内部プロセス容積、第1内部バッファ容積、及び第2内部バッファ容積からなる群より選ばれる少なくとも1つの領域内の圧力を低下させるように適合されている真空ポンプと、ポッドと第1プロセスカセット又は第2プロセスカセットの間に1枚以上の基板を搬送するように適合されている搬送領域内に位置する搬送ロボットとを備えた基板処理装置を提供する。
[0011]本発明の実施形態は、更に、通常は大気圧に維持されている搬送領域を持つファクトリインタフェースと、搬送領域とそれぞれ連通している2以上のバッチ可能基板処理チャンバであって、内部プロセス容積を形成する1以上の壁を持つ基板処理領域を備えた前記2以上のバッチ可能基板処理チャンバと、内部バッファ容積を形成する1以上の壁を持つ基板バッファ領域であって、基板処理領域に縦に隣接して位置する前記基板バッファ領域と、2枚以上の基板を支持するように適合されているプロセスカセットであって、リフト機構の使用によって内部バッファ容積と内部プロセス容積の間に搬送可能である前記プロセスカセットと、基板処理領域と基板バッファ領域の間に位置するシャッタであって、内部バッファ容積から内部プロセス容積を分離するように密封して位置するように適合されているシャッタと、ファクトリインタフェースの搬送領域に位置する冷却プレートと、冷却プレートと2以上のバッチ基板処理チャンバの間に基板を搬送するように適合されている搬送チャンバ内に取付けられたロボットとを備えた基板処理装置を提供する。
[0012]本発明の実施形態は、更に、2枚以上の基板を含むように適合されているポッドと、通常は大気圧に維持されている搬送領域を持つファクトリインタフェースと、ファクトリインタフェースの搬送領域と連通しているバッチ可能処理チャンバアセンブリであって、内部プロセス容積を形成する1以上の壁を持つ基板バッファ領域を備えた前記バッチ可能処理チャンバアセンブルと、内部バッファ容積を形成する1以上の壁を持つ基板バッファ領域であって、基板処理領域に縦に隣接してする基板バッファ領域と、2枚以上の基板を支持するように適合されているプロセスカセットと、内部バッファ容積と内部プロセス容積の間にプロセスカセットを搬送するように適合されているリフト機構と、基板を加熱及び/又は冷却するように適合されている第1冷却プレートを備えた第1チャンバと、第1冷却プレートとプロセスカセットの間に1枚以上の基板を搬送するように適合されている第1ロボットと、搬送領域に連通している単一基板処理チャンバであって、単一基板内部プロセス容積を形成する1以上の壁を持っている単一基板処理チャンバと、基板を加熱及び/又は冷却するように適合されている第2冷却プレートを備えた第2チャンバと、第2冷却プレートと単一基板処理チャンバの間に1枚以上の基板を搬送するように適合されている第2ロボットと、搬送領域内に位置し且つ第1チャンバと第2チャンバとポッドとの間に1枚以上の基板を搬送するように適合されている第3ロボットを備えた基板処理装置を提供する。
[0013]本発明の上記特徴が詳細に理解され得るように、上で簡単にまとめた本発明のより具体的な説明は、実施形態によって参照することができ、一部は添付の図面に示されている。しかしながら、添付の図面は、本発明の典型的な実施形態だけを示しているので、本発明の範囲を制限するものとみなすべきでなく、本発明は他の等しく有効な実施形態を許容することができる。
詳細な説明
[0053]本発明は、一般的には、システム処理能力を高めるための1以上のバッチ及び単一基板処理チャンバ内で基板を処理するように適合されているマルチチャンバ処理システム(例えばクラスタツール)を用いた基板を処理するための装置及び方法を提供する。バッチ処理チャンバ、又はバッチ可能な処理チャンバという用語は、一般的には同時に2枚以上の基板を処理し得るチャンバを記載することを意味する。一実施形態においては、バッチ処理チャンバは、クラスタツールで行われる基板処理シーケンスにおいて他のレシピステップと比べて不釣合いに長いプロセスレシピを行うことによってシステム処理能力を増加させるために用いられる。他の実施形態においては、処理シーケンスにおいて不釣合いに長い処理ステップの1以上を用いて複数の基板を処理するために2以上のバッチチャンバが用いられる。発明の一態様においては、バッチ処理チャンバで処理された後で次の処理チャンバで処理される前に基板がアイドリングのままである時間を最小限にしつつ処理シーケンスシステム処理能力を最適化するようにバッチ処理チャンバ内で処理される基板の数(又はロットサイズ)を制御するためにシステムコントローラが用いられる。一般に、次の処理チャンバは、他のバッチ処理チャンバ又は単一基板処理チャンバであってもよい。本発明は、FEP、アプライドマテリアルズ社の事業部、カリフォルニア州サンタクララから入手できるCenturaRTMによって以下に説明的に記載される。
[0054]発明の実施形態は、単一基板処理チャンバとバッチ型処理チャンバ内で基板を処理する能力を持つクラスタツールにおいて特に有利である。クラスタツールは、電子デバイス製造プロセスにおいて種々の機能を行う複数のチャンバを備えたモジュールシステムである。図1で示されている複数のチャンバは、基板をチャンバ間に往復させるのに適合されたロボット113を収容する中央搬送チャンバ110に取付けられている。搬送チャンバ110は、典型的には、真空状態を維持され、基板をあるチャンバから他の及び/又はクラスタツールのフロントエンドに位置するロードロックチャンバへ往復させるための中間段階を与える。
[0055]図1は、本発明を有利に用いることができる電子デバイスプロセスに典型的なクラスタツール100の平面図である。このような2つのプラットフォームはカリフォルニア州サンタクララのアプライドマテリアルズ社からいずれも入手できるCenturaRTMとEndura RTMである。このような1つの段階的真空基板処理システムの詳細は、1993年2月16日発行の“Staged-Vacuum Substrate ProcessingSystem andMethod”と称するTepmanらの米国特許第5,186,718号に開示され、この開示内容は本明細書に援用されている。チャンバの正確な配置と組合わせは、製造プロセスの個々のステップを行うために変えられてもよい。
[0056]本発明の態様によれば、クラスタツール100は、一般的には、複数のチャンバとロボットを備え、好ましくは、クラスタツール100内で行われる種々の処理の方法やシーケンスを制御し実行するようにプログラムされたシステムコントローラ102を備えている。図2Aは一実施形態を示し、バッチプロセスチャンバ201は搬送チャンバ110上の位置114に取付けられ、3つの単一基板処理チャンバ202A-Cは基板搬送チャンバ110上の114B-Dの位置に取付けられている。バッチプロセスチャンバは、システムの設計のハードウェア統合態様を改善するように又は基板処理能力を改善するように、他の位置、例えば、位置114B-Dの1以上に配置させることができる。或る実施形態においては、位置114A-Dのすべてがシステムのコスト又は複雑さを減少させるために占有されているわけではない。
[0057]図2Bは一実施形態を示す図であり、位置114A-Dの2つに取付けられている2つのバッチチャンバを持ち、その他の位置は単一基板処理チャンバを含んでもよい。図2Bは、位置114Aと114Dに取付けられている2つのバッチ処理チャンバ201を示す図であり、バッチ処理チャンバの位置又は数が本明細書に記載されている本発明の種々の態様に限定されないので、この構成は本発明の範囲を制限するものではなく、従って、1以上のバッチチャンバ201が位置114A-Dのいずれか1つに位置してもよい。
[0058]図2Aと図2Bを参照すると、任意のフロントエンド環境104(本明細書でファクトリインタフェース又はFIとも呼ばれる)は、一組のロードロックチャンバに選択的に連通した位置で示されている。フロントエンド環境104の搬送領域104A内に配置されたファクトリインタフェースロボット108A-Bは、フロントエンド環境104に取付けられたロードロック106と複数のポッド105の間で基板を往復する直線、回転、垂直の運動が可能である。フロントエンド環境104は、一般的には、基板を大気圧の清浄な環境/包囲を通した複数のポッド105に指定されたカセットからプロセスチャンバ(例えば、ロードロック106、基板バッファ/冷却位置152、バッチ処理チャンバ201、及び/又は単一基板処理チャンバ202)のような或る所望の位置に搬送するために用いられる。フロントエンド環境104の搬送領域104A内に見られる清浄な環境は、一般的には、例えば、空気を高性能微粒子空気(HEPA)フィルタを通過させるような空気ろ過システムを用いることによって与えられる。フロントエンド環境、又はフロントエンドファクトリインタフェースは、カリフォルニア州サンタクララのアプライドマテリアルズ社から市販されている。
[0059]ロードロック106は、フロントエンド環境104と搬送チャンバ110の間に第1真空インタフェースを与える。一実施形態においては、2つのロードロック106が、搬送チャンバ110とフロントエンド環境104と交互に連通することによって処理能力を高めるように設けられる。従って、ロードロック106は搬送チャンバ106と連通し、第2ロードロック106はフロントエンド環境104と連通し得る。一実施形態においては、ロードロック106は、ファクトリインタフェースから2枚以上の基板を受取ることができるバッチ型ロードロックであり、チャンバを密封した後、基板を搬送チャンバ110に搬送するのに十分低い真空レベルに減圧する間、基板を保持する。好ましくは、バッチロードロックは25〜50枚の基板を同時に保持し得る。一実施形態においては、ロードロック106A-Bはクラスタツール内の処理後に基板を冷却するように適合されるのがよい。一実施形態においては、ロードロック内に保持される基板は、ロードロックに共に取付けられているガス注入口(図示せず)からガス排出口(図示せず)へ流れているガスによって引き起こされる対流により冷却されるのがよい。他の実施形態においては、ロードロックは、冷却され得る複数の熱導電性シェルフ(図示せず)を含むロードロックカセットに取付けられるのがよい。シェルフは、シェルフと基板の間に隙間が存在するようにカセット内に保持された基板間に挟まれる。この実施形態においては、シェルフは、熱を放って基板を冷却し、それにより基板の損傷又は反りを避けるように、基板が一様に加熱又は冷却される。他の実施形態においては、シェルフは、その表面から熱を放出することによって基板を冷却させるように基板の表面と接触している。
[0060]一実施形態においては、クラスタツール100は、大気圧(例えば、760トール)又はそれに近い圧力で基板を処理するように適合され、従って、ロードロック106A-Bはファクトリインタフェースと搬送チャンバ110の間の中間チャンバとして必要とされない。この実施形態においては、ファクトリインタフェースロボット108A-Bは基板“W”を直接ロボット113(図示せず)に搬送するか又はファクトリインタフェースロボット108A-Bは基板“W”を通過チャンバ(図示せず)に搬送することができ、ロボット113とファクトリインタフェースロボット108A-Bが基板を交換し得るようにロードロック106A-Bの代わりをする。搬送チャンバ110は、搬送チャンバ110内の酸素分圧、水、及び/又は他の汚染を最小限にするように不活性ガスで絶えずパージすることができ、処理チャンバは、位置114A-Dやサービスチャンバ116A-Bに取付けられている。用いることができる不活性ガスとしては、例えば、アルゴン、窒素、又はヘリウムが挙げられる。他の位置からそれぞれの位置を分離する位置114A-Dに取付けられる搬送チャンバ110、サービスチャンバ116A-B、及び/又はプロセスチャンバに複数のスリットバルブ(図示せず)を加えることができるので、処理シーケンスの間、真空プロセスを行うように各チャンバを別個に減圧することができる。
[0061]ロボット113は、基板をロードロック106から位置114A-D及びサービスチャンバ116A-Bに取付けられる種々の処理チャンバの1つへ搬送するように搬送チャンバ110の中央に配置されている。ロボット113は、一般的には、ロボット駆動アセンブリ113Cに装着されているブレードアセンブリ113A、アームアセンブリ113Bを含む。ロボット113は、システムコントローラ102より送られるコマンドの使用によって基板“W”を種々の処理チャンバへ搬送するように適合されている。本発明から有利になるように適合され得るロボットアセンブリは、1994年8月30日出願の“Two-axis magnetically coupledrobot”と称する共同譲渡された米国特許第5,469,035号、1994年4月11日出願の“RobotAssebly”と称する米国特許第5,447,409号、2000年4月14日出願の“Robot For HandlingSemiconductor Substrates”と称する米国特許第6,379,095号に記載されており、これらの開示内容は本明細書に全体で援用されている。
[0062]図2Aと図2Bを参照すると、位置114A-Dの1つに取付けられた処理チャンバ202A-Cは、前清浄、PVD、CVD、ALD、プラズマ窒化(DPN)、急速熱処理(RTP)、計測技術(例えば、微パーティクルの測定等)、エッチングのようなかなりの数のプロセスを行うことができ、サービスチャンバ116A-Bは脱ガス、方向、冷却等に適合されている。一実施形態においては、処理シーケンスは高Kコンデンサ構造を形成するように適合され、処理チャンバ202は、DPNチャンバ、多結晶シリコンを堆積することができるCVDチャンバ、及び/又はチタン、タングステン、タンタル、プラチナ、又はルテニウムを堆積することができるMOCVDチャンバであってもよい。
[0063]本発明の一態様においては、単一基板処理チャンバ202A-Cの1つ以上は、バッチ堆積ステップを行う前か又は後に基板をアニールするために使用し得るRTPチャンバであるのがよい。RTPプロセスは、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から市販されているRTPチャンバと関連したプロセスハードウェアを用いて行うことができる。本発明の他の態様においては、単一基板処理チャンバ202A-Cの1つ以上は、CVDチャンバであるのがよい。このようなCVDプロセスチャンバの実施例としては、アプライドマテリアルズ社、カリフォルニア州サンタクララから市販されているDXZTMチャンバ、UltimaHDP-CVDTMチャンバ、PRECISIION5000(登録商標)チャンバが挙げられる。本発明の他の態様においては、単一基板処理チャンバ202A-Cの1つ以上は、PVDチャンバであるのがよい。このようなPVDチャンバの実施例としては、アプライドマテリアルズ社、カリフォルニア州サンタクララから市販されているEnduraTMPVD処理チャンバが挙げられる。本発明の他の態様においては、単一基板処理チャンバ202A-Cの1つ以上は、DPNチャンバであるのがよい。このようなDPNプロセスチャンバの実施例としては、アプライドマテリアルズ社、カリフォルニア州サンタクララから市販されているCenturaTMチャンバが挙げられる。本発明の他の態様においては、単一基板処理チャンバ202A-Cの1つ以上は、プロセス/基板計量チャンバであるのがよい。プロセス/基板計量チャンバ内で完了するプロセスとしては、パーティクル測定技術、残留ガス解析技術、XRF技術、偏光解析技術のような膜厚及び/又は膜組成を測定するために用いられる技術が挙げられるがこれらに限定されない。
[0064]図2Cは、フロントエンド環境104と直接連通ように構成されているバッチ処理チャンバと単一基板処理チャンバ202を含むクラスタツール100の一実施形態を示す平面図である。この構成において、図2A-図2Bに示されている中央搬送チャンバ110とロボット113は、コスト及び/又はシステムの複雑さを低減させるためにクラスタツール100から取り除かれている。一実施形態においては、クラスタツール100は、一般的には、バッチチャンバ201、フロントエンド環境104、バッチチャンバ201とフロントエンド環境104と連通しているバッファチャンバ150(部品150Aを参照のこと)、単一基板処理チャンバ、単一基板処理チャンバとフロントエンド環境チャンバと連通しているバッファチャンバ150(部品150Bを参照のこと)、システムコントローラ102を含む。一実施形態においては、フロントエンド環境104は、フロントエンド環境104の搬送領域104A内に見られる汚染物質(例えば、酸素、水等)の分圧をパージし最少限にする不活性ガス源(図示せず)と連通している。
[0065]バッファチャンバ(例えば、要素150A、150B)は、一般的には、基板バッファ/冷却位置152と基板搬送機構154を含む。本発明の他の態様においては、バッファチャンバは、バッファチャンバ内に見られるある種の汚染物質(例えば、酸素、水等)の分圧をパージし最少限にするために不活性ガス源(図示せず)と連通している。一実施形態においては、バッファチャンバ150は、フロントエンド環境とバッファチャンバ間のインタフェースのスリットバルブ、及び/又はバッファチャンバと単一基板処理チャンバ又はバッチ基板処理チャンバ間のインタフェースのスリットバルブを含むので、バッファチャンバ150は、フロントエンド環境104及び/又は単一基板処理チャンバ又はバッチ基板処理チャンバから分離され得る。本明細書に記載される実施形態により用いられるように適合することができるスリットバルブは、1992年4月10日出願の共同譲渡された米国特許第5,226,632号、1987年4月20日出願の米国特許第4,785,962号に記載されており、いずれの開示内容も本明細書に全体で援用されている。本発明の一態様においては、バッファチャンバ150は、バッファチャンバ150を減圧し、よってバッファチャンバ150に見られる汚染物質(例えば、酸素、水等)を最小限にする真空ポンプ(例えば、要素157A又は157B)と連通するように更に適合される。真空ポンプは、所望のチャンバ処理圧力に達するのに必要とされるターボポンプ、ラフポンプ、及び/又はRoots BlowerTMであってもよい。
[0066]一実施形態においては、バッファ/冷却位置152は単一基板処理チャンバ又はバッチ処理チャンバ内で処理された後、基板を活発に冷却するために用いられる冷却プレート153を含むので、ファクトリインタフェースロボット108は、基板を確実に扱い且つ熱い基板を大気中の汚染にさらす有害な影響を最少限にすることができる。本発明の一態様においては、バッファ/冷却位置152は、ファクトリインタフェースロボット108、又は基板搬送機構154から基板を受け取ることを可能にし且つ基板が冷却プレート153に接触するように上下させることを可能にするリフトアセンブリ(図示せず)を含むこともできる。冷却プレート153は、温度制御された熱交換流体の使用によって又は熱電デバイスの使用によって活発に冷却することができる。基板搬送メカニズム154は、一般的には、システムコントローラ102によって送信されるコマンドの使用によってバッファ/冷却位置152へ、また、その位置から基板を搬送するように適合されている従来のロボットである。
[0067]図2Dは、上記や図2Cに示される要素のすべてと、フロントエンド環境104と直接連通するように構成されている追加の単一基板処理チャンバ(例えば、要素202B)を含むクラスタツール100の一実施形態の平面図である。一態様においては、バッファチャンバ150Cは、単一基板処理チャンバ202Bとフロントエンド環境104の間に位置し、真空ポンプ157Cの使用によって真空圧までポンプで排気させることができる。一般に、発明の実施形態は、少なくとも1以上のバッチ処理チャンバ201と1以上の単一基板処理チャンバ202がフロントエンド環境104と直接連通している構造を企図する。他の実施形態においては、クラスタツール100は1以上のポッド105、ファクトリインタフェースロボット108、バッファチャンバ150、バッチ処理チャンバ201を含むのがよい。他の実施形態においては、クラスタツール100は、1以上のポッド105(例えば、要素105A-F)、ファクトリインタフェース108、1以上のバッチ処理チャンバ201を含むのがよい。
[0068]図2Eは、フロントエンド104と直接連通するように構成されている2以上の処理チャンバ(例えば、要素201)を含むクラスタツール100の一実施形態を示す平面図である。この構造において、バッファチャンバ(要素150)は搬送領域104Aの一部である。それ故、図2Eに示されるフロントエンド環境104はバッファ/冷却位置152と基板搬送機構154を含む。バッチ処理チャンバ201が図2Eに示されているが、この構造は発明の範囲に関して制限することを意図しない。一実施形態においては、クラスタツール100は、一般的には、フロントエンド環境104、システムコントローラ102、フロントエンド環境104の搬送領域と連通している2つのバッチチャンバ201を含む。一態様においては、スリットバルブ156はバッチ処理チャンバ201の1以上のバッファ容積22b(図3)と搬送領域104Aの間にフロントエンド環境104からバッチ処理チャンバ201の内部容積の構成要素を分離するように密封して位置するのがよい。
[0069]図2Eに示されるクラスタツール100の一態様においては、バッファ/冷却位置152での冷却プレート153と基板搬送チャンバ154は、クラスタツール100の有用性の改善させ且つコストと複雑さを低減させるように搬送領域104A内に位置する。一般的には、この構造において、ファクトリインタフェースロボット(要素108Aと108B)は、ポッド(要素105A-105D)の1つとバッファ/冷却位置(要素154A又は154B)の1つの間に基板を搬送するように適合され、基板搬送機構(要素154A又は154B)は、1枚以上の基板をそれぞれのバッファ/冷却位置(要素152A又は152B)と関連付けられたバッチ処理チャンバ201のバッファ容積22bの間に搬送するように適合されている。一態様においては、唯一の基板搬送機構(図示せず)がバッファ/冷却位置(要素152A又は152B)とバッチ処理チャンバ201のいずれかの間に基板を搬送するために用いられる。
[0070]図2Fは、クラスタツール1000が、上記と図2Eに示された要素のすべてを含み、基板搬送機構154を含まない一実施形態を示す平面図である。この構成において、基板はプロセスチャンバ(要素201)とバッファ/冷却位置(要素152A又は152B)とポッド(要素105A-105D)の間に1以上のファクトリインタフェースロボット(例えば、108A、108B)を用いて搬送される。この構造は、システムコスト、複雑さ、クラスタツールフットプリントを低減させるのに有用なものである。
[0071]図2Gは、図2Eに示される構成の一実施形態を示すことを意図するクラスタツール100の縦断面図である。この構成においては、上述したように、クラスタツール100は、一般的には、1以上のポッド105、フロントエンド環境104、フロントエンド環境104と直接連通するように適合されている1以上の処理チャンバ(例えば、要素201が示されている)を含む。フロントエンド環境は104は、示されるように、一般的には、1以上のファクトリフェイスロボット108、1以上のバッファ/冷却位置152、1以上の基板搬送機構154を含む。一態様においては、フロントエンド環境104もまた、HEPAフィルタのようなフィルタ191を含むろ過ユニット190、ファンユニット192を含む。ファンユニット192は、空気をフィルタ191、搬送領域104Aに通ってフロントエンド領域104のベース193から押出すように適合されている。ファクトリインタフェースロボット108は、一般的には、従来のSCARAロボット109A、従来のロボットブレード108B、基板をポッド105からフロントエンド環境104における他の所望の場所へ搬送するように適合されている従来のロボット垂直運動アセンブリ109を含んでもよい。
[0072]フロントエンド環境104の一実施形態においては、各バッファ/冷却位置152は、バッチ処理デバイス153Aを用いて同時に複数の基板を処理するように適合されている。一態様においては、基板“W”は、従来の熱電デバイス又は流体熱交換のような従来の熱交換デバイスを用いて加熱又は冷却され得る複数の熱導電性シェルフ185(例えば、図2Hに示される九つ)を含むバッチ処理デバイス153Aのカセット内に位置する。シェルフ185は、シェルフ185と基板の間に隙間が存在して、基板をシェルフ185へ、また、シェルフから効率の良い機械的搬送を可能にするように、カセット186に保持される基板“W”の間に挟まれている。シェルフ185は、一般的には、処理された基板への損傷又は反りを避けるために、放射型、対流型及び/又は導電型熱伝達を用いて均一に基板を加熱又は冷却するように適合されている。一態様においては、バッチ処理デバイス153Aは、約1枚〜約100枚の基板を同時に、より好ましくは約2枚〜約50の基板を同時に加熱又は冷却するように適合されている。
[0073]フロントエンド環境104の一実施形態においては、基板搬送機構154の1以上が同時に複数の基板を搬送するように適合されている。一態様においては、図2Gに示されるように、基板搬送機構154は、従来のロボット162(例えば、SCARAロボット)、複数のロボットブレード161(例えば、5つが示されている)、バッファ/冷却位置152とバッチ処理チャンバ201のバッファ容積22b(後述される)に設置されたカセット46(後述される;図6を参照のこと)の間に、各々のロボットブレード161上に1枚以上の基板を搬送するように適合されのがよい従来の垂直運動アセンブリ163を含んでいる。従って、この構造において、基板搬送機構154は、カセット46とバッファ/冷却位置152チャンバと連通し、複数の基板を同時に搬送するように適合されている。処理の間、搬送領域104Aからバッチ処理チャンバ201のバッファ容積を真空分離するように適合されているスリットバルブ156は、カセット46内に位置する複数の基板へ接近するために基板搬送機構154がバッファ容積22b内に形成されたスリットバルブ開口36に入ることができるようにアクチュエータの使用によってじゃまにならない所に移動させることができる。
[0074]一実施形態においては、クラスタツール100は、使用者が定義した処理シーケンスがバッチ処理チャンバだけを用いて行うことができるように、種々の自動構成要素と連通している唯一のバッチ処理チャンバを含んでいる。図2Iは、搬送チャンバ110に装着された3つのバッチ処理チャンバを含むクラスタツール100を示す一実施形態である。一態様においては、搬送チャンバ110は真空ポンプを(図示せず)の使用によって真空条件下で維持されている。この構成は、基板表面の汚染を最少限にするとともに所望の処理シーケンスを行うことができる複数のバッチ処理チャンバをグループに分けることによってシステム処理能力を増加させる多くの利点を有するものである。従って、制御された環境での処理は、欠陥発生を減少させ、デバイス歩留まりを改善させる。
[0075]図2Iは、搬送チャンバ110(例えば、3つのチャンバ取付け面111A-C)、ロボット113、3つのバッチ処理チャンバ201、フロントエンド環境104、2つのポッド105を含むクラスタツール100を示す一実施形態である。この構成において、バッチ処理チャンバは、搬送チャンバ110上の位置114A-C内に取付けられている。図2Iは、位置114A-Cに取付けられた3つのバッチ処理チャンバ201を示しているが、搬送チャンバ上の位置の数とバッチ処理チャンバの位置又は数が本明細書に記載される本発明の種々の態様の限定を意図しないので、この構造は本発明の範囲を制限することを意図していない。この構成は、システムの設計のハードウェア統合態様を改善させ、システムの複雑さを減少させ及び/又はシステムのコストの低減させるのに望ましいものである。位置114A-Cの1つに取付けられたバッチ処理チャンバ201は、ALD、CVD、急速熱処理(RTP)、エッチング及び/又は冷却のようなかなりの数の処理を行うように適合されてもよい。
[0076]図2Iを参照すると、任意のフロントエンド環境104は、一組のロードロックチャンバ106(上記)に選択的に連通するように位置している。フロントエンド環境104に配置されているファクトリインタフェースロボット108は、基板をロードロック106とフロントエンド環境104に取付けられた複数のポッド105の間で往復させるのに直線、回転、垂直の運動が可能である。ロボット113は、減圧下で基板をロードロック106から位置114A-Cに取付けられた種々の処理チャンバの1つへ搬送するように搬送チャンバ110の中央に配置されている。ロボット113は、一般的には、ブレードアセンブリ113A、ロボットドライブアセンブリ113Cに装着されているアームアセンブリ113Bを含む。ロボット113は、システムコントローラ102から送られるコマンドの使用によって基板“W”を種々の処理チャンバに搬送するように適合されている。
[0077]一実施形態においては、図2Iに示されるクラスタツール100は、大気圧(例えば、760トール)で又はそれに近い圧力で基板を処理するように適合されるのがよく、従って、ロードロック106A-Bは、ファクトリインタフェースと搬送チャンバ110の間の中間チャンバとして必要とされない。搬送チャンバ110は、位置114A-Cに取付けるのがよい搬送チャンバ110とバッチ処理チャンバ201内の酸素、水、及び/又は他の汚染物質の分圧を最小限にするように不活性ガスで絶えずされるのがよい。各位置をその他の位置から分離するために複数のスリットバルブ(図示せず)を搬送チャンバに加えることができるので、処理シーケンスの間、各チャンバは真空プロセスを行うために別個に減圧されてもよい。
[0078]システムコントローラ102は、一般的には、システム全体の制御と自動化を容易にするように設計され、典型的には、中央演算処理装置(CPU)(図示せず)、メモリ(図示せず)、支持回路(又はI/O)(図示せず)を含むことができる。CPUは、種々のシステム機能、チャンバプロセス、支持体ハードウェア(例えば、検出器、ロボット、モータ、ガス源ハードウェア等)を制御する工業環境で用いられるコンピュータプロセッサの任意の形式の1つであってもよく、システムとチャンバプロセス(例えば、チャンバ温度、プロセスシーケンス処理能力、チャンバプロセス時間、I/Oシグナル等)をモニタすることができる。メモリはCPUに接続され、ローカル又はリモートのランダムアクセスメモリ(RAM)、読み込み専用メモリ(ROM)、フロッピーディスク、ハードディスク、又はデジタル記憶装置の他の形式のような1以上の容易に入手できるメモリであってもよい。ソフトウェアの命令やデータは、CPUを命令するためのメモリ内で暗号化され記憶される。支持回路もまた、従来方法でプロセッサを支持体するためにCPUに接続されている。支持回路は、キャッシュ、電源、クロック回路、入力/出力回路構成、サブシステム等を含むことができる。コントローラ102で読み込み可能なプログラムは、タスクが基板で実行可能であることを決定する。好ましくは、プログラムは、処理シーケンスタスクと種々のチャンバ処理レシピステップのモニタリングや実行に関係するタスクを行うコードを含むコントローラ102で読み込み可能なソフトウェアである。
[0079]一実施形態においては、システムコントローラ102は、クラスタツール100内で処理された基板の待ち行列時間をモニタし制御するように適合されている。基板が最初の処理チャンバ(例えば、単一基板処理チャンバ202A又はバッチ処理チャンバ201)で処理された後で次の処理チャンバで処理される前の待ち行列時間の最小にすると、デバイス性能に対する汚染源への暴露の影響を制御し最小にすることを援助する。この実施形態は、図13E-図13Fに示され記載される種々の実施形態と共に用いた場合に特に有利なものである。本発明の一態様においては、システムコントローラは、次のプロセスチャンバで処理される前にバッチ内の最後の基板が待たなければならない時間を最小限にするために、バッチ処理チャンバ201で処理されるバッチサイズ(例えば、ロットサイズ)を制御するように適合されている。本発明の他の態様においては、システムコントローラ102は、プロセスレシピステップが開始又は終了する時のタイミングを制御して、システム処理能力を最適化し且ついかなる待ち行列時間の問題を減少させる。例えば、単一基板処理チャンバが基板の処理を開始するタイミングは、バッチ処理チャンバ201のような次の処理チャンバが処理された基板を受け入れる用意ができているときにプロセスが完了した後に基板が待たなければならない時間を最短にするように制御される。
バッチチャンバハードウェア
[0080]バッチ処理チャンバ201は、主としてALDチャンバ又はCVDチャンバとして後述されているが、バッチプラズマ酸化処理を行うように、又は或る所望の処理結果を達成するために複数の基板で同時に行われるように導電性である他の半導体プロセスを行うように適合されている。
[0081]一実施形態においては、バッチ処理チャンバ201は、金属層、半導体層及び/又は誘電材料層を堆積するように構成されているCVDチャンバである。このようなプロセスを行うために用いられるハードウェア及び方法の例は、更に、1997年8月11日出願の“Mini-batch Process Chamber”と称する米国特許第6,352,593,号、2002年8月9日出願の“HighRate DepositionAt LowPressure In A Small BatchReactor”と称する米国特許出願第10/216,079号に記載され、いずれの開示内容も本明細書に全体で援用されている。他の実施形態においては、バッチ処理チャンバ201は、金属層、半導体層及び/又は誘電材料層を堆積するように構成されているALDチャンバである。
[0082]図3は、例示的なバッチ処理チャンバ201の断面図である。バッチ処理チャンバ201は、プロセス容積22a、又は基板処理領域と、バッファ容積22b、又は基板バッファ領域とをもつ真空チャンバ22を含んでいる。一般に、バッファ容積22bは、バッチ処理チャンバに基板を挿入したり、バッチ処理チャンバ201から基板を取り出すために用いられ、プロセス容積22aは処理チャンバとして用いられる。プロセス容積22a、又は基板処理領域と、バッファ容積22b、又は基板バッファ領域は、共に溶接され又は共にボルトで止められ、密封構造24又は他の従来の手段を用いて真空密封される。一実施形態においては、プロセス容積22aとバッファ容積22bの向きとすべての関連があるハードウェアは、交換することができ、バッファ容積22bが、プロセス容積22a(図示せず)の上に、又は縦に隣接して位置している。処理容積22aがバッファ容積22bの上に位置するか、又はバッファ容積22bが処理容積22aの上に位置する垂直に隣接した向きは、水平に隣接した向きに対してクラスタツールフットプリントを減少させ、半導体製造ツールにとってしばしば非常に重要な設計の問題であるるので、有利なものである。本明細書に示され記載されるプロセス容積22aとバッファ容積22bの向きは、発明の範囲に関して制限することを意図しない。
[0083]図4は、図3に示されるバッチ処理チャンバの平面図である。プロセス22aは、図4に示されるように、4つ側壁100aと4つの側壁100bを有し、すべて熱交換流体の再循環によって温度制御することができる。ガス注入マニフォールドアセンブリ200と排気マニフォールドアセブリ300は、対向する壁100bに装着され、下で更に詳述される。複数ゾーン加熱構造400は、4つの側壁100aのそれぞれに装着されている。例えば、アルミニウムから作られた液体冷却上部プレート32(図3)は、Oリング又はその他の手段(図示せず)によって側壁100a及び100bを真空密封されている。複数ゾーン加熱構造507は、上部プレート(図3)の上に位置している。
[0084]ここで図3と図5を参照すると、バッファ容積22bは、4つの側壁34を含んでいる。ロボットアーム113が基板をバッファ容積22bへ(から)周知の方法で挿入する(取り出す)ことができるスリットバルブ開口36がこれらの側壁の1つに装着されている。スリットバルブ開口36は、例えば、Oリング(図示せず)を用いた周知の方法で側壁34の1つに対して真空密封する。スリットバルブ36は、搬送チャンバ110のチャンバ取付け面111A-D(図2Aを参照のこと)のいずれかに装着され得るように設計されている。典型的には、搬送チャンバ110は、搬送チャンバから処理の間の位置114A-Dに取付けられたプロセスチャンバを分離するスリットバルブ(図示せず)を収容している。
[0085]底部プレート38は、Oリング(図示せず)を用いて側壁34のそれぞれに装着され真空密封されている。加熱構造507と同様の複数の加熱構造550が、底部プレート38の外面に装着されている。加熱構造550から分配された熱量は、システムコントローラ102によって制御される。底部プレート38の真ん中にシステムコントローラ102からの指令の使用によって位置するリフト回転機構600は、カセット46と関連パーツを持上げ回転させることができる。一実施形態においては、加熱構造550の構成要素は、コストとバッチチャンバの複雑さを減少させるために底部プレート38の上で取り出される。
[0086]ここで図6を参照すると、装填/非装填状態でのバッチ処理チャンバ201が示されている。この位置で、ロボット113は、カセット46内の複数のスロットの1つに基板を装填ことができる。ロボット113は、スリットバルブ開口36(図6には図示せず)を通ってカセット46に接近する。カセット46は、所望のプロセス特性によっては、例えば、石英、炭化ケイ素、又はグラファイトのような任意の適した高温材料から作ることができる。図6は、9つの基板“W”を維持することができるカセット46を示しているが、カセット46の他の実施形態は、より多くの又はより少ない数の基板を保持するように適合することもできる。好ましくは、カセット46は少なくとも25枚の基板を保持する。
[0087]円形シールプレート60は、カセット46のすぐ下にし、ALD又はCVDプロセスがカセット46に取付けられた基板上で行われる場合にバッチ処理チャンバ201のプロセス容積22aからバッファ容積22bを密封するか、又はバッファ容積22bへのプロセスガス漏れを最小限にすることを意図している。シールプレート60は、例えば、グラファイト又は炭化ケイ素のような、適した高温材料で作られ、石英リング61の上面の外部周辺の周りのグルーブへネストされている。シールプレート60は、3つのリフトロッド66と、それらの関連したリフト機構700によって支持され、適した高温材料(1つだけリフトロッド66が簡単に示されている)で作られている。図6と図7を参照すると、リフト機構700は、底部プレート60に対してシール54(例えば、弾性シール、フェロ流体シール)の使用によって真空密封され、カセット46から独立してシールプレート60を移動させるように適合されている。シールプレート60を上下するリフト機構700は、すべてが当該技術において周知である1つ又は複数の水圧、空気圧又は電気モータ/リードスクリューメカニカルアクチュエータによって作動させることができる。
[0088]基板“W”の各々がカセット46内のスロットに装填された後、ブレードアセンブリ113A(図2A)は引っ込められ、カセット46は、ロボット113のブレードアセンブリ113Aがカセット46の次のスロットへ次の基板を装填させるようにシステムコントローラ102の使用によって所定の距離に上昇する。このプロセスは、所望数の基板“W”がカセット46へ装填されるまで繰り返される。カセットへ装填される基板の数は、基板バッチサイズが変動するにつれて制御又は変化させることができ又はシステム処理能力のバランスを取るように変化させることができ、バッチ処理チャンバで処理される最後のウエハは、許容しうる行列待ち時間を超える時間アイドリングしない。システムコントローラ102は、待ち時間を最短にするとともにプログラムされたプロセスシーケンス情報、実際の又は事前の実験的処理能力情報、又は他の使用者又はシステム入力に基づいたシステム処理能力のバランスを取る最適バッチサイズを決定するために用いられる。スリットバルブ開口36が閉じられた後に、カセット46と基板“W”は、図7に示されるように、バッファ容積22bからプロセス容積22a内の処理位置へ上昇する。
[0089]カセット46がリフトと回転機構600によってプロセスチャンバ22aに上昇するにつれて、シールプレート60の石英リング61は、リフト機構700の使用よって密封構造24の内部リップと密接に接触して移動し、それによって図7に示される位置でシールプレート60を停止させる。クオーツリング61が密封構造24と密接に接触しているとき、シールプレート60はチャンバ22のプロセス容積22aとバッファ容積22b部分の間をほぼ完全に密封し、プロセス容積22aが適した材料の層を基板“W”上に形成することができる反応チャンバ20の処理領域になる。バッファ容積22bへアルゴン又はヘリウムのような不活性ガスの比較的少ないフローを注入することによって、このような不活性ガスは、プロセス容積22aを排気する途中のシールプレート60の穴とシャフト48の間の小さな隙間を通って進まなければならない。この不活性ガスフローは、プロセス容積22aからバッファ容積22bに入り得る反応性ガスの量を著しく最小限にするのに役立ち、それによりバッファ容積22bで加熱されたパーツの上に過度の及び望まれていない蒸着を効果的に排除する。更に、プロセス又はプロセス容積内にしばしば高価な反応性ガスをこのように閉じ込めることにより、これらのガスのより効率的な使用が得られる。更に、この閉じ込めにより、反応チャンバの容積の効果的な減少が得られ、それにより反応ガスの滞留時間(ガス分子が注入点からチャンバの対向する側に排気されるまで進むのにかかる平均時間)を短縮する。多くの典型的なALDプロセス又はCVDプロセスについては、過度の滞留時間は、成長するALD膜又はCVD膜に組込むことができるサブ化学種を生成することができる、望まれていない化学反応を招き得る。シールプレート60は、プロセス容積22aとバッファ容積22bの間の有効な熱分離を与える。更に、シールプレート60は、また、加熱構造550から放射される熱エネルギーのための熱拡散器として役に立ち、このようにして、基板“W”の中間熱源として作用する。更に、シールプレート60は、メンテナンス活動の間、バッチ処理チャンバ201内で完了するあらゆるインサイチュプラズマ清浄プロセスを改善するのに効果的な閉じ込めを与えることができる。
[0090]発明の一態様においては、図6-図7に示される複数ゾーン加熱構造507は、カセット46に取付けられた基板に向かってエネルギーを放射するハロゲンランプ402の配列を含んでいる。他の実施形態においては、複数ゾーン加熱構造507は、ハロゲンランプ402に変わって、カセット46内に保持された基板に熱を伝達する1以上の抵抗加熱素子(図示せず)を含んでいる。
[0091]バッチ処理チャンバ201の一実施形態においては、真空ポンプシステム171(図2G-2H)は、所望のチャンバプロセスを行う前にバッファ容積22b及び/又はプロセス容積22aを減圧にするために用いられる。一態様においては、バッチ処理チャンバ201が、典型的には真空圧に維持されている搬送チャンバ110に搬送可能に連通している場合、バッファ容積22bとプロセス容積22aは、一般的には、1つ又は複数のバッチ処理チャンバ201に急速な基板の搬送を可能にする真空圧で常に維持されている。本発明の一態様においては、バッチ処理チャンバ201が大気圧でのフロントエンド環境104と搬送可能に連通している場合、バッファ容積22bは、処理前に真空ポンプシステム171の使用によって減圧にし、その後、基板をバッチ処理チャンバ201とフロントエンド環境の間で搬送させる処理後に従来の方法によって通気する必要があり、その逆も同じである。真空ポンプシステム171は、クラスタツール100に位置する単一処理チャンバ又は複数の処理チャンバに装着させることができる。真空ポンプシステム171は、所望のチャンバ処理圧(例えば、〜50ミリトール-〜10トール)を得るために用いられるターボポンプ、ラフポンプ、及び/又はRoots BlowerTMのような1以上の真空ポンプを含むことができる。
[0092]図2Hを参照すると、バッチ処理チャンバ201の一実施形態においては、シャッタアセンブリ180は、バッファ容積22bとプロセス容積22aを分離してプロセス容積aを真空状態で維持させることを可能にするために用い、一方バッファ容積22bは通気されるので基板を装填するか又はカセット46から取り出すことができ、又は他のメンテナンス活動がバッファ容積22bの構成要素で行うことができる。シャッタアセンブリ180は、一般的には、シャッタドア181、シャッタ保管領域182、シャッタドア181に取付けられた密封部材183(例えば、Oリング)、シャッタアクチュエータ(図示せず)を含んでいる。シャッタアクチュエータは、プロセス容積22aが真空ポンプシステム171の使用によって真空圧を維持することができるように、バッファ容積22bとプロセス容積22aを分離するために、密封構造24の開口の上にシャッタドア181を位置させるように適合され、一方バッファ容積22bは大気圧に通気される。シャッタアクチュエータは、一般的には、処理前のプロセス容積22aへカセット46を挿入している間、シャッタドア181をカセット46から外れてシャッタ保管領域182へ移動させ位置するように適合されている。
[0093]図8及び図8Aを参照すると、加熱構造400は、側壁100aの各々の外部表面上に取付けられている。加熱構造400は、石英の窓401を通ってバッチ処理チャンバ201のプロセス容積22aにおける基板“W”にエネルギーを供給するために用いられる複数のハロゲンランプ402を含んでいる。一実施形態においては、基板“W”とカセット46は、石英窓401を通るハロゲンランプ402によって加熱される、熱シールドプレート422によって間接的に適温に加熱される。抵抗ヒータのようなランプの変わりに代替的加熱法を用いることができる。Oリング型ガスケット410(例えば、バイトン、シリコンゴム又はカルレツグラファイト繊維のような適当な材料から作られている)、同様な適当な材料のストリップ412とガスケット411は、窓401が熱くチャンバ22が減圧下にある時に窓401が温度制御された側壁100a又はクランプ406と直接接触している場合には、内部破裂を引き起こす過度の圧力を防止するように側壁100aか又はクランプ406のどちらかとの直接接触しないことを確実にするために、石英窓401と側壁100aとクランプ406の間に設けられる。熱シールドプレート422は、基板“W”に供給される熱エネルギーのより均一な配分を可能にする加熱構造400から放出されるエネルギーを拡散させるためにチャンバのプロセス容積22aに加えられる。一実施形態においては、熱エネルギーの配分は、リフトと回転機構600に見られる回転モータ601を用いた処理の間、カセット46を回転させることによって更に最適化される。カセットの回転速度は、毎分約0から10回転(rpm)、好ましくは1rpm〜5rpmに変動させることができる。熱シールドプレート422と絶縁石英ストリップ420は、チタンのような適切な高温材料から作られている複数の保持クランプ424によって側壁100aに固定されている、例えば、グラファイト又は炭化ケイ素のような適切な高温材料から作られている。クランプ424は、側壁100aに、ボルト425とワッシャ426A-Bを用いて取付けられている。
[0094]一実施形態においては、1以上の熱交換デバイスは、バッチチャンバの壁温を制御する側壁100aと100b、上部プレート32及び/又は底部プレート38と連通して配置されている。1以上の熱交換デバイスは、バッチチャンバの壁の温度の制御をするために用いることができ、処理中に望まれていない堆積物質及び/又は堆積プロセス副生成物の凝縮量を制限し及び/又は処理中に生成された熱勾配による分解から石英窓401を保護する。一実施形態においては、図8と図8Aに示される熱交換デバイスは、連続的にミルドチャネル442と446を流れ込む熱交換流体の使用によって温度制御される側壁100a-bとクランプ406に形成されたミルドチャネル442と446からなる。流体温度コントローラ(図示せず)は、熱交換流体、従って、側壁100a-bとクランプ406の温度を制御するように適合されている。熱交換流体は、例えば、温度を約30℃〜約300℃に加熱するペルフルオロポリエーテル(例えば、Galden(登録商標))である。熱交換流体は、所望の温度約15℃〜約95℃に分配された冷却水であってもよい。熱交換流体は、アルゴンや窒素のような温度制御ガスであってもよい。
[0095]すべての基板について望ましいプロセス結果を達成するために、プロセス容積22a内で処理される“W”には、バッチ内の基板“W”のすべてのあらゆる点が摂氏約1度だけプラス又はマイナスの同様の設定温度に達する必要がある。温度の設定点と均一性は、カセットの種々の領域の温度を測定するように位置した1以上の温度センサ(例えば、光学高温計、熱電対等)、複数のゾーンのグループに分けられる2以上のハロゲンランプ(図7)、温度をモニタし、ゾーンの各々に対する電力を調節して、カセットの長さに沿って均一な温度を得るシステムコントローラ102の使用によってモニタされ制御されている。一実施形態においては、ハロゲンランプ402の一列又はハロゲンランプ402の複数列は、温度がカセット46内の基板から基板まで均一になることを確実にするシステムコントローラによって制御され得る。一実施形態においては、ランプは領域によってグループに分けられ、横列(水平)での1以上のランプと縦列(垂直)での1以上のランプは、プロセス容積22aの領域における温度の可変性を調節するために共に制御される。ハロゲンランプ402と加熱構造400のハードウェアのマルチゾーン制御の実施形態は、更に、2002年8月9日出願の“HighRate DepositionAt LowPressure In A Small BatchReactor”と称する米国特許出願第10/216,079号に記載され、この開示内容は本明細書に援用されている。
[0096]一実施形態においては、図9-図10に示されるカセット46は、基板を支持するサセプタ62とロッド64を含んでいる。この実施形態においては、各基板“W”はサセプタ62上に直接置かれるのがよく、又は基板はサセプタ62内のキャビティ(図示せず)にネストされるのがよく、又は2つのサセプタ62(図示せず)の間に、例えば、サセプタ62の表面に装着された3つ以上のピンで吊り下げられるのがよい。この実施形態においては、サセプタ62は、基板“W”の直径より大きいサイズであるので、加熱構造400(図9又は図10では図示せず)から分配される放射エネルギーを吸収することができ、基板のエッジに達する前にプロセスガスを予熱する傾向がある。
[0097]一実施形態においては、カセット46に取付けられた基板のプロセス温度は、加熱構造400から基板に移されるエネルギーの量を変えることによりプロセスレシピの異なる相の間に変化する。この構造において、基板温度を処理の間に急速に調整させることを可能にするカセット46の熱量を最少限にすることは必要なことである。それ故、本発明の一態様においては、サセプタ62とロッド64の質量とサイズは、急速に調整されるプロセス温度と達成される基板の熱均一性を可能にするように最小限にされるのがよい。
[0098]加熱構造400ハードウェアの実施形態は、更に、1997年8月11日出願の“Mini-batch Process Chamber”と称する米国特許第6,352,593号、2002年8月9日出願の“HighRate DepositionAt LowPressure In A Small BatchReactor”と称する米国特許出願第10/216,079号に記載され、いずれの開示内容も本明細書に援用されている。
ガス分配システム
[0099]ここで図9-図10と図12を参照すると、基板“W”上に膜を堆積させるのに用いられるプロセスガスは、一般的には、ガス分配モジュール500と、1以上の注入管203と、混合チャンバ204と、注入プレート210を含むのがよい、ガス注入マニフォールドアセンブリ200に供給される。一実施形態においては、注入プレート210は、Oリング(図示せず)によって側壁100bの1つに対して真空密封されている。プロセスガスが混合チャンバ204内で共に混合された後、ガスは注入プレート210に形成されるポート208に供給され、その後、プロセスガスはポート208を通ってプロセス容積22aに流れ込む。一実施形態においては、ポート208は、入ってくるガスを制限し均等に再配分することができるように形成されているのでバッチ処理チャンバ201のプロセス容積22aに入るガスフローは均一である(図12を参照のこと)。一実施形態においては、図9に示される1以上のガスフロー制御デバイス206は、混合チャンバ204とポート208の間に加えられ、バッチ処理チャンバ201のプロセス容積22aへ供給されるプロセスガスフローの量について正確に制御される。一実施形態においては、ガスフロー制御デバイス206は、メカニカルバタフライバルブ又はニードルバルブ、又はプロセスガスのフローを制御し得る他の同等なデバイスであるのがよい。本発明の他の態様においては、注入プレート210は、注入プレート210におけるミルドチャネル(図示せず)に流れ込む温度制御された熱交換流体の使用によって又はインジェクタのハウジングに組込まれた抵抗加熱素子の使用によって温度制御されている。図9、図10、図12は、2以上のプロセスガス源501と連通している単一混合チャンバ204と注入プレート210を示しているが、注入マニフォールドアセンブリ200の実施形態は、プロセス容積22aに種々のプロセスガス(例えば、前駆物質、1つ又は複数の酸素含有ガス、キャリヤガス等)を各々注入する2以上の分離された混合チャンバ204と注入プレート210を含むのがよい。本発明の一態様においては、2以上の分離された混合チャンバ204と注入プレート210は相互に隣接し、すべて同じ側壁100bに取付けられている。例えば、一構成においては、注入マニフォールドアセンブリ200は、ハフニウム前駆物質(例えば、TDMAH)を別個に分配することが意図される3つの別個の混合チャンバ204と注入プレート210と、キャリヤガス(例えば、アルゴン)と、酸化ハフニウム膜を形成するプロセス容積22aへの酸素含有ガスを含むのがよい。従って、この構成は、プロセスガスの不適合の相互作用を最小限にし、処理中の第1処理ガスの流した後の注入マニフォールドアセンブリとプロセスチャンバ22aをパージする要求を減少させるのがよい。
[0100]ガス搬送モジュール500は、一般的には、不活性ガス源502と1以上のプロセスガス源501を含み、ALD、CVD、又は他の基板処理ステップを完了させるのに必要な種々のプロセスガスを分配することができる。図9は、2つのプロセスガス源501A-Bを含む一実施形態を示す図である。不活性ガス源502もまた、注入ライン505A-Bをパージするために用いることができ、或る実施形態は、ガス源501A-Bからプロセスガスを分配するためにキャリヤガスとして作用するのがよい。一実施形態においては、ガス源502は基板に酸素含有ガスを分配する。他の実施形態においては、ガスソース502は、基板に分配することができるオゾン生成源である。
[0101]基板の表面を全体のガスフロー配分は、バッチ処理チャンバ201で処理された基板“W”の上の均一な層の形成に、特に、急速な表面飽和が反応速度制限堆積に必要であるALDプロセスに重要である。本明細書に用いられるALD又は“循環堆積”は、基板表面上に物質層を堆積させるために1以上の反応性化合物を順次導入することを意味する。反応性化合物もまた、交互方式で処理チャンバの処理領域へ導入される。通常、各反応性化合物のプロセス領域への注入は、各化合物が基板表面に付着及び/又は反応することを可能にする時間の遅れによって分けられる。
[00102]図11は、従来技術の垂直拡散炉13(又はVDF)を示す断面図である。一般に、垂直拡散炉13はチャンバ壁10、加熱源11、基板“W”を保持する基板支持体12、注入口13、排出口14を含む。基板“W”上に処理ステップを行う前に、各基板はロボット(図示せず)の使用によってアクセスポート(図示せず)を通って基板支持体12に装填され、チャンバは不活性ガスを排気するかパージされる。処理の間、プロセスガスは注入口13へ(符号Aを参照のこと)注入され、その後基板支持体13(符号B1を参照のこと)の周りに流れ、排出口14(符号Cを参照のこと)から外に流れる。この構造において、前駆物質は基板のエッジから中央(符号B2を参照のこと)へ全体に拡散する。従って、垂直拡散炉13の堆積プロセスは、均一な堆積カバレッジを得るために基板の表面全体にプロセスガスの拡散、又は移動に左右される。しかし、望ましい特性を有する膜を形成する拡散型プロセスに頼ると、2つの主な理由が問題となり得る。第1の問題は、基板のエッジが中央より高いプロセスガスの濃度にさらされ、堆積した膜厚の変化及び/又は基板のエッジに堆積した膜の表面上に未反応の余分な前駆物質の存在のために汚染を招いてしまうことから生じる。第2に、拡散プロセスがプロセスガス温度依存プロセスであり、また、基板支持体におけるあらゆる位置で変わり得る時間依存プロセスであるので、堆積が空間的又は時間の関数として変化し得る。
[00103]それ故、従来技術の短い成功を克服する努力において、本発明の実施形態はプロセス容積22aへ基板“W”全体に1つ又は複数のプロセスガスを注入し、対流型プロセスが拡散依存プロセスと関連がある問題を受けないので対流型プロセスである。対流型プロセスはプロセスガスと基板表面の相互作用を制御することができ、成り行き任せではなく、制御が難しい要因に基づかないので、有益である。図12は一実施形態を示す図であり、プロセスガスは注入プレート210におけるポート208を通って複数の基板“W”全体に、その後排気プレート352における排気ポート354を通って、その後排気ポンプ(図示せず)とスクラバ(図示せず)から外へ出される。本発明の態様においては、図12に示されるプロセスガスは、一般的には基板の処理面(例えば、半導体デバイスを含む表面)と平行である方向に注入される。平行なプロセスガスフローは、基板の片面又は両面の処理面の急速な飽和を可能にし、それにより処理時間が短縮する。本発明の他の態様においては、プロセスガスフローは、フロー配分注入プレート210の使用によってカセット46に保持された基板のすべてに全体に一様に配分される。
[00104]本発明の他の態様においては、排気マニフォールドアセンブリ300は注入マニフォールドアセンブリ200に実質的に対向する向きに位置する。この構造において、流路、従って、注入されたプロセスガスに基板をさらすことは、プロセスガスの流路が基板表面にほぼ平行のままであるので均一に配分される。一実施形態においては、カセット46(図示せず)の周りの周辺に隔置される2組以上の対向した排気マニフォールドアセンブリ300と注入マニフォールドアセンブリ200があり、各組は別個に又は他の組と一緒に用いられる。
[00105]本発明の他の態様においては、注入マニフォールドアセンブリ200に対向しない1以上の排気マニフォールドアセンブリ300、又は1以上の排気マニフォールドアセンブリ300を対向しない1以上の注入マニフォールドアセンブリ200を含むことは有益なことである。一般に、対向しない構造において、注入プレート210におけるポート208は、基板表面全体にプロセスガスのほぼ平行な流路を可能にするために相互にほぼ同じ面にある排気プレート352において対応する排気ポート354を有する。
[00106]高い圧力プロセスガス源501からプロセス容積22aへプロセスガスを注入するプロセスは、基板表面への対流型物質搬送を促進させるプロセスガスに速度を与える。プロセスガス速度と注入されたガスの合計量は、堆積した膜特性に影響するように変化し得るわずかだけのプロセス可変部分である。各基板“W”全体でガス速度は基板“W”とサセプタ62の間の隙間に(基板の上と下のもの)、また、サセプタ62の外側のエッジと熱シールド422の間の隙間に左右される(図8と図8B)。異なる隙間は、それぞれ基板表面全体にガスフローに直接影響するので、再現性と堆積された膜の均一性に効果があり得る。一般に、基板“W”と対応するサセプタ62の間の隙間は約0.2インチ〜約1.5インチの範囲が好ましい。サセプタ62と熱シールド422の隙間、サセプタ62と注入マニフォールドアセンブリ200の隙間、及び/又はサセプタ62と排気マニフォールドアセンブリ300の隙間は、2つのサセプタ62間の隙間より小さいか同じが好ましい。好ましくは、熱シールドとサセプタ62の隙間は約0.05インチ〜約1.0インチである。熱シールドプレート422とサセプタ62の距離を最小限にすると、サセプタへの熱伝達が改善される。プロセス容積22aの一実施形態においては、サセプタ62と熱シールドプレート422の隙間は、半円であり、それによりサセプタ62を覆う熱シールドを用いることにより小さくなるのがよい。図8Bは、半円熱シールドプレート422を有するプロセス容積22aの一実施形態の例を示す図である。
[00107]上述した基板全体のガスの速度は、プロセス容積22aに分配されるプロセスガスの圧力低下の関数として変動し得る。従って、ガスの速度はプロセスガス源分配圧(例えば、容器543の圧力(後述される))を変えることにより、プロセスガス流量、及び/又はプロセス容積22aの処理圧力を制御することにより制御される。例えば、容器543の圧力は5トールに維持することができ、プロセス容積22aはプロセスガスが注入される前に<50mTにポンプで送られ、よって2つの容積間の圧力差が大きい。一実施形態においては、プロセス容積22aの圧力が、プロセスレシピステップの間、プロセスガス流量及び/又は排気流量を制御することにより変動し、従って物質搬送プロセスが変わって改善されたプロセス結果が得られる。
[00108]ALDプロセスを行うために、前駆物質の用量、又は一定量が堆積された膜の成長を制御する既知の圧力でプロセス容積22aに注入される。処理領域にプロセスガスを注入する際の前駆物質の初期の高濃度は、基板表面上の開放部位を含む基板表面の急速な飽和を可能にする。高濃度の前駆物質があまりに長い間チャンバ内に残る場合には、前駆成分の1を超える層が基板の表面に付着する。例えば、前駆物質を含むあまりに多くのハフニウムが基板表面に吸着される場合には、得られた膜は許容しえない高ハフニウム濃度を有する。処理領域圧力の制御された、漸次の又は段階的低下によって、処理領域から過剰の前駆物質とキャリヤガスを強制しつつ、基板表面に沿って化学物質の一様な配分を維持するように援助することができる。本発明の一態様においては、ALDプロセスの1以上のステップにおいて窒素又はアルゴンのようなパージガスを追加してシステムをパージし、プロセス容積22aの圧力も制御しつつ、過剰な前駆物質を除去することが有利であるのがよい。処理領域の圧力の制御された漸次低下はまた、急速な圧力の低下に共通である温度低下を防止することができる。例示的なプロセスの一実施例としては、100℃で5トールの圧力に維持された容器543を100%TDMAHを含むプロセスガスで、前駆物質注入後にチャンバ圧を8トールで2秒間、次に2トールで3秒間維持されるプロセス容積22aへ充填することが含まれる。
[00109]均一なALD層を基板表面に形成させることを確実にするために、種々のチャンバの処理技術が、処理中にプロセス容積22a内の前駆物質濃度を制御するのに用いられる。ALDプロセスの全てにおいて、一定量の前駆物質を、薄いALD膜が基板上に形成するようにプロセス容積22a内の表面の全ての飽和を確実にするのに十分大きいプロセス容積22aへ与える。望ましい堆積した膜特性を得ることができるように、プロセス容積22aの飽和と排気は3つの主な処理技術又は方法の使用によって制御される。第1ALD処理法には、上述したように、前駆物質量が分配され、プロセス容積22aがALDプロセス中に単一のプロセス圧に維持されることが必要である。プロセス容積22aに前駆物質量が注入された後、単一の処理圧がプロセス容積22aへのキャリヤガス(例えば、アルゴン、ヘリウム等)のフローを変化させ、及び/又は外部真空ポンピングシステム(図示せず)への排気流量を制御することにより維持される。排気流量は排気フロー制御デバイス353位置(図12)を制御することにより外部真空ポンプシステムへの排気フローを制限することによって制御することができる。上述した第2ALDプロセスは、基本的には前駆ガス量をプロセス容積22aへの注入し、その後、キャリヤガスフロー又はプロセスの残り部分の排気流量を制御することによってプロセス容積22aの圧力を変えることを必要とする。従って、第2法は化学物質の一様な配分を確実にするためにALDプロセスの間、処理圧力を種々の異なるレベルで制御することを可能にし、望ましい処理条件はALD堆積プロセスの異なる相の間に維持される。第3ALD法においては、前駆物質量が注入され、一方排気フローがある期間停止し、その後、排気フローが再開される。この構造において、チャンバ内の前駆ガス濃度は前駆物質量の開始後、排気流量が再開始されるまで一定のままである。
[00110]本発明の態様においては、バッチ処理チャンバはCVD堆積方式で用いられる場合、前駆物質はCVD堆積レシピステップの間、1以上の処理圧力で維持されるプロセス容積22aに連続して搬送される。CVDプロセスはALDプロセスで用いられる反応速度制限堆積プロセスより、質量搬送限定反応を用いる。このCVD堆積構造において、処理容積22aの圧力は、プロセス容積22aへ前駆物質又はキャリヤガス(例えば、アルゴン、ヘリウム等)のフローを変え、及び/又は外部真空ポンプシステム(図示せず)への排気流量を制御することによってCVDプロセスステップの異なる相に変えることができる。排気流量は排気フロー制御デバイス353位置(図12)を制御することにより外部真空ポンプシステムへの排気フローを制限することによって制御することができる。
[00111]一実施形態においては、ALDとCVDの堆積プロセスの完了に有効なプロセスガスはキャリヤガスと前駆物質“A”の混合物である。キャリヤガスは、典型的には、前駆物質“A”に基づいて選択される。例えば、前駆物質“A”がハフニウム型前駆物質、例えば、テトラキスジエチルアミノハフニウム(TEMAH)、テトラキスジメチルアミノハフニウム(TDEAH)、テトラキスジメチルアミノハフニウム(TDMAH)、塩化ハフニウム(HfCl)、Hf[N(C)]、Hf[N(C)]がプロセスに用いられる場合には、キャリヤガスとしてアルゴンが選択される。キャリヤガス又はパージガスは不活性ガス、例えば、アルゴン、キセノン、ヘリウム又は窒素であってもよく、前駆物質122と反応性であっても非反応性であってもよい。水素は、本発明の或る実施形態においては適切なキャリヤガス又はパージガスである。
[00112]本発明の一態様は、本明細書に記載されるバッチプロセスチャンバが使用、従って、しばしば高価な前駆物質の廃棄物を最小限にする方法である。現在、TDMAH前駆物質は約10ドル〜約25ドル/グラムかかると思われ、25枚の基板のバッチ上に30オングストローム膜を堆積するために数百ドルに替えることができる。従来技術のバッチチャンバと単一の基板処理チャンバは共に異なる欠陥を受け、本明細書に開示される本発明の実施形態のように前駆物質の廃棄物を最少限にすることを妨げる。基板、例えば、25枚の基板のバッチの前駆物質の使用は、単一基板処理チャンバ運転複数回(例えば、25回)に対して、前駆物質が堆積するバッチチャンバ内でチャンバ壁の表面積の増加分の増加が単一基板処理チャンバ被覆複数回の表面積と比較して小さいのでより少ない。従来技術の垂直拡散炉構造は、基板表面全体に直接前駆物質を流すよりも、前駆物質フローのかさが基板支持体12の周りに、排気口14の外にあるので、前駆ガスがより無駄になり、より多くの前駆物質が同量の膜を成長させるために分配することが必要である。それ故、基板のバッチの上に前駆ガスの対流ガスを用いると前駆物質の廃棄を著しく減少させることができ、プロセスシーケンスとシステムCOOを減少させることができる。
[00113]一実施形態においては、バッチ処理チャンバの容積は廃棄された前駆物質の量を減少させるとともにプロセスチャンバプロセスサイクル時間を短縮することによりチャンバの処理能力を上げることを最小限にする。ALDプロセスの重要な一態様は、基板表面を前駆ガスで飽和させるのにかかる時間である。プロセス容積とチャンバ表面積が大きくなる傾向がある従来のバッチ垂直拡散チャンバにおいて、基板の全てとチャンバ表面がプロセスガスで飽和されることを確実にするために著しい時間がかかり得る。それ故、プロセス容積が、前駆物質の廃棄物を減少させるとともに表面の全てを前駆ガスで飽和させることを確実にするのにかかる時間を短縮するためにできるだけ小さくすることを確実にすることは重要である。種々の実施形態は、前駆物質の廃棄物の減少とバッチ処理時間の短縮を達成することを可能にするのがよい。例えば、従来技術において垂直拡散(VDF)処理チャンバのように、処理チャンバの終わりで失われた熱を考慮する努力において基板支持体の長さを過去に充分伸長させる処理領域の要求によって、処理領域の容積が束縛されない。一実施形態は、プロセス容積22aの側面と末端、温度センサ(図示せず)、カセット46内の基板の全ての全領域の温度が一定の温度であることを確実にするように適合されているシステムコントローラ102に取付けられた、熱生成デバイス(例えば、ハロゲンランプ、抵抗ヒータ)の使用によってカセット46内に保持された基板の温度を活発に制御することにより従来技術時に改善するように適合されている。一実施形態においては、バッチ処理チャンバのプロセス容積aの処理中の容積はウエハあたり0.5リットル〜1.5リットルの容積に最小にする。
[00114]他の実施例において、どのように前駆物質の廃棄物の減少とバッチ処理時間の短縮が従来技術の構成よりされ得るかは、従来技術のVDFで必要とされるように、一般的に基板支持体の周りのプロセスガスを均一に流す要求によって束縛されないので、基板処理領域、又はプロセス容積22aの直径と長さを最小にする能力であり、各基板がプロセスガスの一定量を知ることを確実にする。
[00115]他の実施例において、どのように前駆物質の廃棄物の減少とバッチ処理時間の短縮が従来技術の構成よりされ得るかは、速度増加によってバッチ処理チャンバの処理能力が高速度によって高められ、プロセスガスのほぼ同時注入のためにプロセスガスが基板表面を飽和することができる。前駆物質が基板表面に飽和することができるという速度増加は、また、表面が飽和される前の前駆ガスと熱いチャンバ壁の相互作用により、前駆ガスのガス相分解により生じるパーティクル問題の機会を減少させる。バッチ内の基板の全てが基板表面を飽和するのに充分長くプロセスガスにさらされることを確実にするために無駄な待ち時間がないので、プロセスガスのほぼ平行な注入から処理能力の獲得が可能になり得る。この問題は、一般に、従来技術のVDFプロセスチャンバにおいて見られ、図11に示されるように、ガス注入口に最も近い基板が基板支持体12において最後の基板よりもより長くプロセスガスにさらされ、従って、プロセスの長さは、最後の基板にかかる時間によって制限され、所望の堆積された層の厚さを形成する。本発明の態様においては、注入点から基板表面までの距離が最小にされ、よって前駆物質がインジェクタからの距離とによっては前駆物質の濃度を変化させる分解作用を受け得る機会が減少するので、従来技術より改善させることができる。
前駆物質分配システム
[00116]図10を参照すると、典型的には、処理チャンバのプロセス領域に分配されて、基板上に所望される物質の層を堆積させることができるガス又は蒸気を形成するように前駆物質“A”が処理される3つの方法がある。第1の処理法は、アンプル520内で固体である前駆物質を制御されたプロセスを用いて気化させ、前駆物質がアンプル520における固体からガス(又は蒸気)へ状態を変化させることを可能にする昇華プロセスである。本明細書に用いられるガスという用語は、一般的には、ガス又は蒸気を記載することを意味する。前駆物質“A”のガスを生成するために用いられる第2のプロセスは蒸発プロセスにより、キャリヤガスが温度制御液体前駆物質に吹き込まれ、よって流れているキャリヤガスにより運ばれる。前駆物質を生成するために用いられる第3の最後のプロセスは液体分配システムであり、液体前駆物質はポンプ525の使用によって蒸発器に分配され、液体前駆物質は蒸発器から移動したエネルギーを加えることによって液体から気体に状態を変化させる。加えられたエネルギーは、典型的には、液体に熱の形で加えられる。前駆ガスを生成させる上記の3つの方法のいずれかでは、蒸発プロセスを調節する努力においてアンプル520の温度を制御することは必要なことである。勾配温度によって容器内の前駆物質の温度を制御する説明は、更に、2003年5月27日出願の“Methodand Apparatusof GeneratingPDMAT Precursor”と称する共同譲渡された米国特許出願第10/447,255号にあり、この開示内容は本明細書に援用されている。容器と前駆物質は約25℃〜約600℃の範囲の温度、好ましくは約50℃〜約150℃の範囲に維持される。
[00117]図10は、プロセスガスをプロセス容積22aに分配するために用いられる固体分配型ガス源501Aの一実施形態を示す概略図である。この実施形態においては、ガス源501Aは、一般的には、以下の構成要素:アンプルガス源512と、前駆物質“A”を含むアンプル520と、計量ポンプ525と、蒸発器530と、遮断弁535と、収集容器アセンブリ540と、最終バルブ503Aとを含んでいる。一実施形態においては、最終バルブ503Aは、ALDプロセスを行う場合にプロセス容積22aに注入された量をより良く制御するために急速な反応時間と直線的なプロセスガスフロー制御を有し、注入されたガスフローのバーストを最小限にし、且つ過度の量のプロセスガスの注入を最小限にするように設計されている。収集容器アセンブリ540は、一般的には、以下の構成要素:注入口546と、排出口548と、容器543と、容器543を取り囲む抵抗熱素子541と、ヒータコントローラ542と、センサ544を含んでいる。一実施形態においては、センサ544は、2つのセンサ、例えば、温度と圧力のセンサを含み、容器543に含まれる1つ又は複数のプロセスガスの特性を測るために容器543装着されている。一実施形態においては、抵抗熱素子541、1以上のセンサ544、ヒータコントローラ542、システムコントローラ102は、ガス注入マニフォールドアセンブリ200を通ってプロセス容積22aへ分配される前に所望される状態のガス又は蒸気を確実にするために容器543内に存在するガス又は蒸気の温度を制御するために用いることができる。ガスの“状態”という用語は、一般的には、一定量を特徴とすることができるガス又は蒸気の条件(例えば、圧力、温度、容積、エンタルピー、エントロピー)として定義される。一実施形態においては、この熱コントローラ542はシステムコントローラ102の一部である。
[00118]図10を参照すると、一実施形態においては、ガス源501Aは、プロセスガスを液体前駆物質を含むアンプル520からのプロセス容積22aに分配するように適合されている。液体前駆物質からガスを形成するために、液体前駆物質は蒸発器530に前駆物質を送る計量ポンプ525の使用によって気化され、液体にエネルギーを加えて液体から気体に変化させる。この実施形態においては、計量ポンプ525は、システムコントローラ102の指令の使用によって、プロセスレシピステップ全体で所望の流量設定点で液体前駆物質を制御し分配するように適合されている。その後、気化した前駆物質は、収集容器アセンブリ540に分配され、そこでプロセス容積22aに基板“W”の表面全体に注入されるまで保管される。一実施形態においては、計量ポンプ525は蒸発器530に分配される液体前駆物質の量を制御するために液体フローメータ(図示せず)とガス源(例えば、要素512)に取り替えられる。この構造において、ガス源から加圧されたガスは、蒸発器530への液体前駆物質の量を計量、又は制御するように適合されている液体フローメータに液体前駆物質を押し出すために用いられる。
[00119]前駆物質流量とガス量又は用量(又は質量)が具体的なALDプロセス又はCVDプロセスの均一性、再現性、ステップカバレッジに著しく影響するので、これらのパラメータの制御は半導体製造プロセスが反復可能であり且つ望ましいデバイス特性を達成することを確実にするために非常に重要である。CVDプロセス又はALDプロセスの再現性に著しく影響する一要因は、前駆物質気化プロセスの制御である。前駆物質気化プロセスの制御は、更にバッチ型プロセスに用いられる時に、常に分配されるのに必要とされる前駆物質の量、又は用量がより多く、従って質量流量のばらつきが単一の基板処理チャンバにおけるより非常に大きいので、更に複雑である。バッチ分配は、競合する単一基板処理チャンバ内で得られるものと同様のプロセス結果を得るための要求とプロセスが所望の処理範囲から変化する場合には廃棄された多数の基板のこれまでに存在した恐れによって複雑である。また、液体分配システムの使用は、蒸発器を通る液体前駆物質フローのあらゆる妨害が、前駆物質の物質流量を再開始フロー時に激しく変化させ、よって物質流量とプロセス結果を変化させるので、ALDプロセス又はCVDプロセスに対して更に複雑さが加わる。前駆物質フローの停止と開始は、また、均一でない気化、恐らくはシステムにおける種々の要素に損傷させること、また恐らくはプロセス容積22aと基板に用量の分配の再現性に影響する蒸発器の目詰まりによって生じる、分配ラインにおける劇的な圧力変化(例えば、圧力バースト)を引き起こし得る。それ故、常に少なくとも蒸発器を通して蒸発器の目詰まりと均一でないフローを防ぐために前駆物質のフロー量を保つことが望ましい。しかしながら、上述したように、プロセスガスの圧力と温度は、プロセス結果が他への一つの基板バッチから変化しないことを確実にするための再現性を必要とする。一貫性のある結果を得るために、気化した前駆物質と時に不活性ガスを受け取る容器543は再現性のある圧力と温度でプロセスガスの好ましい量を収集、分配する大きさである。
[00120]蒸発器を通って液体前駆物質を絶えず流す要求から生じてしまう1つの問題は、堆積した膜厚がプロセスレシピステップの異なる相で変化することがあり、又は用量の分配が起きるときのタイミングが変わり得るので生じ、従って前駆物質の一定の気化速度が処理中に用いられる場合には、容器543中のガスの質量や状態は変化することがある。この問題を防ぐために、或る実施形態においては、所望の質量が容器543に集められるとすぐに過度のあらゆる前駆ガスを除去(又は排気)することは必要なことである。このプロセスは容器543内のプロセスガスの温度や圧力をモニタし、その後、システムコントローラ102と、従来の“スクラバ”のような廃棄物収集システムに接続されているパージバルブ537の使用によってパージされる過度のガス量を制御することによって達成することができる。生じる1つの問題は、前駆物質がしばしば高価であることであり、廃棄物収集システムへ過度の物質のダンピングは非常に費用がかかり無駄である。それ故、本発明の一態様は、必要とされる予想されたガス量やチャンバへの用量の分配のタイミングによっては、気化速度、又は蒸発器を通って液体前駆物質のフローを制御するためにシステムコントローラ102を用いる。従って、システムコントローラ102は、次のプロセスレシピステップに必要とされる所望の分配時間とガス量(又は用量)をプロセスシーケンス情報、実際の又は以前の実験処理能力情報に基づいて算出されたタイミング、又は他の使用者又はシステムの入力を予想する。従って、この特徴は、ガスの量とガスの状態が処理チャンバへ分配されるときに一貫していることを確実にするために、時間の関数として蒸発器530に定量された前駆物質の流量を変化させるという予測機能である。
前駆物質再循環システム
[00121]図10Aを参照すると、一実施形態においては、前駆物質再循環システム560は、蒸発器530を通って液体前駆物質の連続フロー中に生成される過度の前駆ガスをパージする要求を減少又は排除するためにガス源501に加えられる。前駆物質再循環システム560は、一般的には、システムコントローラ102、注入ライン562、再循環注入バルブ567、再循環排出ライン564、再循環排出バルブ566、遮断弁535、再循環収集容器561、熱制御システム572、ガス源565を含む。この構成において、一旦所望される物質が容器543に分配されると、システムコントローラ102が再循環注入バルブ567を開けることにより再循環注入ライン562を開放し、再循環排出バルブ566を閉鎖することにより再循環排出ライン564を閉鎖し、蒸発器530に流れ込む気化された前駆物質が再循環収集容器561に集められ得るように遮断弁535を閉鎖する。本発明の或る態様においては、再循環収集容器561に集められた前駆ガスの温度は熱制御システム572の使用により制御される。熱制御システム572は、一般的には、温度コントローラ563、1以上のセンサ570、再循環収集容器561の内側又は外側に取付けられた加熱/冷却素子568を含む。加熱/冷却素子568は熱電気デバイス、抵抗ヒータ、又は他のタイプの熱変換デバイスであってもよい。一実施形態においては、センサ570は、2つのセンサ、温度と圧力を含み、例えば、再循環収集容器561に装着されて、それに含まれる1つ又は複数のプロセスガスの特性を測定する。本発明の一態様においては、再循環収集容器561に含まれる前駆物質の温度は、前駆物質の効率的な収集を可能にするために前駆物質の凝縮温度よりも低い温度で維持される。
[00122]再循環システムの一実施形態においては、再循環収集容器561に集められた前駆物質は、再循環注入バルブ567を閉鎖し、再循環排出バルブを開放し、アンプル遮断弁569を閉鎖し、再循環収集容器561を加圧することにより、液体前駆物質“A”を蒸発器530、次に容器543に流し込ませるガス源565の使用によって容器543を充填させるために用いられる。一実施形態においては、再循環計量ポンプ(図示せず)は、再循環収集容器561から液体前駆物質を取り出し、蒸発器530と容器543に分配するために再循環排出ライン564に加えられる。一旦前駆物質量が再循環収集容器561から分配されると、システムコントローラ102が再循環収集容器561の完全な排出を防止するためにアンプル520から液体前駆物質の分配に切り替えることができる。
[00123]他の実施形態においては、前駆物質循環システム560は、液体前駆物質量を連続して再循環させることにより、蒸発器530を通って液体前駆物質の連続フローを供給するために用いられる。再循環プロセスは、一般的には、再循環収集容器561に保持される液体前駆物質“A”の量を、蒸発器530に注入させ、蒸発器を通って再び送ることができるように冷却し再び集められる再循環収集容器561に転換されることによって完了する。本発明の一態様においては、液体前駆物質の連続フローは、容器543が充填されても、再循環システム560によって維持され、チャンバハードウェアの損傷を防止し、パーティクルを生成し及び/又は“新鮮な”前駆物質で再循環収集容器561内の前駆物質のパーセントを補充する。本発明の他の態様においては、再循環プロセスは、液体前駆物質のフローがアンプル520から蒸発器530に開始される前に、間に、又は後に停止される。
[00124]図10Aは、再循環システム560を示す一実施形態であり、前駆物質の量が再循環収集容器561に収集された後に再循環収集容器561に収集された前駆物質がアンプル520に逆に転換される。この構造において、再循環注入バルブ567が閉鎖され、再循環は排出バルブ566が開放され、ガス源565バルブが開放されて、液体前駆物質“A”をアンプル520へ流れるように進める。
[00125]前駆物質分配システムの一実施形態においては、前駆物質分配が昇華プロセス又は気化プロセスによって行われ、システムコントローラ102は、容器が所望される時間に所望される量の前駆物質を含むことを確実にすることを必要とするように前もって見るとともに気化速度を調節ように適合されている。この構造は、昇華又は気化プロセスを用いる場合に、前駆物質が気化しうる最大速度に限界であるので重要である。気化速度は、一般的には、ガス/液体又はガス/固体界面表面積、前駆物質の温度、アンプルに分配されるキャリヤガスの流量によって制限される。それ故、本発明の一態様においては、システムコントローラ102は、気化し始める時の時間と気化速度を調節して、前駆物質分配システムが前駆物質分配システムの最大気化速度を超える速度で前駆物質を気化させることが必要であることにより、決まった時間に容器43を充填することができない場合を防止するように適合されている。
排気マニフォールドアセンブリ
[00126]図9と図10を参照すると、排気マニフォールドアセンブリ300は、複数の排気ポート354を有する排気プレート352、排気プレナム351、コントロールスロットルバルブ357、ゲートバルブ357含み、Oリング(図示せず)によってその他の壁100bに真空シールされている。プロセスガスは、複数のポート354を通ってプロセス容積22aから取り出され、複数の関連した排気フロー制御デバイス353によって排気プレナム351へ供給され、或る実施形態においては、流量制御デバイス206と似ている。その後、プロセスガスは、制御スロットルバルブ357とゲートバルブ356を通って外部の真空ポンプ装置(図示せず)に流れ込む。排気プレート352は、再循環液体又は他の手段によって冷却か又は加熱することができ、使われる具体的なプロセスに左右される。ALDプロセス又はCVDプロセスの場合、その凝縮を最小にするために排気マニフォールドアセンブリ300(従って、排気ポート354)を加熱することが望ましいことに留意すること。流量制御デバイス206は、一実施形態においては、メカニカルバタフライバルブ又はニードルバルブであるのがよく、排気フロー制御デバイス353は、プロセス容積22a内に最適なプロセスガスフローパターン又は用量フローを可能にするように独立して調節することができる。本発明の他の態様においては、排気プレート352は、排気プレート352におけるミルドチャンネル(図示せず)に流れ込む温度制御熱交換流体の使用によって温度制御される。
バッチ堆積プロセスの熱制御
[00127]望ましい膜特性(例えば、良好なステップカバレッジ、パーティクルの最小化、結晶構造又はアモルファス構造、応力等)を有する均一な膜を形成する努力において、バッチ処理チャンバ内で種々の構成要素の温度を制御することが重要である。温度制御が一般には必要であるバッチ処理チャンバの4つの領域は、加熱する構造500、501、550の使用による基板温度、1以上の熱変換デバイスの使用によるチャンバ壁の温度、1以上の熱変換デバイスの使用による注入マニフォールドアセンブリにおける構成要素の温度、1以上の熱変換デバイスの使用による排気マニフォールドアセンブリにおける構成要素の温度である。上述した基板温度の制御は、堆積した膜の膜特性に影響し、よってバッチALDプロセス又はバッチCVDプロセスの重要な部分である。それ故、カセット46における基板の均一性と設定温度の制御はバッチ堆積プロセスの重要な態様である。
[00128]バッチ処理チャンバの第2温度制御領域は、バッチ処理チャンバのプロセス容積壁(例えば、側壁100a-b、上部プレート32、円形シールプレート60等)である。上述した壁温の制御は、バッチチャンバ壁と連通している壁内のミルドチャネル又は熱生成デバイスを用いて完了することができる。バッチチャンバ壁の温度は、プロセス汚染とパーティクル生成を最小限にする努力において、壁上に望ましくない副生成物の収集を最小限にするとともに次の処理ステップ中に壁上に凝縮した前駆物質が残らないことを確実にするために重要である。場合によっては、良好な品質の膜(例えば、非微粒化膜)をプロセス汚染とパーティクル生成を最小限にするために壁上に形成させることを可能にするのに十分高く壁温度が設定されることは必要なことである。
[00129]バッチ処理チャンバの第3温度制御領域は、注入マニフォールドアセンブリ200である。注入マニフォールドアセンブリの温度は、種々の構成要素と連通している注入マニフォールドアセンブリ200の構成要素又は1以上の熱生成デバイス(例えば、抵抗熱素子、熱交換等)(図示せず)におけるミルドチャンネルの使用により制御することができる。典型的には、注入マニフォールドアセンブリ200と注入ライン505Aにおける構成要素のすべてが、パーティクルを生成しチャンバプロセスに影響し得る、注入された前駆物質がこれらの構成要素の表面で凝縮せず残らないことを確実にするために加熱される。前駆物質分解温度より低く注入マニフォールドアセンブリ200構成要素の温度を制御して、注入プレート210においてポート208を“詰まらせる”ことがある種々の注入マニフォールドアセンブリの構成要素の表面上での気相分解及び/又は前駆物質の表面分解を防止することも共通である。
[00130]バッチ処理チャンバの第4温度制御領域は、排気マニフォールドアセンブリ300である。排気マニフォールドアセンブリの温度は、種々の要素と連通している排気マニフォールドアセンブリ300の構成要素又は1以上の熱生成デバイス(例えば、抵抗加熱素子、熱交換器等)(図示せず)におけるミルドチャンネルの使用により制御される。典型的には、排気マニフォールドアセンブリ300と排出ライン355における要素の全ては、注入された前駆物質がこれらの構成要素の表面で凝縮せず残らないことを確実にするために加熱される。前駆物質分解温度より低く注入マニフォールドアセンブリ200構成要素の温度を制御して、種々の注入マニフォールドアセンブリの構成要素の表面上での前駆物質の堆積と注入プレート210における排気ポート354の“詰まり”を防止することも共通である。
[00131]本発明の一態様においては、例えば、酸化ハフニウムの堆積プロセスは、TDMAH前駆物質を用いて完了し、基板温度は約200℃〜約300℃の温度に維持され、壁温度は約80℃〜約100℃の温度で維持され、注入マニフォールド200の温度は約80℃〜約100℃の温度に維持され、排気マニフォールド300の温度は約80℃〜約100℃の温度に維持される。本発明の一態様においては、基板温度は、注入マニフォールドアセンブリ200の温度よりも高い、排気マニフォールドアセンブリ300の温度よりも高い温度で維持されるチャンバ壁(例えば、側壁100a-b、上部プレート等)よりも高い温度で維持される。
プラズマ援助ALD
[00132]一実施形態においては、バッチ処理チャンバは、堆積プロセスがバッチ処理チャンバ内で完了する前、間、又は後に、プラズマ衝撃を加える容量又は誘導結合源RF源(図示せず)を含む。典型的には、プロセス容積22aにおいてプラズマを生成するために用いられるRF周波数は約0.3MHz〜10GHzである。膜のプラズマ衝撃は堆積した膜の特性(例えば、膜応力、ステップカバレッジ)に影響し得る。バッチ処理チャンバ内で容量結合プラズマを生成する例示的装置と方法は、更に、1999年1月12日出願の“VerticalPlasma EnhancedProcess Apparatusand Method”と称する米国特許出願第6,321,680号に記載され、この開示内容は、本明細書に主張された特徴と開示と矛盾しない程度まで本明細書に援用されている。一実施形態においては、誘導コイルが、基板上にプラズマを生成させ制御するためにプロセス容積22aの内側(又は外側)に取り付けられている。一実施形態においては、トロイダルプラズマ源が、基板の表面上にプラズマを生成するためにバッチ処理チャンバに適合されている。例示的トロイダル源アセンブリは、更に、2000年8月11日出願の“MethodOf ProcessingA WorkpieceUsing AnExternally Excited Torroidal Plasma Source”と称する米国特許出願第6,410,449号に記載され、この開示内は、本明細書に主張された特徴と開示と矛盾しない程度まで本明細書に援用されている。本実施形態においては、プラズマが生成される1以上のトロイダル源コンジット(図示せず)はバッチチャンバ壁100bの1つに装着され、コンジットのその他の側面は対向する側の壁100bに装着されている。それ故、一コンジットから基板表面全体にコンジットのその他の側面まで流れるプラズマ電流を生成することができる。
[00133]一実施形態においては、複数のバイアス電極(図示せず)が堆積プロセスの異なる相の間、基板にバイアスをかけて基板表面のプラズマ衝撃を促進させるためにサセプタ62に組込まれるのがよい。バイアス電極は第2RF源の使用によってバイアスがかけられるRFであってもよく、基板表面の衝撃を促進させる努力において接地されてもよい。
システム処理能力の増強
[00134]上で強調した本発明の一態様は、システムの処理能力を増加させるために、1以上の単一基板処理チャンバとともにバッチチャンバを用いることである。1以上の処理チャンバを用いる利点は、不釣合いに長いプロセスステップがバッチ内で基板のすべてに一度に完了するだけ必要であるので、バッチチャンバが処理シーケンスにおいて不釣合いに長い処理ステップの1以上を完了するために用いられる場合に真に理解することができる。
[00135]図13A-Cは、ロボット113とファクトリインタフェースロボット108A-Bがシステムコントローラ102からの指令によって基板処理配列を通って基板を搬送するために用いられる種々の基板搬送経路を示す概略図である。搬送経路は、一般的には、種々のプロセスレシピステップが1枚又は複数枚の基板上で行われ得るように基板が一方の一からもう一方の位置に移動されるように進む経路の概略図である。搬送経路における関連した位置に適合する関連したプロセスレシピステップは、図14A-Fに示され、次に説明される。ロボット113とそれに関連した構成要素は明瞭にするために図13A-Fに示されず、よってより明らかに基板搬送経路を示している。図13A-Fに示されている搬送経路は、アプライドマテリアルズ社から入手できるCenturaRTMシステムによる可能な搬送経路を示しているが、クラスタツールの形又は処理ステーションの数は本明細書に記載される本発明の種々の態様に限定しないので、本発明の範囲を制限することを意図しない。例えば、一実施形態においては、1以上の単一基板処理チャンバとともにバッチチャンバを用いると、アプライドマテリアルズ社から入手できるEnduraRTMシステムで用いることができる。図13A-Cは全て位置105Aに配置されたポッド、又はFOUPSから分配される基板“W”を示し、ポッドがポッド位置105A-Dのいずれかに配置されてもよく、また、ファクトリインタフェースロボット108A-Bがロードロック106A又は106Bに基板を搬送することができるので、この構造は制限することを意図しない。他の実施形態においては、ファクトリインタフェースが用いられず、基板は使用者によってロードロック106A-Bの1つに直接配置される。
[00136]図13Aは、基板“W”がクラスタツール100を通って基板搬送経路A1-A6の従って搬送される処理シーケンスの一実施形態を示す図である。図13Aに示されている処理シーケンスに関連したプロセスレシピステップは、更に図14Aに示されている。この実施形態においては、基板は位置105Aに配置されたポッドから取り出され、搬送経路FI1に従ってロードロック106Aに分配される。一実施形態においては、ロードロック106Aがバッチロードロックである場合、ファクトリインタフェースロボット108A-Bは、ロードロック106Aに取付けられたロードロックカセット(図示せず)を最大になるまで、次にシステムコントローラ102からの指令によって装填し、ロードロック106Aは、基板が既に真空ポンプダウン状態にある搬送チャンバ110に搬送され得るように望ましいベース圧まで閉鎖しポンプダウンする。一旦ロードロック106Aをポンプダウンすると、基板は搬送経路A1に従ってロードロック106からサービスチャンバ116Aへ任意に搬送されてもよく、準備ステップ302(図14Aに示されている)は基板上で完了する。他の実施形態においては、プロセスシーケンスは搬送経路A1と関連した準備ステップ302に飛ばすことができる。準備ステップ302は基板センタファインディング、基板の向き、脱ガス、アニーリング、基板の検査、堆積及び/又はエッチングを含む1以上の準備ステップを包含するがこれらに制限されない。プロセスレシピステップ302を完了した後、基板は搬送経路A2に従って、図13Aに示されるように位置114Aのプロセスチャンバに搬送される。一実施形態においては、図13Aに示される第1処理チャンバはバッチ処理チャンバ201である。この場合、システムコントローラは、バッチ処理チャンバを2つ以上の基板で装填し、各基板は、以前の処理シーケンスステップ、例えば、図13Aに示されるA1とA2の搬送経路とそれらの関連したプロセスレシピステップ、例えば、図14Aに記載される準備ステップ302に従って処理される。バッチ処理チャンバ201内でプロセスレシピステップ304を行った後、基板は、図13Aと図14Aに示されているように、搬送経路A3-A5とそれぞれのプロセスレシピステップ306-310に従って単一基板処理チャンバ202C〜202A内で順次処理される。一実施形態においては、プロセスレシピステップ304は酸化ハフニウム(HfO)の堆積ステップ及び/又はAlALD堆積ステップである。一実施形態においては、プロセスレシピステップ306〜310は次のプロセス、RTP、DPN、PVD、CVD(例えば、CVD多結晶シリコン、TEOS等)の1つ、又は計測処理ステップより選ばれるのがよい。
[00137]図13Aと図14Aを参照すると、最後のプロセスレシピステップが基板上で完了した後に、基板は搬送経路A6に従って、バッチロードロックに装填される。バッチロードロックを装填するプロセスは、基板の全てが処理されロードロック106Aに戻るまで順次完了される。一旦全ての基板がロードロックに戻ると、大気圧まで通気され、基板は搬送経路F11に従ってファクトリインタフェースロボット108A-Bの1つによってポッドに搬送される。図13Aと図14Aに示される他のプロセスシーケンスの実施形態は、また、バッチ処理チャンバがプロセスシーケンスにおいて第2又は第3のプロセスチャンバであってもよく、その場合、以前のプロセスシーケンスがバッチ処理チャンバ201に入る前に基板上で行われる計画を含んでいる。他の実施形態においては、バッチ処理ステップ後には2つのプロセスステップだけが完了し、従って、搬送経路A5がロードロック106Aに基板を分配する。更に他の実施形態においては、バッチ処理ステップ後に一つのプロセスステップだけが完了し、従って、搬送経路A4がロードロック106Aに基板を分配する。
[00138]図13Bは、基板“W”が基板搬送経路B1-B7に従ってクラスタツール100を通って搬送される処理シーケンスを示す一実施形態である。図13Bに示される処理シーケンスに関連したプロセスレシピステップは、更に図14Bに示されている。この実施形態においては、基板は位置105Aに配置されたポッドから取り出され、搬送経路FI1に従ってロードロック106Aに分配される。ロードロック106Aがバッチロードロックである場合、システムコントローラ102はロードロック106A(図示せず)にロードロックカセットを装填し、基板がメインフレーム110へ搬送され得るようにロードロックをポンプダウンする。一旦ロードロック106Aがポンプダウンされると、搬送経路B1に従ってロードロック106からサービスチャンバ116Aに任意に搬送されてもよく、準備ステップ302は基板上に完了される。準備ステップ302が完了した後には、基板は位置114A-Dに取付けられた処理チャンバに搬送される。一実施形態においては、基板は、搬送経路B2に従って、図13Bに示されるように、位置114Aに取付けられたプロセスチャンバに搬送される。一実施形態においては、図13Bに示されるように、第1処理チャンバはバッチ処理チャンバ201である。この場合、システムコントローラ102は、図13Bに示されるB1とB2搬送経路と図14Bに示されるそれらの関連したプロセスレシピステップ302に従ってバッチ処理チャンバ201に2枚以上の基板を装填する。プロセスレシピステップ304がバッチ処理チャンバ201内で完了した後には、基板はバッチ処理チャンバ201が空になるまで搬送経路B3に従って一枚ずつロードロック106Aに戻る。次に、ロードロック106Aに収容された基板は、図13Bと図14Bに示されるように、それぞれ搬送経路B4-B6とプロセスレシピステップ306〜308に従って、単一基板処理チャンバ202A〜202C内で順次処理される。一実施形態においては、プロセスレシピステップ304は酸化ハフニウム(HfO)堆積ステップ及び/又はAlALD堆積ステップである。一実施形態においては、プロセスレシピステップ308〜310は次のプロセス、RTP、DPN、PVD、CVD(例えば、CVD多結晶シリコン、TEOS等)の1つ、又は計測処理ステップより選ばれるのがよい。
[00139]図13Bと図14Bを参照すると、最後のプロセステップが基板のそれぞれについて完了した後に、基板は搬送経路B7に従ってバッチロードロックに装填される。一旦基板がすべてロードロック106Aに戻ると、ロードロックは大気圧に通気され、基板は搬送経路F11に従ってファクトリインタフェースロボット108A-Bの1つによってポッドに搬送される。図13Bに示されるプロセスシーケンスは、バッチ処理チャンバ201に装填していないプロセスシーケンスの作用が上のバッチ処理チャンバ201になく、位置105B-Dの1つに取付けられた他のポッドからロードロック106Bに装填された基板がバッチ処理チャンバ201に装填され処理することができ、続いてのプロセス202A-Cがロードロック106Aに最初に装填された基板について完了されるので、図13Aに示したプロセスシーケンスと異なる。他の実施形態においては、プロセスシーケンスは図13Bと図14Bに示されるより少ないプロセスシーケンスステップであるのがよい。
[00140]図13Cは、基板“W”が基板搬送経路C1-C4に従ってクラスタツール100を通って搬送されるプロセスシーケンスの一実施形態を示す図である。図13Cに示されるプロセスシーケンスに対する関連したプロセスレシピステップは、更に図14Cに示されている。この実施形態においては、基板は位置105Aに配置されたポッドから取り出され、搬送経路FI1に従ってロードロック106Aに配置されている。ロードロック106がバッチロードロックである場合、ファクトリインタフェースロボット108A-Bは、ロードロック106Aに取付けられたロードロックカセット(図示せず)を最大になりその後ポンプダウンされるまで装填する。一旦ロードロック106Aがポンプダウンされると、基板は搬送経路C1に従ってロードロック106Aからサービスチャンバ116A又は116Bに任意に搬送されてもよく、1以上の準備ステップ322が基板について完了する。処理後には、基板は搬送経路C2に従って、位置114C又は114Dに取付けられた処理チャンバに搬送される。一実施形態においては、図13Cに示される第1処理チャンバは、基板プロセスステップ324が基板上で行われのがよい単一基板処理チャンバ202A又は202Bである。一実施形態においては、基板処理ステップ324は一つ以上のプロセス方法シーケンスを含み、基板の脱ガス、アニーリング、前洗浄、計測又は基板検査、堆積及び/又はエッチングを含むがこれらに限定されない1を超えるプロセスレシピステップを包含することができる。カリフォルニア州サンタクララから入手できるPre-CleanII ChamberTMのような前洗浄チャンバは、酸化物の所望されない層を除去することにより基板を洗浄する。処理チャンバ201A又は202Bの1つで処理された後には、基板は、搬送経路C3に従ってバッチ処理チャンバ201に搬送される。この場合、図13Cと図14Cに示されている方法ステップ322と324のように、新ステムコントローラはバッチ処理チャンバへ搬送経路C1とC2に従って処理された二つ以上の基板を組み込む。プロセスレシピステップ326はバッチ処理チャンバ201内で基板上に完了される。一実施形態においては、プロセスレシピステップ326は酸化ハフニウム(HfO)堆積ステップ及び/又はAlALD堆積ステップである。
[00141]図13Cと図14Cに示すプロセスシーケンスの一実施形態においては、単一基板処理チャンバ202A又は202Bで行われる第1基板プロセスは、バッチ処理チャンバ201に配置される前に基板が所望される温度まで予熱される予熱プロセスである。この処理シーケンスの使用はバッチウエハプロセスを開始する前にバッチ処理チャンバ201内で基板温度を安定化するのに必要とされる時間を最短にし、よってプロセスシーケンス処理能力を増大させることができる。このプロセスシーケンスは、放射熱伝達法によって基板に熱伝達する能力がこれらの低処理温度で効率的でないので、バッチプロセスが約350℃未満の温度で行われることが意図される場合に重要である。例示的な予熱プロセスは、例えば、約250℃の温度のバッチ処理チャンバ内で基板を処理する前に約250℃の温度で基板を予熱させることがよい。本発明の一態様においては、単一基板処理チャンバは2枚以上の基板を所望される温度に同時に予熱するように適合されているバッチ基板予熱チャンバ(図示せず)に取り替えられている。
[00142]一実施形態においては、予熱プロセスは、基板がバッチ処理チャンバ201に配置される前にバッチロードロックチャンバ106内で行われる。本発明の一態様においては、チャンバが放射熱伝達法(例えば、ランプ、抵抗ヒータ等)の使用又はバッチロードロックカセット内で保持される基板の表面全体に加熱したパージガス(例えば、アルゴン等)を流すことによってポンプダウンされた後に、基板がバッチロードロックチャンバ内で予熱され得る。本発明の他の態様においては、バッチロードロックは、その中に保持される基板を予熱するように適合されている複数の熱導電性シェルフを含むロードロックカセットで固定されるのがよい。一実施形態においては、バッチロードロック106内で予熱した後に、基板はバッチ処理チャンバ内に配置される前に、1以上の単一基板処理チャンバ202A内で処理される。
[00143]クラスタツール100の一実施形態においては、予熱位置又は予熱チャンバ(図示せず)は搬送チャンバ110とバッチ処理チャンバ201の間に位置している。クラスタツール100の他の実施形態においては、予熱位置又は予熱チャンバは、フロントエンド環境104とバッチ処理チャンバ201の間に位置している。例えば、図2Cに示されるバッファ/冷却位置152内の冷却プレート153は、バッチ処理チャンバ201内に基板を配置する前に、基板の予熱するように適合されている。一実施形態においては、バッファ/冷却位置152はバッチ処理チャンバ内に基板を配置する前に基板の予熱ように適合され、また、バッチ処理チャン201内で処理した後に基板を冷却するように適合されている。この構造において、バッファ/冷却位置152は熱電デバイス又は基板を加熱及び/又は冷却するために温度制御された流体熱交換本体を用いてもよい。
[00144]図13Cと図14Cを参照すると、その後、基板は搬送経路C4に従って、バッチ処理チャンバ201が空になるまで、ロードロック106Aに搬送される。一旦基板が全て戻ると、ロードロックは大気圧まで通気され、基板は搬送経路FI1に従って一枚ずつポッドに搬送される。
[00145]一実施形態においては、プロセスステップ328は、図13Cに示され、更に図13Dと図14Dに示されている処理シーケンスに加えられる。この実施形態においては、基板は、バッチ処理チャンバ201内で処理された後、搬送経路C4’に従ってポストバッチ処理チャンバに搬送される。プロセスレシピステップ328が処理チャンバ202D内で完了した後、基板は搬送経路5C’に従ってロードロック106Aに搬送される。
[00146]図13と図13Fは、図2Cに示されるクラスタツール100とともに使用し得る2つの異なる処理シーケンスを示している。図13Eは、基板“W”が搬送経路E1-E4とFI1-FI3に従ってクラスタツール100を通って搬送される処理シーケンスの一実施形態を示す図である。図13Eに示される処理シーケンスに関連した処理ステップは更に図14Eに示されている。この実施形態においては、基板は位置105Aに配置されるポッドから取り出され、搬送経路FI1に従うことにより、バッチ基板処理チャンバ201に装着されたチャンバ150Aのバッファ/冷却位置152Aに配置されている。基板がバッファ/冷却位置152Aから下りた後に、基板分配メカニズム154Aは基板を搬送経路E1に従って装着されたバッチ処理チャンバ201に搬送する。システムコントローラ102は、図13Eに示される搬送経路FI1とE1に従ってバッチ処理チャンバ201に2枚以上の基板を装填することができる。バッチ処理ステップ304がバッチ処理チャンバ201内で完了した後には、基板は搬送経路E2に従ってバッファ/冷却位置152Aに搬送され、そこで、基板は次の処理ステップに搬送され得るように冷却され得る。その後、基板は、搬送経路FI2に従ってバッファ/冷却位置152Aからバッファ/冷却チャンバ152Bへ分配される。基板がバッファ/冷却位置152Bから下りた後に、基板分配メカニズム154Bは、基板を搬送経路E3に従って装着された単一基板処理チャンバ202Aへ搬送する。単一基板処理ステップ306が単一基板処理チャンバ202A内で完了した後には、基板は、基板が搬送経路FI3に従ってポッドに搬送され得るように冷却されてもよい、搬送経路E4に従ってバッファ/冷却位置152Bに搬送される。
[00147]図13Fは、単一基板処理チャンバ202A内に基板を分配することを示している。図13Fは、基板“W”が基板搬送経路F1-F4とFI1-FI3に従ってクラスタツール100を通って搬送される処理シーケンスの一実施形態を示す図である。図13Fに示される処理シーケンスに関連したプロセスステップは、更に図14Fに示されている。この実施形態においては、基板は位置150Bの配置されたポッドから取り出され、搬送経路FI1に従って、単一基板処理プロセスチャンバ202Aに装着されたチャンバ150Bのバッファ/冷却位置152B内に配置されている。基板がバッファ/冷却位置152Bから下りた後、基板搬送メカニズム154Bは基板を装着された単一基板処理チャンバ202Aへ搬送する。単一基板処理ステップ304がバッチ処理チャンバ202A内で完了した後には、基板は搬送経路F2に従ってバッファ/冷却位置152Bに搬送され、そこで基板は次のプロセスステップへ分配され得るように冷却されるのがよい。その後、基板は、搬送経路FI2に従ってバッファ/冷却位置152Bからバッファ/冷却位置152Aに搬送される。基板がバッファ/冷却位置152Aから下りた後、基板搬送メカニズム154Aは、基板を搬送経路F3に従って装着されたバッチ処理チャンバ201へ搬送する。システムコントローラ102は、図13Fに示される搬送経路FI1、F1-F2、FI2そしてF3に従ってバッチ処理チャンバ201に2枚以上の基板を装填することができる。処理ステップ306がバッチ処理チャンバ201内で完了した後には、基板は搬送経路F4に従ってバッファ/冷却位置152Aに搬送され、そこで基板は搬送経路FI3に従ってポッドに搬送され得るように冷却されてもよい。
[00148]本発明の一態様においては、図2C-図2Eと図13E-図13Fに示されるシステムコントローラ102は、第一処理チャンバ(例えば、単一基板処理チャンバ202A又はバッチ処理チャンバ201)内で処理された後の雰囲気にさらされた後で次の処理レシピステップに処理される前に基板の待ち時間をモニタするように適合されている。例えば、図13Eに示す実施形態のシステムコントローラ102は、バッファ/冷却チャンバ152Aに配置された時間から基板が単一基板処理チャンバ202A(例えば、搬送経路ステップE2、FI2、E3)内に配置されるまで基板をさらすタイミングを開始するのがよく、よって単一基板処理チャン202Aが基板を受け取る準備ができるまでバッファ/冷却位置152Aに基板を配置しない。このように、基板が汚染物質にさらされる時間が2つのプロセスレシピステップ(例えば、処理ステップ304と処理ステップ306)の間で最小限になる。
プロセスレシピシーケンス
酸化ハフニウム/酸化アルミニウムのコンデンサスタックの実施例
[00149]図15Aと図15Bは、本発明の態様に用いる処理シーケンス6を用いて製造し得るコンデンサ構造5を示す断面図である。一実施形態においては、以下に述べられるコンデンサ構造を製造するために用いられるプロセスシーケンスは、図15Dに示される搬送経路に従って、図2Bに示される構成と同様のクラスタツール100上に完了されるのがよい。コンデンサ構造5は、一般的には、基板1、底部導電層2、誘電体層3、上部導電層4を含む。一実施形態においては、処理の前にトレンチ1が基板1の表面に形成されるように従来のリソグラフとエッチング技術を用いてトレンチ1Aが基板に形成される。トレンチ1Aが1枚以上の基板に形成された後、図15Cに示されるプロセスシーケンスに従って、また、図15Dに示す搬送経路(要素G1-G8)に従って、層2-4が基板表面に形成され得るようにクラスタツール100に運ばれる。基板は、まず、サービスチャンバ116A(又は106B図示せず)内に置かれ、サービスチャンバ116A内に取付けられたIRランプを用いて脱ガスされる。本発明の一態様においては、前洗浄プロセスステップ302はサービスチャンバ116A内で基板について完了されて、あらゆる表面汚染物質を除去するのがよい。
[00150]プロセスシーケンス6における第2プロセスレシピステップ304は、基板1表面上とトレンチ1A内の底部導電層2の堆積である。プロセスレシピステップ304は単一基板処理チャンバ202A内で完了することができ、1000オングストロームの金属、例えばタンタル、窒化タンタル、タングステン、チタン、プラチナ、窒化チタン、ドープされたポリシリコン、ルテニウムがCVD、PVD又はALD堆積プロセスを用いて堆積される。プロセスレシピステップ304を行う前に、基板は搬送経路G2に従ってサービスチャンバ116Aから一つの基板処理チャンバ202Aに搬送される。
[00151]次のプロセスレシピステップ306(例えば、306A-D)は、1以上の誘電材料の1以上の層を堆積させてコンデンサ構造5の誘電体層3の形成を援助するように行われる。図15Aと図15Bは、3誘電体層(即ち、3A-C)が底部導電層2上に堆積されるような本発明の一態様を示し、最後の表面処理プロセス3Dは最後の誘電体層3Cの最上層上で行われる。基板表面に堆積される誘電体層の数と厚さは、デバイス性能の要求を満たすのに必要とされるように変動させることができ、従って、本明細書に記載されるプロセスシーケンスの説明又は図は本発明の範囲を制限することを意図しない。
[00152]第3プロセスレシピステップ306Aは、CVD又はALD処理技術を用いて底部導電層2上に最初の誘電体層3Aを堆積させる。例えば、第1誘電体層3AはALD型プロセスを用いて堆積された30オングストローム厚の酸化ハフニウム又はケイ酸ハフニウム(例えば、酸化シリコンハフニウム)層である。例えば、酸化ハフニウム又はケイ酸ハフニウムの堆積速度が遅いので、30オングストロームを堆積する時間は約200分間程度かかることがあり、この不釣合いに長いプロセスステップはバッチ処理チャンバ201A内で完了する。それ故、クラスタツールの処理能力を最大にするために、バッチ処理チャンバ201Aは、バッチ処理ステップ306Aを開始する前に、第1プロセスレシピステップと第2プロセスレシピステップ302と304を完了した2以上の基板で装填される。ALD酸化ハフニウム又はケイ酸ハフニウム膜を形成する例示的方法の一例は、更に、2004年5月12日出願の“AtomicLayer Depositionof Hafnium-Containing High-K Materials”と称する米国特許仮出願第60/570,173号[APPM8527L]であり、この開示内容は本明細書に主張された態様と開示と矛盾しない程度まで本明細書に援用されている。プロセスレシピステップ306を行う前に、基板は、搬送経路G3に従って単一基板処理チャンバ202Aから第1バッチ処理チャンバ201Aに搬送される。
[00153]第4プロセスレシピステップ306Bは、CVD又はALDプロセス技術を用いて第1誘電体層3A上に第2誘電体層3Bを堆積させる。例えば、第2誘電体層3BはALD型プロセスを用いた30オングストローム厚の酸化アルミニウム層である。一方、図15Cと図15Dは、あらゆるプロセスの相互作用又は汚染関係を最小にするために、基板を第1バッチ処理チャンバ201Aから第2バッチチャンバ201Bに搬送するプロセスを示している。一実施形態においては、双方の堆積プロセス(例えば、306Aと306B)は同一のバッチ処理チャンバ内で完了されている。ALD酸化アルミニウムプロセス堆積速度が遅いので、30オングストロームを堆積させる時間は約20-45分かかり得るので、この不釣合いの長いプロセスステップはバッチ処理チャンバ201B内で完了されている。それ故、クラスタツール処理能力を最大限にするために、バッチ処理チャンバ201Bは、バッチ処理ステップ206Bを開始する前に、第1、第2、第3プロセスレシピステップ302、304、306Aを完了した2枚以上の基板で装填される。ALD酸化アルミニウム膜を形成する例示的な方法の一例は、更に、2002年11月21日出願の“Aluminium Oxide Chamber and Process”と称する米国特許出願第10/302,73号に記載され、この開示内容は本明細書に主張された態様と開示と矛盾しない程度まで本明細書に援用されている。プロセスレシピステップ306Bを行う前に、基板は搬送経路G4に従って第1バッチ処理チャンバ201Aから第2バッチ処理チャンバ201Bに搬送される。
[00154]第5プロセスレシピステップ306Cは、CVD又はALDプロセス技術を用いて第2誘電体層3B上に第3誘電体層3Cを堆積させる。例えば、第1誘電体層3AはALD型プロセスを用いた30オングストローム厚の酸化ハフニウム層又はケイ酸ハフニウム層である。酸化ハフニウム又はケイ酸ハフニウムの堆積速度が遅いので、バッチ処理チャンバ201Bの相互汚染を避けるために、この不釣合いに長いプロセスステップはバッチ処理チャンバ201A内で完了されている。それ故、クラスタツール処理能力を最大限にするために、バッチ処理チャンバ201Aは、バッチ処理ステップ306Cを開始する前に第1、第2、第3、第4プロセスレシピステップ302、304、306A、306Bを完了した2枚以上の基板で装填される。プロセスレシピステップ306Cを開始する前に、基板は搬送経路G5に従って第2バッチ処理チャンバ201Bから第1バッチ処理チャンバ201Aに搬送される。
[00155]第6プロセスレシピステップ306Dは、第3誘電体層3Cの表面上にDPN処理技術を順次行うように構成されている単一基板処理チャンバ202B内で完了されるプラズマ窒化物形成プロセスステップである。例えば、基板は、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手できるCENTURATMDPNチャンバのようなDPNチャンバに搬送される。DPNプロセス中、誘電体層3CはNとアルゴンのような希ガスプラズマを同時に流すことによって形成される原子のNと衝突する。Nに加えて、他の窒素含有ガスは、NH、ヒドラジン(例えば、N又はMeN)、アミン(例えば、MeN、MeNH又はMeNH)、アニリン(例えば、CNH)、アジド(例えば、MeN又はMeSiN)のような窒素プラズマを形成するのに用いることができる。プラズマプロセスに用いることができる他の希ガスとしてはヘリウム、ネオン、キセノンが挙げられる。窒化物形成プロセスの長さは約10秒〜約120秒の間であり得る。窒素物形成プロセスは、典型的には、約900ワット〜約2,700ワットに設定するプラズマ出力と約10ミリトール〜約100ミリトールのプロセス圧力で行われる。窒素は約0.1slm〜約1.0slmの流量を有し、希ガスは約0.1slm〜約1.0slmの流量を有する。好ましい実施形態においては、窒化物形成プロセスはDPNプロセスであり、ArとNを同時に流すことによりプラズマを含んでいる。プロセスレシピステップ306Dを行う前に、基板は搬送経路G6に従って第1基板処理チャンバ201Bから第2単一基板処理チャンバ202Bに搬送される。
[00156]プロセスシーケンス6における第6と最後のプロセスレシピステップ307は、トレンチ1Aの残部を充填する誘電体層3の表面上の上部導電層4の堆積である。プロセスレシピステップ307は、単一基板処理チャンバ202A内で完了することができ、上部導電層4、例えば、タンタル、窒化タンタル、プラチナ、チタン、窒化チタン、ドープされたポリシリコン又はルテニウムがCVD、PVD又はALD堆積プロセスを用いて堆積される。プロセスレシピステップ307を行う前に、基板は搬送経路G7に従って第2単一基板処理チャンバ202Bから単一基板処理チャンバ202Aに搬送される。その後、1つ又は複数の基板は、搬送経路G8とFI1に従って単一基板処理チャンバ202Aからポッド105Aに搬送される。
[00157]上記は本発明の実施形態に関するが、本発明の他の多くの実施形態はその基本的な範囲から逸脱することなく構成されてもよく、本発明の範囲は以下の特許請求の範囲によって決定される。
図1は、本発明を有利に用いることができる半導体処理に典型的な従来技術の処理システムの平面図である。 図2Aは、本発明を有利に用いることができる半導体処理に適合されているバッチ処理チャンバと単一処理チャンバを含む典型的な処理システムの平面図である。 図2Bは、本発明を有利に用いることができる半導体処理に適合されている2つのバッチ処理チャンバと単一処理チャンバを含む典型的な処理システムの平面図である。 図2Cは、本発明を有利に用いることができる半導体処理に適合されているバッチ処理チャンバと単一処理チャンバを含む典型的な大気中の搬送処理システムの平面図である。 図2Dは、本発明を有利に用いることができる半導体処理に適合されているバッチ処理チャンバと2つの単一処理チャンバを含む典型的な大気中の搬送処理システムの平面図である。 図2Eは、本発明を有利に用いることができる半導体処理に適合されている2つのバッチ処理チャンバを含む典型的な大気中の搬送処理システムの平面図である。 図2Fは、本発明を有利に用いることができる半導体処理に適合されている2つのバッチ処理チャンバを含んでいる典型的な大気中の搬送処理システムの平面図である。 図2Gは、本発明を有利に用いることができる半導体処理に適合されるのがよいバッチ処理チャンバを含む典型的な大気中の搬送処理システムの側断面図である。 図2Hは、本発明を有利に用いることができる半導体処理に適合されるのがよいバッチ処理チャンバを含む典型的な大気中の搬送処理システムの側断面図である。 図2Iは、本発明を有利に用いることができる半導体処理に適合されているバッチ処理チャンバを含む典型的な処理システムの平面図である。 図3は、本発明に従ったバッチ処理チャンバの側面図である。 図4は、図3のバッチ処理チャンバの平面図である。 図5は、図3のバッチ処理チャンバの底面図である。 図6は、装填/非装填位置(ボトムヒータは図示せず)のカセットを持つ図3のバッチ処理チャンバの断面図である。 図7は処理位置(ボトムヒータは図示せず)のカセットを持つ図3のバッチ処理チャンバの断面図である。 図8は、図3のバッチ処理チャンバの上部の平面の断面図である。 図8Aは、図8のバッチ処理チャンバの上部の壁の平面の断面図である。 図8Bは、半円熱シールドを持つ図3のバッチ処理チャンバのチャンバの上部の平面の断面図である。 図9は、図3のバッチ処理チャンバのガス分配と排気のマニフォールド部分の概略図である。 図10は、図3のバッチ処理チャンバに処理ガスを分配するための前駆物質分配システムの概略図である。 図10Aは、図3のバッチ処理チャンバに処理ガスを分配するための前駆物質分配システムの概略図である。 図11は、従来技術のバッチ処理垂直拡散炉チャンバの断面図である。 図12は、図3のバッチ処理チャンバを通る対流型前駆ガスフローの概略図である。 図13Aは、本発明を有利に用いることができる基板処理シーケンスのための基板搬送経路の概略図を示す典型的な処理システムの平面図である。 図13Bは、本発明を有利に用いることができる基板処理シーケンスのための基板搬送経路の概略図を示す典型的な処理システムの平面図である。 図13Cは、本発明を有利に用いることができる基板処理シーケンスのための基板搬送経路の概略図を示す典型的な処理システムの平面図である。 図13Dは、本発明を有利に用いることができる基板処理シーケンスのための基板搬送経路の概略図を示す典型的な処理システムの平面図である。 図13Eは、本発明を有利に用いることができる基板処理シーケンスのための基板搬送経路の概略図を示す、図2Cに示されている典型的な処理システムの平面図である。 図13Fは、本発明を有利に用いることができる基板処理シーケンスのための基板搬送経路の概略図を示す、図2Cに示されている典型的な処理システムの平面図である。 図14Aは、図13Aに示されている基板処理シーケンスに用いられるプロセスレシピステップを示す図である。 図14Bは、図13Bに示されている基板処理シーケンスに用いられるプロセスレシピステップを示す図である。 図14Cは、図13Cに示されている基板処理シーケンスに用いられる他のグループのプロセスレシピステップを示す図である。 図14Dは、図13Dに示されている基板処理シーケンスに用いられる他のグループのプロセスレシピステップを示す図である。 図14Eは、図13Eに示されている基板処理シーケンスに用いられる他のグループのプロセスレシピステップを示す図である。 図14Fは、図13Fに示されている基板処理シーケンスに用いられる他のグループのプロセスレシピステップを示す図である。 図15Aは、本発明の実施形態を用いて形成され得るコンデンサ構造の断面図である。 図15Bは、図15Aに示されているコンデンサ構造の一領域の拡大図である。 図15Cは、図15Aに示されているコンデンサ構造を形成するために用いられ、また、図15Dに示されているプロセスシーケンスに従って用いられるグループのプロセスレシピを示す図である。 図15Dは、本発明を有利に用いることができる基板処理シーケンスのための基板搬送経路の概略図を示す典型的な処理システムの平面図である。
符号の説明
2…底部導電層、3…誘電体層、4…上部導電層、5…コンデンサ構造、10…チャンバ壁、11…熱源、12…基板支持体、13…注入口、14…排出口、22…真空チャンバ、24…密封構造、32…上部プレート、36…スリットバルブ開口、38…底部プレート、46…カセット、48…シャフト、60…シールプレート、61…石英リング、62…サセプタ、66…リフトロッド、100…クラスタツール、102…システムコントローラ、104…フロントエンド環境、105…ポッド、106…ロードロック、108…ファクトリインタフェースロボット、110…搬送チャンバ、113…ロボット、150…バッファチャンバ、153…冷却プレート、154…基板搬送機構、156…スリットバルブ、157…真空ポンプ、171…真空ポンプシステム、180…シャッタアセンブリ、181…シャッタドア、185…シェルフ、186…カセット、190…ろ過ユニット、192…ファンユニット、200…ガス注入マニフォールドアセンブリ、201…バッチ処理チャンバ、202…基板処理チャンバ、203…注入ダクト、204…混合チャンバ、206…流量制御デバイス、208…ポート、210…注入プレート、300…排気マニフォールドアセンブリ、351…排気プレナム、352…排気プレート、354…排気ポート、355…排出ライン、357…ゲートバルブ、400…加熱構造、401…石英窓、402…ハロゲンランプ、406…クランプ、410…ガスケット、412…ストリップ、422…熱シールドプレート、424…クランプ、425…ボルト、442…ミルドチャネル、446…ミルドチャネル、500…ガス分配モジュール、501…ガス源、502…ガス源、507…加熱構造、512…ガス源、520…アンプル、525…計量ポンプ、530…蒸発器、535…遮断弁、540…収集容器アセンブリ、541…加熱素子、542…ヒータコントローラ、543…容器、544…センサ、546…注入口、550…加熱構造、560…再循環システム、561…再循環収集容器、563…温度コントローラ、566…再循環排出バルブ、567…再循環注入バルブ、568…加熱/冷却素子、570…センサ、572…熱制御システム、600…リフト回転機構、601…回転モータ、700…リフト機構。

Claims (25)

  1. 基板処理装置であって、
    通常は大気圧で維持されている搬送領域を有するファクトリインタフェースと、
    基板を加熱及び/又は冷却するように適合されている冷却プレートと、
    該ファクトリインタフェースの該搬送領域と連通しているバッチ可能な基板処理チャンバと、
    該冷却プレートと該バッチ可能な基板処理チャンバの間に1枚以上の基板を搬送するように適合されている該搬送領域に位置した搬送ロボットと、
    を備えた前記装置。
  2. 該ファクトリインタフェースが、該搬送領域にろ過した空気を供給するように適合されているろ過ユニットを更に備えている、請求項1記載の装置。
  3. 2枚以上の基板を含むように適合されているポッドを更に備え、該搬送ロボットが該ポッド内に位置する該基板に接近するように更に適合されている、請求項1記載の装置。
  4. 該ファクトリインタフェースの該搬送領域と連通している第2バッチ可能な基板処理チャンバを更に備えている、請求項1記載の装置。
  5. 該ファクトリインタフェースの該搬送領域と連通している基板処理チャンバを更に備え、該第2基板処理チャンバが脱結合プラズマ窒化物(DPN)チャンバ、急速熱処理(RTP)チャンバ、化学気相堆積(CVD)チャンバ、原子層堆積(ALD)チャンバ、物理気相堆積(PVD)チャンバ、又は計測チャンバである、請求項1記載の装置。
  6. 該バッチ可能な基板処理チャンバが、基板上で化学気相堆積(CVD)プロセス又は原子層堆積(ALD)プロセスを行うように適合されている、請求項1記載の装置。
  7. 基板処理装置であって、
    通常は大気圧で維持されている搬送領域を有するファクトリインタフェースと、
    基板を加熱及び/又は冷却するように適合されている冷却プレートと、
    該ファクトリインタフェースの該搬送領域と連通しているバッチ可能な基板処理チャンバであって、該バッチ可能な基板処理チャンバアセンブリが、
    内部プロセス容積を形成する1以上の壁を有する基板処理領域、
    内部バッファ容積を形成する1以上の壁を有する基板バッファ領域であって、該基板バッファ領域が該基板処理領域に隣接して位置している、前記基板バッファ領域、
    2枚以上の基板を支持するように適合されているプロセスカセットであって、該プロセスカセットがリフト機構の使用によって該内部バッファ容積と該内部プロセス容積の間に搬送可能である、前記プロセスカセット、
    を備えている前記バッチ可能な基板処理チャンバと、
    該冷却プレートと該プロセスカセットの間に1枚以上の基板を搬送させるように適合されている該搬送領域内に位置する搬送ロボットと、
    を備えた前記装置。
  8. 該基板処理領域が、該基板バッファ領域の上に位置している、請求項7記載の装置。
  9. 2枚以上の基板を含むように適合されているポッドと、
    該冷却プレートと該ポッドの間の該ポッド内に位置する該2枚以上の基板の1枚を搬送するように適合されている第2ロボットと、
    を更に備えている、請求項7記載の装置。
  10. 該搬送領域と該基板バッファ領域の該内部バッファ容積の間に密封して位置し且つ該搬送領域から該内部バッファ容積を流体的に分離するように適合されているスリットバルブと、
    該バッファ領域と流体で連通している真空ポンプであって、該真空ポンプが該基板バッファ領域内の圧力を大気圧未満の圧力まで低下させるように適合されている、前記真空ポンプと、
    を更に備えている、請求項7記載の装置。
  11. 該バッチ可能な基板処理チャンバアセンブリの該内部プロセス容積と流体で連通しているガス分配システムを更に備え、該ガス分配システムが該内部プロセス容積に前駆物質含有ガスを分配するように適合されているので、化学気相堆積(CVD)プロセス又は原子層堆積(ALD)プロセスをその中に位置する1枚以上の基板上で行うことができる、請求項7記載の装置。
  12. 該搬送ロボットが、該冷却プレートと該プロセスカセットの間に基板を同時に搬送するように適合されている複数のロボットブレードを有する、請求項7記載の装置。
  13. 該バッチ可能な基板処理チャンバアセンブリが、該基板処理領域と該基板バッファ領域の間に位置するシャッタを更に備え、該シャッタが該内部バッファ容積から該内部プロセス容積を密封して位置ように適合されている、請求項7記載の装置。
  14. 基板処理装置であって、
    通常は大気圧で維持されている搬送領域を有するファクトリインタフェースと、
    2枚以上の基板を含むように適合されているポッドであって、該ポッドが該ファクトリインタフェースの該搬送領域と連通している、前記ポッドと、
    該ファクトリインタフェースの該搬送領域と連通している第1バッチ可能な基板処理チャンバアセンブリであって、該第1バッチ可能な基板処理チャンバアセンブリが、
    第1内部プロセス容積を形成する1以上の壁を有する第1基板処理領域、
    第1内部バッファ容積を形成する1以上の壁を有する第1搬送領域であって、該第1搬送領域が該第1基板処理領域に隣接して位置している、前記第1搬送領域、
    2枚以上の基板を支持するように適合されている第1プロセスカセットであって、該第1プロセスカセットがリフト機構の使用によって該第1内部バッファ容積と該第1内部プロセス容積の間に搬送可能である、前記第1プロセスカセット、
    を備えている、前記第1バッチ可能な基板処理チャンバと、
    該ファクトリインタフェースの該搬送領域と連通している第2バッチ可能な基板処理チャンバアセンブリであって、該バッチ可能な基板処理チャンバアセンブリが、
    第2内部プロセス容積を形成する1以上の壁を有する第2基板処理領域、
    第2内部バッファ容積を形成する1以上の壁を有する第2搬送領域であって、該第2搬送領域が該第2基板処理領域に隣接して位置している、前記第2搬送領域、
    2枚以上の基板を支持するように適合されている第2プロセスカセットであって、該第2プロセスカセットがリフト機構の使用によって該第2内部バッファ容積と該第2内部プロセス容積の間に搬送可能である、前記第2プロセスカセット、
    を備えている、前記第2バッチ可能な基板処理チャンバアセンブリと、
    該第1内部プロセス容積、該第2内部プロセス容積、該第1内部バッファ容積、及び該第2内部バッファ容積からなる群より選ばれる少なくとも1つの領域内の圧力を低下させるように適合されている真空ポンプと、
    該ポッドと該第1プロセスカセット又は第2プロセスカセットの間に1枚以上の基板を搬送させるように適合されている該搬送領域内に位置する搬送ロボットと、
    を備えた前記装置。
  15. 少なくとも1つのガス分配システムが該第1バッチ可能な基板処理チャンバアセンブリと第2バッチ可能な基板処理チャンバアセンブリの該内部プロセス容積と流体で連通している複数のガス分配システムを更に備え、各ガス分配システムが該内部プロセス容積に前駆物質含有ガスを分配するように適合されているので、化学気相堆積(CVD)プロセス又は原子層堆積(ALD)プロセスをその中に位置する1以上の基板上で行うことができる、請求項14記載の装置。
  16. 該ファクトリインタフェースが、該搬送領域にろ過した空気を供給するように適合されているろ過ユニットを更に備えている、請求項14記載の装置。
  17. 該第1バッチ可能な基板処理チャンバアセンブリと該第2バッチ可能な基板処理チャンバアセンブリが共に該基板処理領域と該基板バッファ領域の間に位置するシャッタを更に備え、該シャッタが該内部バッファ容積から該内部プロセス容積を分離するために密封して位置するように適合されている、請求項14記載の装置。
  18. 該基板処理領域が該基板バッファ領域の上に位置している、請求項14記載の装置。
  19. 基板処理装置であって、
    一般的には大気圧である搬送領域を有するファクトリインタフェースシステムと、
    それぞれが該搬送領域と連通している2以上のバッチ可能な基板処理チャンバであって、該2以上のバッチ可能な基板処理チャンバが、
    内部プロセス容積を形成する1以上の壁を有する基板処理領域、
    内部バッファ容積を形成する1以上の壁を有する基板バッファ領域であって、該基板バッファ領域が該基板処理領域に縦に隣接して位置している、前記基板バッファ領域、
    2枚以上の基板を支持するように適合されているプロセスカセットであって、該プロセスカセットが、リフト機構の使用によって該内部バッファ容積と該内部プロセス容積の間に搬送可能である、前記プロセスカセット、
    該基板処理領域と該基板バッファ領域の間に位置するシャッタであって、該シャッタが該内部バッファ容積から該内部プロセス容積を分離するように密封して位置されるように適合されている、前記シャッタ、
    を備えた、前記2以上のバッチ可能な基板処理チャンバと、
    該ファクトリインタフェースの該搬送領域内に位置する冷却プレートと、
    該冷却プレートと該2枚以上のバッチ基板処理チャンバの間に基板を搬送するように適合されている該搬送チャンバ内に取付けられたロボットと、
    を備えた前記装置。
  20. 少なくとも1つのガス分配システムが該2以上のバッチ可能な基板処理チャンバの各々の該内部プロセス容積と流体で連通している複数のガス分配システムを更に備え、各ガス分配システムが該内部プロセス容積に前駆物質含有ガスを分配するように適合されているので、化学気相堆積(CVD)プロセス又は原子層堆積(ALD)プロセスをその中に位置する1枚以上の基板上で行うことができる、請求項19記載の装置。
  21. 該ファクトリインタフェースが、該搬送領域にろ過した空気を供給するように適合されているろ過ユニットを更に備えている、請求項19記載の装置。
  22. 基板処理装置であって、
    通常は大気圧で維持されている搬送領域を有するファクトリインタフェースと、
    2枚以上の基板を含むように適合されているポッドであって、該ポッドが該ファクトリインタフェースの搬送領域と連通している、前記ポッドと、
    該ファクトリインタフェースの搬送領域と連通しているバッチ可能な基板処理チャンバアセンブリであって、該バッチ可能な基板処理チャンバアセンブリが、
    内部プロセス容積を形成する1以上の壁を有する基板処理領域、
    内部バッファ容積を形成する1以上の壁を有する基板バッファ領域であって、該基板バッファ領域が該基板処理領域に隣接して位置している、前記基板バッファ領域、
    2枚以上の基板を支持するように適合されているプロセスカセット、
    該内部バッファ容積と該内部プロセス容積の間に該プロセスカセットを搬送するように適合されているリフト機構、
    を備えた前記バッチ可能な基板処理チャンバアセンブリと、
    第1バッファチャンバであって、
    基板を加熱及び/又は冷却するように適合されている第1冷却プレート、
    該第1冷却プレートと該プロセスカセットの間に1枚以上の基板を搬送するように適合されている第1ロボット、
    を備えた前記バッファチャンバと、
    該搬送領域と連通している単一基板処理チャンバであって、該単一基板処理チャンバが単一基板内部プロセス容積を形成する1以上の壁を有する、前記単一基板処理チャンバと、
    第2バッファチャンバであって、
    基板を加熱及び/又は冷却するように適合されている第2冷却プレート、
    該第2冷却プレートと該単一基板処理チャンバの間に1枚以上の基板を搬送するように適合されている第2ロボット、
    を備えた前記バッファチャンバと、
    該搬送領域内に位置し且つ該第1バッファチャンバと該第2バッファチャンバと該ポッドの間に1枚以上の基板を搬送するように適合されている第3ロボットと、
    を備えた前記装置。
  23. 該単一基板処理チャンバが、脱結合プラズマ窒化物(DPN)チャンバ、急速熱処理(RTP)チャンバ、化学気相堆積(CVD)チャンバ、原子層堆積(ALD)チャンバ、物理気相堆積(PVD)チャンバ、又は計測チャンバである、請求項22記載の装置。
  24. 該バッチ可能な基板処理チャンバアセンブリの該内部プロセス容積と流体で連通しているガス分配システムを更に含み、該ガス分配システムが該内部プロセス容積に前駆物質含有ガスを分配するように適合されているので、化学気相堆積(CVD)プロセス又は原子層堆積(ALD)プロセスをその中に位置する1枚以上の基板上で行うことができる、請求項22記載の装置。
  25. 該ファクトリインタフェースが、該搬送領域にろ過した空気を供給するように適合されているろ過ユニットを更に備えている、請求項22記載の装置。
JP2007543527A 2004-11-22 2005-11-22 バッチ処理チャンバを用いた基板処理装置 Pending JP2008521261A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US63050104P 2004-11-22 2004-11-22
US64287705P 2005-01-10 2005-01-10
PCT/US2005/042762 WO2006055984A2 (en) 2004-11-22 2005-11-22 Substrate processing apparatus using a batch processing chamber

Publications (1)

Publication Number Publication Date
JP2008521261A true JP2008521261A (ja) 2008-06-19

Family

ID=36407893

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007543527A Pending JP2008521261A (ja) 2004-11-22 2005-11-22 バッチ処理チャンバを用いた基板処理装置

Country Status (7)

Country Link
US (3) US20060156979A1 (ja)
EP (1) EP1824960A2 (ja)
JP (1) JP2008521261A (ja)
KR (1) KR20070089197A (ja)
CN (1) CN101061253B (ja)
TW (1) TWI335618B (ja)
WO (1) WO2006055984A2 (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010171344A (ja) * 2009-01-26 2010-08-05 Tokyo Electron Ltd 真空処理装置
JP2011195863A (ja) * 2010-03-18 2011-10-06 Mitsui Eng & Shipbuild Co Ltd 原子層堆積装置及び原子層堆積方法
JP2014214380A (ja) * 2013-04-25 2014-11-17 エヌシーディ・カンパニー・リミテッド 大面積基板用水平型原子層蒸着装置
JP2014534644A (ja) * 2011-11-17 2014-12-18 ユ−ジーン テクノロジー カンパニー.リミテッド 補助ガス供給ポートを含む基板処理装置
JP2015504601A (ja) * 2011-11-17 2015-02-12 ユ−ジーン テクノロジー カンパニー.リミテッド 熱遮断プレートを含む基板処理装置
KR101744372B1 (ko) * 2011-01-20 2017-06-07 도쿄엘렉트론가부시키가이샤 진공 처리 장치
JP2018148194A (ja) * 2017-03-03 2018-09-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 雰囲気が制御された移送モジュール及び処理システム
JP2019529701A (ja) * 2016-09-16 2019-10-17 ピコサン オーワイPicosun Oy 原子層堆積のための装置および方法
JP2019197903A (ja) * 2014-06-16 2019-11-14 東京エレクトロン株式会社 処理装置
JP2020515082A (ja) * 2017-01-24 2020-05-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘電体膜の選択的堆積のための方法及び装置
JP2021508956A (ja) * 2018-02-27 2021-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ファクトリインターフェースチャンバのフィルタパージを用いた基板処理装置及び方法
JP2021529438A (ja) * 2018-06-25 2021-10-28 ジュソン エンジニアリング カンパニー リミテッド 基板処理装置及び基板処理方法
JP2022151937A (ja) * 2021-03-29 2022-10-12 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
JP7375069B2 (ja) 2022-03-07 2023-11-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Families Citing this family (378)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7462011B2 (en) 2004-08-12 2008-12-09 Tokyo Electron Limited Substrate processing system, substrate processing method, sealed container storing apparatus, program for implementing the substrate processing method, and storage medium storing the program
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US7351656B2 (en) * 2005-01-21 2008-04-01 Kabushiki Kaihsa Toshiba Semiconductor device having oxidized metal film and manufacture method of the same
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
KR100628887B1 (ko) * 2005-02-01 2006-09-26 삼성전자주식회사 마이크로웨이브 에너지를 이용하여 기판 상에 막을형성하는 방법 및 이를 수행하기 위한 장치
US20090209095A1 (en) * 2005-06-22 2009-08-20 Sadayoshi Horii Manufacturing Method for Semiconductor Devices and Substrate Processing Apparatus
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
GB2432590B (en) * 2005-11-24 2010-11-03 Boc Group Plc Chemical vapour deposition apparatus
KR100779118B1 (ko) * 2005-12-09 2007-11-27 주식회사 테라세미콘 평판표시장치 제조시스템
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US7522968B2 (en) * 2006-07-10 2009-04-21 Applied Materials, Inc. Scheduling method for processing equipment
WO2008008727A2 (en) * 2006-07-10 2008-01-17 Applied Materials, Inc. Scheduling method for processing equipment
US20080051930A1 (en) * 2006-07-10 2008-02-28 Oh Hilario L Scheduling method for processing equipment
JP2008034746A (ja) * 2006-07-31 2008-02-14 Tokyo Electron Ltd 塗布、現像装置、その方法及び記憶媒体
US7989366B2 (en) * 2006-08-31 2011-08-02 Applied Materials, Inc. Dopant activation in doped semiconductor substrates
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
WO2008047704A1 (fr) * 2006-10-13 2008-04-24 Omron Corporation Procédé de fabrication d'un dispositif électronique utilisant un système de traitement à réacteur à plasma
DE102006053941B3 (de) * 2006-11-15 2008-01-31 Siltronic Ag Verfahren zum Prüfen der mechanischen Bruchfestigkeit einer Halbleiterscheibe
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
KR20080057080A (ko) * 2006-12-19 2008-06-24 삼성전자주식회사 증착장치 및 증착방법
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20080199995A1 (en) * 2007-02-15 2008-08-21 Debra Susan Woolsey Integrated Hydrogen Anneal and Gate Oxidation for Improved Gate Oxide Integrity
US8950998B2 (en) * 2007-02-27 2015-02-10 Brooks Automation, Inc. Batch substrate handling
US20080220150A1 (en) * 2007-03-05 2008-09-11 Applied Materials, Inc. Microbatch deposition chamber with radiant heating
CN101674893B (zh) * 2007-05-09 2012-08-08 应用材料公司 用真空延伸室储放遮盘的传输室及包含该传输室的主框架及设备组
US20080276867A1 (en) * 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
US20090004405A1 (en) * 2007-06-29 2009-01-01 Applied Materials, Inc. Thermal Batch Reactor with Removable Susceptors
US7790628B2 (en) * 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US8834969B2 (en) * 2007-10-24 2014-09-16 Oerlikon Advanced Technologies Ag Method for manufacturing workpieces and apparatus
US7964515B2 (en) * 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
KR101043211B1 (ko) * 2008-02-12 2011-06-22 신웅철 배치형 원자층 증착 장치
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP4961381B2 (ja) * 2008-04-14 2012-06-27 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US10041169B2 (en) * 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
US8282334B2 (en) 2008-08-01 2012-10-09 Picosun Oy Atomic layer deposition apparatus and loading methods
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
JP5511273B2 (ja) * 2008-09-12 2014-06-04 株式会社日立国際電気 基板処理装置及び基板処理方法
US20100117309A1 (en) 2008-11-13 2010-05-13 Applied Materials, Inc. Sealing apparatus for a process chamber
WO2010067544A1 (ja) * 2008-12-12 2010-06-17 芝浦メカトロニクス株式会社 基板冷却装置および基板処理システム
TWI465599B (zh) 2008-12-29 2014-12-21 K C Tech Co Ltd 原子層沉積裝置
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US8318269B2 (en) * 2009-02-17 2012-11-27 Mcalister Technologies, Llc Induction for thermochemical processes, and associated systems and methods
US20120231615A1 (en) * 2010-03-15 2012-09-13 Sumitomo Electric Industries, Ltd. Semiconductor thin-film manufacturing method, semiconductor thin-film manufacturing apparatus, susceptor, and susceptor holder
KR101139892B1 (ko) * 2010-05-14 2012-05-11 동우옵트론 주식회사 인시츄 가스분석기 교정시스템
US8642448B2 (en) 2010-06-22 2014-02-04 Applied Materials, Inc. Wafer dicing using femtosecond-based laser and plasma etch
CN102212877B (zh) * 2010-07-09 2012-08-22 江苏中晟半导体设备有限公司 具有多个外延反应腔的mocvd系统及其操作方法
JP5885404B2 (ja) * 2010-08-04 2016-03-15 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
TWM413957U (en) * 2010-10-27 2011-10-11 Tangteck Equipment Inc Diffusion furnace apparatus
US8906163B2 (en) * 2010-12-07 2014-12-09 Lam Research Corporation Methods and apparatus for integrating and controlling a plasma processing system
NO332311B1 (no) * 2011-02-09 2012-08-27 Blue Logic As Anordning ved en ventil
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
TWI461566B (zh) 2011-07-01 2014-11-21 Ind Tech Res Inst 鍍膜用噴灑頭以及鍍膜裝置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101271246B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
KR101271248B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
KR101271247B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
DE102011113293A1 (de) * 2011-09-05 2013-03-07 Schmid Vacuum Technology Gmbh Vakuumbeschichtungsvorrichtung
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
WO2013102139A1 (en) * 2011-12-30 2013-07-04 Clearsign Combustion Corporation Method and apparatus for enhancing flame radiation
US8691706B2 (en) * 2012-01-12 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing substrate warpage in semiconductor processing
CN102534556A (zh) * 2012-02-20 2012-07-04 姜谦 一种常压多腔原子层沉积设备
WO2013124535A1 (en) * 2012-02-22 2013-08-29 Beneq Oy Apparatus for processing substrates
CN102560428A (zh) * 2012-03-09 2012-07-11 上海宏力半导体制造有限公司 化学气相沉积机台
JP6262137B2 (ja) * 2012-09-26 2018-01-17 株式会社日立国際電気 統合管理システム、管理装置、基板処理装置の情報表示方法及びプログラム
TWI534929B (zh) * 2012-10-23 2016-05-21 日立國際電氣股份有限公司 基板處理設備、清除設備、製造半導體裝置的方法及記錄媒體
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10784075B2 (en) 2013-02-22 2020-09-22 Nissin Ion Equipment Co., Ltd. Ion beam irradiation apparatus
JP6094256B2 (ja) * 2013-02-22 2017-03-15 日新イオン機器株式会社 イオンビーム照射装置
US9236257B2 (en) * 2013-03-13 2016-01-12 Varian Semiconductor Equipment Associates, Inc. Techniques to mitigate straggle damage to sensitive structures
WO2014144162A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc Temperature control systems and methods for small batch substrate handling systems
CN104167377B (zh) * 2013-05-20 2017-07-04 北京北方微电子基地设备工艺研究中心有限责任公司 托盘冷却装置、方法、装载腔和半导体设备
CN104233226B (zh) * 2013-06-09 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 一种原子层沉积设备
JP6186000B2 (ja) * 2013-08-27 2017-08-23 株式会社日立国際電気 基板処理装置のメンテナンス方法、半導体装置の製造方法、基板処理装置、及び基板処理装置のメンテナンスプログラム
JP6334880B2 (ja) * 2013-10-03 2018-05-30 Jswアフティ株式会社 原子層堆積装置および原子層堆積方法
KR102173047B1 (ko) * 2013-10-10 2020-11-03 삼성디스플레이 주식회사 기상 증착 장치
WO2015057959A1 (en) * 2013-10-18 2015-04-23 Brooks Automation, Inc. Processing apparatus
US9514933B2 (en) 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
JP6363408B2 (ja) * 2014-06-23 2018-07-25 東京エレクトロン株式会社 成膜装置および成膜方法
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10566226B2 (en) * 2014-11-11 2020-02-18 Applied Materials, Inc. Multi-cassette carrying case
US10490429B2 (en) * 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
WO2016092007A1 (en) 2014-12-11 2016-06-16 Evatec Ag Chamber for degassing substrates
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107534000B (zh) 2015-04-20 2021-12-17 应用材料公司 缓冲腔室晶片加热机构和支撑机械臂
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9935005B2 (en) * 2015-11-13 2018-04-03 Applied Materials, Inc. Techniques for filling a structure using selective surface modification
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
WO2017091331A1 (en) * 2015-11-23 2017-06-01 Applied Materials, Inc. On-board metrology (obm) design and implication in process tool
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9831099B2 (en) 2016-02-12 2017-11-28 Tokyo Electron Limited Method and apparatus for multi-film deposition and etching in a batch processing system
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017152958A1 (en) 2016-03-08 2017-09-14 Evatec Ag Chamber for degassing substrates
US20170298503A1 (en) * 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10204782B2 (en) * 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
KR102570269B1 (ko) 2016-07-22 2023-08-25 삼성전자주식회사 전세정 장치 및 기판 처리 시스템
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20190116294A1 (en) * 2016-10-18 2019-04-18 Interdigital Vc Holdings, Inc. Method for detection of saturated pixels in an image
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
TWI742201B (zh) * 2016-12-02 2021-10-11 美商應用材料股份有限公司 整合式原子層沉積工具
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
FR3064283B1 (fr) 2017-03-22 2022-04-29 Kobus Sas Procede et dispositif reacteur pour la realisation de couches minces mettant en œuvre une succession d'etapes de depots, et applications de ce procede
US20180272390A1 (en) * 2017-03-24 2018-09-27 Applied Materials, Inc. Batch processing load lock chamber
KR101879123B1 (ko) * 2017-03-28 2018-07-16 에스케이실트론 주식회사 웨이퍼 연마 장치
US11339464B2 (en) 2017-03-31 2022-05-24 Agm Container Controls, Inc. Plasma nitriding with PECVD coatings using hollow cathode ion immersion technology
JP2020515723A (ja) 2017-03-31 2020-05-28 デュララ テクノロジーズ、エルエルシー 表面をコーティングするシステム及び方法
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
CN116504679A (zh) * 2017-05-01 2023-07-28 应用材料公司 具有真空隔离和预处理环境的高压退火腔室
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
WO2018213018A1 (en) 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR101856609B1 (ko) 2017-06-01 2018-05-14 세메스 주식회사 기판 처리 장치의 검사 방법
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US10043693B1 (en) * 2017-06-06 2018-08-07 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20190070639A1 (en) * 2017-09-07 2019-03-07 Applied Materials, Inc. Automatic cleaning machine for cleaning process kits
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10167558B1 (en) 2017-10-13 2019-01-01 International Business Machines Corporation Phase shifted gas delivery for high throughput and cost effectiveness associated with atomic layer etching and atomic layer deposition
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102500219B1 (ko) * 2018-05-12 2023-02-14 어플라이드 머티어리얼스, 인코포레이티드 통합된 셔터 개라지를 갖는 사전-세정 챔버
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN109518165B (zh) * 2018-07-02 2021-06-04 南京原磊纳米材料有限公司 一种原子层沉积批量生产设备
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN110724937A (zh) * 2018-07-16 2020-01-24 江苏迈纳德微纳技术有限公司 用于高纯薄膜沉积的原子层沉积系统
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020046567A1 (en) 2018-08-29 2020-03-05 Applied Materials, Inc. Chamber injector
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11177183B2 (en) * 2018-09-19 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Thickness measurement system and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
CN111190393B (zh) * 2018-11-14 2021-07-23 长鑫存储技术有限公司 半导体制程自动化控制方法及装置
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN113474485A (zh) * 2019-02-19 2021-10-01 维易科仪器公司 自动批次生产薄膜沉积系统及其使用方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11430672B2 (en) * 2019-03-04 2022-08-30 Applied Materials, Inc. Drying environments for reducing substrate defects
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11543296B2 (en) * 2019-05-31 2023-01-03 Applied Materials, Inc. Method and apparatus for calibration of substrate temperature using pyrometer
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
FI129628B (en) * 2019-09-25 2022-05-31 Beneq Oy Method and apparatus for processing a substrate surface
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2023507327A (ja) * 2019-12-20 2023-02-22 アプライド マテリアルズ インコーポレイテッド 基板の取扱い及び均一なベーキングのためのベーキング装置
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11443966B2 (en) * 2020-01-17 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing flow field control apparatus and method
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7228612B2 (ja) * 2020-03-27 2023-02-24 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、基板処理方法及びプログラム
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11939666B2 (en) 2020-06-01 2024-03-26 Applied Materials, Inc. Methods and apparatus for precleaning and treating wafer surfaces
US20210398824A1 (en) * 2020-06-19 2021-12-23 Applied Materials, Inc. Batch wafer degas chamber and integration into factory interface and vacuum-based mainframe
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11854848B2 (en) * 2020-11-03 2023-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Air processing system for semiconductor container
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TWI835028B (zh) * 2020-11-30 2024-03-11 南韓商細美事有限公司 用於處理基板之設備
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112813422B (zh) * 2020-12-30 2022-02-15 无锡邑文电子科技有限公司 一种基于腔体互联的沉积方法和沉积设备
US11935771B2 (en) 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers
US11935770B2 (en) 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers
CN113097105B (zh) * 2021-03-25 2023-11-21 浙江焜腾红外科技有限公司 二类超晶格制冷红外芯片干法刻蚀装置及刻蚀方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113881931A (zh) * 2021-10-11 2022-01-04 湘潭大学 一种cvd装置及其分散进气方法
US20230154766A1 (en) * 2021-11-18 2023-05-18 Applied Materials, Inc. Pre-clean chamber assembly architecture for improved serviceability

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000150618A (ja) * 1998-11-17 2000-05-30 Tokyo Electron Ltd 真空処理システム
JP2001332602A (ja) * 2000-03-16 2001-11-30 Internatl Business Mach Corp <Ibm> 熱洗浄と熱処理との間のウェハ環境を制御するための装置および方法
JP2002175976A (ja) * 2000-12-08 2002-06-21 Tokyo Electron Ltd 塗布、現像装置及びパターン形成方法
JP2003092329A (ja) * 2001-09-18 2003-03-28 Hitachi Kokusai Electric Inc 基板処理装置
JP2004241428A (ja) * 2003-02-03 2004-08-26 Tokyo Electron Ltd 基板処理装置及び基板処理方法

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4657621A (en) * 1984-10-22 1987-04-14 Texas Instruments Incorporated Low particulate vacuum chamber input/output valve
NL8900544A (nl) * 1989-03-06 1990-10-01 Asm Europ Behandelingsstelsel, behandelingsvat en werkwijze voor het behandelen van een substraat.
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5447409A (en) * 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
EP0858867A3 (en) * 1989-10-20 1999-03-17 Applied Materials, Inc. Robot apparatus
IL99823A0 (en) * 1990-11-16 1992-08-18 Orbot Instr Ltd Optical inspection method and apparatus
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
JP3255469B2 (ja) * 1992-11-30 2002-02-12 三菱電機株式会社 レーザ薄膜形成装置
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6395563B1 (en) * 1998-12-28 2002-05-28 Matsushita Electric Industrial Co., Ltd. Device for manufacturing semiconductor device and method of manufacturing the same
US6608689B1 (en) * 1998-08-31 2003-08-19 Therma-Wave, Inc. Combination thin-film stress and thickness measurement device
US6539106B1 (en) * 1999-01-08 2003-03-25 Applied Materials, Inc. Feature-based defect detection
JP2000277237A (ja) * 1999-03-24 2000-10-06 Komatsu Ltd 基板温度制御プレート及びそれを備える基板温度制御装置
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6630995B1 (en) * 1999-09-07 2003-10-07 Applied Materials, Inc. Method and apparatus for embedded substrate and system status monitoring
US6721045B1 (en) * 1999-09-07 2004-04-13 Applied Materials, Inc. Method and apparatus to provide embedded substrate process monitoring through consolidation of multiple process inspection techniques
US6693708B1 (en) * 1999-09-07 2004-02-17 Applied Materials, Inc. Method and apparatus for substrate surface inspection using spectral profiling techniques
US7012684B1 (en) * 1999-09-07 2006-03-14 Applied Materials, Inc. Method and apparatus to provide for automated process verification and hierarchical substrate examination
US6707545B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Optical signal routing method and apparatus providing multiple inspection collection points on semiconductor manufacturing systems
US6813032B1 (en) * 1999-09-07 2004-11-02 Applied Materials, Inc. Method and apparatus for enhanced embedded substrate inspection through process data collection and substrate imaging techniques
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6882416B1 (en) * 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
US6364762B1 (en) * 1999-09-30 2002-04-02 Lam Research Corporation Wafer atmospheric transport module having a controlled mini-environment
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6306780B1 (en) * 2000-02-07 2001-10-23 Agere Systems Guardian Corp. Method for making a photoresist layer having increased resistance to blistering, peeling, lifting, or reticulation
US6379095B1 (en) * 2000-04-14 2002-04-30 Applied Materials, Inc. Robot for handling semiconductor wafers
US7451774B2 (en) * 2000-06-26 2008-11-18 Applied Materials, Inc. Method and apparatus for wafer cleaning
JP4411751B2 (ja) * 2000-06-28 2010-02-10 アイシン精機株式会社 ギヤ部分付き平板状部材
US6744266B2 (en) * 2000-10-02 2004-06-01 Applied Materials, Inc. Defect knowledge library
KR100375984B1 (ko) * 2001-03-06 2003-03-15 삼성전자주식회사 플레이트 어셈블리 및 이를 갖는 가공 장치
US6654698B2 (en) * 2001-06-12 2003-11-25 Applied Materials, Inc. Systems and methods for calibrating integrated inspection tools
US7082345B2 (en) * 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US6805137B2 (en) * 2001-08-27 2004-10-19 Applied Materials, Inc. Method for removing contamination particles from substrates
US6878636B2 (en) * 2001-08-27 2005-04-12 Applied Materials, Inc. Method for enhancing substrate processing
US6684523B2 (en) * 2001-08-27 2004-02-03 Applied Materials, Inc. Particle removal apparatus
US6725564B2 (en) * 2001-08-27 2004-04-27 Applied Materials, Inc. Processing platform with integrated particle removal system
US6779226B2 (en) * 2001-08-27 2004-08-24 Applied Materials, Inc. Factory interface particle removal platform
JP2003077974A (ja) * 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP2003203963A (ja) * 2002-01-08 2003-07-18 Tokyo Electron Ltd 搬送機構、処理システム及び搬送方法
US7006888B2 (en) * 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
JP2003264214A (ja) * 2002-03-07 2003-09-19 Hitachi High-Technologies Corp 真空処理装置及び真空処理方法
US20030192577A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
US20030192570A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US6803998B2 (en) * 2002-08-20 2004-10-12 Applied Materials, Inc. Ultra low cost position and status monitoring using fiber optic delay lines
US7163018B2 (en) * 2002-12-16 2007-01-16 Applied Materials, Inc. Single wafer cleaning method to reduce particle defects on a wafer surface
US7067818B2 (en) * 2003-01-16 2006-06-27 Metrosol, Inc. Vacuum ultraviolet reflectometer system and method
US7026626B2 (en) * 2003-01-16 2006-04-11 Metrosol, Inc. Semiconductor processing techniques utilizing vacuum ultraviolet reflectometer
US7179754B2 (en) * 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
KR100703833B1 (ko) * 2003-06-30 2007-04-05 주식회사 하이닉스반도체 이중 유전막을 구비한 캐패시터의 제조 방법
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000150618A (ja) * 1998-11-17 2000-05-30 Tokyo Electron Ltd 真空処理システム
JP2001332602A (ja) * 2000-03-16 2001-11-30 Internatl Business Mach Corp <Ibm> 熱洗浄と熱処理との間のウェハ環境を制御するための装置および方法
JP2002175976A (ja) * 2000-12-08 2002-06-21 Tokyo Electron Ltd 塗布、現像装置及びパターン形成方法
JP2003092329A (ja) * 2001-09-18 2003-03-28 Hitachi Kokusai Electric Inc 基板処理装置
JP2004241428A (ja) * 2003-02-03 2004-08-26 Tokyo Electron Ltd 基板処理装置及び基板処理方法

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010171344A (ja) * 2009-01-26 2010-08-05 Tokyo Electron Ltd 真空処理装置
JP2011195863A (ja) * 2010-03-18 2011-10-06 Mitsui Eng & Shipbuild Co Ltd 原子層堆積装置及び原子層堆積方法
KR101744372B1 (ko) * 2011-01-20 2017-06-07 도쿄엘렉트론가부시키가이샤 진공 처리 장치
JP2014534644A (ja) * 2011-11-17 2014-12-18 ユ−ジーン テクノロジー カンパニー.リミテッド 補助ガス供給ポートを含む基板処理装置
JP2015504601A (ja) * 2011-11-17 2015-02-12 ユ−ジーン テクノロジー カンパニー.リミテッド 熱遮断プレートを含む基板処理装置
JP2014214380A (ja) * 2013-04-25 2014-11-17 エヌシーディ・カンパニー・リミテッド 大面積基板用水平型原子層蒸着装置
JP7142611B2 (ja) 2014-06-16 2022-09-27 東京エレクトロン株式会社 処理装置
JP2019197903A (ja) * 2014-06-16 2019-11-14 東京エレクトロン株式会社 処理装置
JP7037551B2 (ja) 2016-09-16 2022-03-16 ピコサン オーワイ 原子層堆積のための装置および方法
JP2019529701A (ja) * 2016-09-16 2019-10-17 ピコサン オーワイPicosun Oy 原子層堆積のための装置および方法
JP2020515082A (ja) * 2017-01-24 2020-05-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘電体膜の選択的堆積のための方法及び装置
JP6992089B2 (ja) 2017-01-24 2022-01-13 アプライド マテリアルズ インコーポレイテッド 誘電体膜の選択的堆積のための方法及び装置
JP7158133B2 (ja) 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
JP2018148194A (ja) * 2017-03-03 2018-09-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 雰囲気が制御された移送モジュール及び処理システム
JP7008834B2 (ja) 2018-02-27 2022-01-25 アプライド マテリアルズ インコーポレイテッド ファクトリインターフェースチャンバのフィルタパージを用いた基板処理装置及び方法
JP2021508956A (ja) * 2018-02-27 2021-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ファクトリインターフェースチャンバのフィルタパージを用いた基板処理装置及び方法
JP2021529438A (ja) * 2018-06-25 2021-10-28 ジュソン エンジニアリング カンパニー リミテッド 基板処理装置及び基板処理方法
JP2022151937A (ja) * 2021-03-29 2022-10-12 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
JP7311553B2 (ja) 2021-03-29 2023-07-19 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
JP7375069B2 (ja) 2022-03-07 2023-11-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Also Published As

Publication number Publication date
US20120210937A1 (en) 2012-08-23
EP1824960A2 (en) 2007-08-29
CN101061253A (zh) 2007-10-24
TW200710948A (en) 2007-03-16
TWI335618B (en) 2011-01-01
CN101061253B (zh) 2010-12-22
US20060156979A1 (en) 2006-07-20
KR20070089197A (ko) 2007-08-30
WO2006055984A3 (en) 2006-08-24
US20100173495A1 (en) 2010-07-08
WO2006055984A2 (en) 2006-05-26

Similar Documents

Publication Publication Date Title
JP2008521261A (ja) バッチ処理チャンバを用いた基板処理装置
KR102662595B1 (ko) 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제
US7582544B2 (en) ALD film forming method
US9206931B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US7175713B2 (en) Apparatus for cyclical deposition of thin films
TWI464790B (zh) Film forming method and substrate processing device
TWI648791B (zh) Etching method
JP2010050439A (ja) 基板処理装置
JP2004179426A (ja) 基板処理装置のクリーニング方法
US20090165720A1 (en) Substrate treating apparatus
US20110151660A1 (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
JP2008303452A (ja) 基板処理装置
KR102023434B1 (ko) 성막 방법 및 성막 시스템, 그리고 표면 처리 방법
TWI827871B (zh) 基板處理裝置、半導體裝置之製造方法、基板處理程式及記錄媒體
KR20130115256A (ko) Ge-Sb-Te막의 성막 방법 및 기억 매체
JP2009049316A (ja) 半導体装置の製造方法および基板処理装置
WO2007132884A1 (ja) 半導体装置の製造方法および基板処理装置
US9653351B2 (en) Method of manufacturing semiconductor device
JP2009224457A (ja) 基板処理装置
JP2016122691A (ja) 基板処理装置、ガス供給ノズル、および、半導体装置の製造方法
JP2011151294A (ja) 半導体装置の製造方法
JP2014187104A (ja) 半導体装置の製造方法、基板処理装置、半導体装置、プログラムおよび記憶媒体

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080905

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110407

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110510

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110808

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120124

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120521

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120528

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20120615

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120925

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120928