KR101744372B1 - 진공 처리 장치 - Google Patents

진공 처리 장치 Download PDF

Info

Publication number
KR101744372B1
KR101744372B1 KR1020137018956A KR20137018956A KR101744372B1 KR 101744372 B1 KR101744372 B1 KR 101744372B1 KR 1020137018956 A KR1020137018956 A KR 1020137018956A KR 20137018956 A KR20137018956 A KR 20137018956A KR 101744372 B1 KR101744372 B1 KR 101744372B1
Authority
KR
South Korea
Prior art keywords
vacuum
load lock
transfer
substrate
module
Prior art date
Application number
KR1020137018956A
Other languages
English (en)
Other versions
KR20140004132A (ko
Inventor
신지 와카바야시
센쇼 고바야시
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20140004132A publication Critical patent/KR20140004132A/ko
Application granted granted Critical
Publication of KR101744372B1 publication Critical patent/KR101744372B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 복수의 진공 반송 기구를 갖는 진공 반송계의 반송 효율 및 스루풋을 향상시키는 것을 과제로 한다.
이 진공 처리 장치는, 2층으로 된 진공 반송실(10)의 주위에 4개의 프로세스 모듈(PM1, PM2, PM3, PM4) 및 4개의 로드록 모듈(LML1, LML2, LMU1, LMU2)을 클러스터형으로 배치하고 있다. 여기서, 진공 반송실(10)의 2층은 전부 제3 진공 반송 영역(TE3)으로 되어 있으며, 개구부(13)를 통해 제3 진공 반송 영역(TE3)이 2층에서 1층으로 내려가 제1 및 제2 진공 반송 영역(TE1, TE2) 사이에 있게 된다. 제3 진공 반송 로봇(34)은 제3 진공 반송 영역(TE3)의 2층 부분에서 안길이 방향(X 방향)으로 직진 이동 가능한 좌측 및 우측의 수평 반송부(HR1, HR2)와, 제3 진공 반송 영역(TE3)의 개구부(13)에서 수직 방향(Z 방향)으로 직진 이동, 즉 승강 가능한 좌측 및 우측의 수직 반송부(VR1, VR2)를 갖는다.

Description

진공 처리 장치{VACUUM PROCESSING APPARATUS}
본 발명은 클러스터 툴 방식의 진공 처리 시스템에 관한 것으로, 특히 진공 반송실 내에 복수의 진공 반송 기구를 설치하는 진공 처리 장치에 관한 것이다.
진공 반송실을 갖는 진공 처리 시스템의 한 형태로서 클러스터 툴 방식이 잘 알려져 있다. 클러스터 툴 방식은 프로세스의 일관화, 연결화 혹은 복합화를 도모하기 위해서, 감압 하에서 정해진 처리를 하는 복수의 프로세스 모듈을 진공 반송실 주위에 배치하는 방식으로서, 멀티 챔버 방식이라고도 불리며, 전형적으로는 반도체 제조 장치에서 채용되고 있다.
최근, 클러스터 툴 방식의 진공 처리 장치에 있어서는, 미처리 기판 또는 처리가 끝난 기판을 수납하는 카세트의 투입 및 불출이 이루어지는 로드 포트측에서 볼 때 장치 전체의 폭 사이즈를 축소 내지 유지하면서, 진공 반송실을 안길이 방향으로 길게 연장시킴으로써, 그 긴 변을 따라서 프로세스 모듈을 증설하는 레이아웃이 하나의 경향으로 되고 있다(예컨대, 특허문헌 1 참조).
이와 같이 진공 반송실을 안길이 방향으로 길게 연장시켜 다수의 프로세스 모듈을 나란하게 하는 클러스터 툴의 일 형태로서, 진공 반송실 내의 반송 공간을 안길이 방향으로 복수의 진공 반송 영역으로 분할하여, 각 진공 반송 영역에, 그 주위의 프로세스 모듈과 기판을 주고받는 진공 반송 로봇을 설치하고, 진공 반송 로봇끼리 기판을 주고받기 위한 중계대를 진공 반송 영역 사이의 경계 부근에 배치하는 구성이 채용되어 있다(예컨대, 특허문헌 2 참조). 이 경우, 로드 포트측의 대기 공간과 진공 반송실 내의 감압 공간을 인터페이스하는 로드록 모듈에서 볼 때, 바로 앞의 진공 반송 로봇과 안쪽의 진공 반송 로봇은 중계대를 통해 직렬로 접속된 구성으로 된다.
일본 특허 공표 2005-534176호 미국 특허 제6440261호
상기한 바와 같이, 진공 반송실 내에서 복수의 진공 반송 로봇을 직렬로 접속하는 구성을 채용하는 종래의 클러스터 툴은, 일률적으로 모든 프로세스 모듈에 동일한 단일 프로세스를 병렬적으로 행하게 하는 경우, 혹은 진공 반송 영역별로 조(組)가 나눠진 복수의 프로세스 모듈에 동일한 복합 프로세스를 병렬적으로 행하게 하는 경우에, 반송 능력이 한계에 부딪힌다.
이 경우, 각각의 진공 반송 로봇은 일정한 사이클 내에서, 로드록 모듈측으로부터의 미처리 기판을 수취하는 동작과, 그 담당하는 진공 반송 영역 주위의 각 프로세스 모듈에 대하여 기판을 출입시키는 동작과, 처리가 끝난 기판을 로드록 모듈측으로 송출하는 동작을 순차로 행해야만 한다. 그런데, 로드록 모듈에 인접하는 바로 앞의 진공 반송 로봇에는, 담당 진공 반송 영역 내에서 부과되는 상기 일련의 반송 태스크뿐만 아니라, 로드록 모듈과 안쪽의 진공 반송 로봇 사이에서 행해지는 미처리 기판 또는 처리가 끝난 기판의 전송을 중개하는 태스크도 더해진다. 이에 따라, 바로 앞쪽의 진공 반송 로봇에 반송 부하가 집중되고, 여기서 시스템 전체의 반송 능력이 한계에 부딪혀, 스루풋이 제한된다. 이 문제는 프로세스 시간이 짧을수록 현저하게 되어, 1분 이하 혹은 30초 이하와 같은 단시간의 프로세스에서는 치명적인 스루풋 제약 요인이 된다.
또한, 상기한 바와 같이, 동일한 단일 프로세스 또는 복합 프로세스를 복수의 프로세스 모듈에 병렬적으로 행하게 하는 경우, 로드 포트측에서는 로트 처리중 또는 시작중인 기판을 인수하는 하나의 카세트에 대하여 집중적으로(모든 로드록 모듈에 있어서의 모든 기판의 출입에 맞춰) 미처리 기판의 취출과 처리가 끝난 기판의 장입을 빈번하고 또한 신속하게 행해야만 한다. 따라서, 진공 반송실 내의 반송 효율뿐만 아니라, 대기 반송실 내의 반송 효율의 향상도 과제가 된다.
본 발명은 상기와 같은 종래 기술의 문제점을 해결하는 것으로, 복수의 진공 반송 기구를 갖는 진공 반송계의 반송 효율 및 스루풋을 향상시키는 진공 처리 장치를 제공한다.
또한, 본 발명은 로드 포트와 로드록 모듈 사이에서 기판을 반송하는 대기 반송계의 반송 효율을 향상시키는 진공 처리 장치를 제공한다.
본 발명의 제1 관점에 있어서의 진공 처리 장치는, 실내가 감압 상태로 유지되는 진공 반송실과, 상기 진공 반송실 내에 수평 방향으로 나뉘어 형성되는 제1 및 제2 진공 반송 영역과, 상기 제1 진공 반송 영역에 인접하여 상기 진공 반송실의 주위에 배치된 제1조의 프로세스 모듈 및 제1조의 로드록 모듈과, 상기 제2 진공 반송 영역에 인접하여 상기 진공 반송실의 주위에 배치된 제2조의 프로세스 모듈과, 상기 제1조의 프로세스 모듈 및 상기 제1조의 로드록 모듈에 액세스해서, 액세스처의 각 모듈과 기판을 주고받아, 상기 제1 진공 반송 영역 내에서 기판을 반송하는 제1 진공 반송 기구와, 상기 제2조의 프로세스 모듈에 액세스해서, 액세스처의 각 모듈과 기판을 주고받아, 상기 제2 진공 반송 영역 내에서 기판을 반송하는 제2 진공 반송 기구와, 상기 제1조의 로드록 모듈보다 높은 층 또는 낮은 층에 배치된 제2조의 로드록 모듈과, 상기 진공 반송실 내에서 상기 제2조의 로드록 모듈에 인접하여 마련되며, 상기 제2 진공 반송 영역에 접속하는 제3 진공 반송 영역과, 상기 제2조의 로드록 모듈에 액세스하여 액세스처의 각 모듈과 기판을 주고받고, 상기 제3 진공 반송 영역 내에 마련된 제1 이동 탑재 위치에서 상기 제2 진공 반송 기구와 기판을 주고받아, 상기 제3 진공 반송 영역에서 기판을 반송하는 제3 진공 반송 기구를 갖는다.
상기 구성의 진공 처리 장치에 있어서는, 제1 진공 반송 기구와 제2 진공 반송 기구는 서로 독립적으로 가동하여, 제1 진공 반송 기구는 오로지 제1 진공 반송 영역 내의 기판 반송에만 종사하면 되고, 제2조(2층)의 로드록 모듈과 제2조의 프로세스 모듈 사이에서의 기판 반송에 관여할(중개할) 필요는 없다. 따라서, 제1 진공 반송 기구에 반송 부하가 집중되는 일은 없다. 이와 같이, 모든 진공 반송 로봇에 반송 부하가 균등하게 또는 상응하게 분산되기 때문에, 시스템 전체의 반송 능력 및 반송 효율이 높아, 클러스터 툴에 있어서의 단시간 프로세스의 스루풋을 향상시킬 수 있다.
본 발명의 제2 관점에 있어서의 진공 처리 장치는, 실내가 감압 상태로 유지되는 진공 반송실과, 상기 진공 반송실의 주위에 나란하게 설치되며, 감압 하의 실내에서 기판에 정해진 처리가 행해지는 하나 또는 복수의 프로세스 모듈과, 상기 진공 반송실의 주위에 나란하게 설치되며, 실내가 선택적으로 대기 상태 또는 감압 상태로 전환되고, 대기 공간과 상기 진공 반송실의 사이에서 전송되는 기판을 일시적으로 체류시키는 복수의 로드록 모듈과, 어느 하나의 상기 로드록 모듈과 어느 하나의 상기 프로세스 모듈의 사이에서 또는 상이한 상기 프로세스 모듈들 사이에서, 기판을 반송하기 위해서 상기 진공 반송실 내에 설치되는 하나 또는 복수의 진공 반송 기구와, 다수의 기판을 일정 간격으로 나란하게 하여 출입 가능하게 수납하는 카세트를 투입 또는 불출하기 위해서, 상기 복수의 로드록 모듈과 대향하는 대기 공간 내의 정해진 위치에 설치되는 제1 로드 포트와, 상기 제1 로드 포트에 놓인 제1 카세트와 어느 하나의 상기 로드록 모듈의 사이에서 기판을 반송하는 제1 대기 반송 기구와, 상기 제1 로드 포트 상의 상기 제1 카세트와 다른 어느 하나의 상기 로드록 모듈의 사이에서 기판을 반송하는 제2 대기 반송 기구를 가지며, 상기 제1 및 제2 대기 반송 기구가 상기 제1 카세트에 대하여 기판의 취출 또는 기판의 장입을 1장씩 교대로 행한다.
상기 구성의 진공 처리 장치에 있어서는, 제1 로드 포트 상의 로트 처리중 또는 시작중인 제1 카세트에 대하여, 제1 및 제2 대기 반송 로봇이 교대로 액세스하여 기판의 취출/장입을 신속하고 또한 원활하게 행할 수 있기 때문에, 제1 로드 포트와 로드록 모듈 사이의 대기계의 반송 효율을 크게 향상시키는 것이 가능하여, 진공계의 고(高)스루풋화에도 용이하게 대응할 수 있다.
본 발명의 진공 처리 장치에 따르면, 상기와 같은 구성 및 작용에 의해, 복수의 진공 반송 기구를 갖는 진공 반송계의 반송 효율 및 스루풋을 향상시킬 수 있고, 나아가서는 로드 포트와 로드록 모듈의 사이에서 기판을 반송하는 대기 반송계의 반송 효율도 향상시킬 수 있다.
[도 1] 본 발명의 일 실시형태에 있어서의 진공 처리 장치의 전체 구성을 도시하는 평면도이다.
[도 2] 상기 진공 처리 장치의 전체 구성을 도시하는 종단면도이다.
[도 3] 상기 진공 처리 장치에 있어서의 제1 처리부를 도시하는 평면도이다.
[도 4] 상기 진공 처리 장치에 있어서의 제2 처리부를 도시하는 평면도이다.
[도 5] 상기 진공 처리 장치에 있어서의 제3 진공 반송 로봇의 수평 반송부 및 수직 반송부의 구체적 구성예를 도시하는 사시도이다.
[도 6a] 상기 진공 처리 장치에 있어서의 전체 동작의 일 실시예를 설명하기 위한 타이밍도이다.
[도 6b] 상기 진공 처리 장치에 있어서의 전체 동작의 일 실시예를 설명하기 위한 타이밍도이다.
[도 7] 상기 진공 처리 장치에 있어서의 로드록실의 일 변형예를 도시하는 대략 종단면도이다.
[도 8] 상기 진공 처리 장치의 일 변형예를 도시하는 종단면도이다.
[도 9] 도 8의 변형예의 일 형태를 도시하는 사시도이다.
[도 10] 상기 진공 처리 장치의 다른 변형예를 도시하는 사시도이다.
이하, 첨부 도면을 참조하여 본 발명의 적합한 실시형태를 설명한다.
[장치 전체의 구성]
도 1 및 도 2에, 본 발명의 일 실시형태에 있어서의 진공 처리 장치의 전체 구성을 각각 평면도 및 종단면도로 도시한다. 도 3 및 도 4에는, 도 1의 전체 구성을 병렬적으로 가동하는 제1 및 제2 처리부로 각각 나눠 도시한다.
이 진공 처리 장치는 클러스터 툴 방식의 플라즈마 처리 장치로서 구성되어 있고, 장치 안길이 방향(도면의 X 방향)으로 뻗는 한 쌍의 변이 다른 변보다 약 2배 긴 오각형의 형상을 갖는 진공 반송실(10) 주위에 4개의 프로세스 모듈(PM1, PM2, PM3, PM4) 및 4개의 로드록 모듈(LML1, LML2, LMU1, LMU2)을 클러스터형으로 배치하고 있다.
보다 상세하게는, 진공 반송실(10)은 2층으로 되어 있으며, 1층에는 수평한 안길이 방향(X 방향)으로 나뉜 제1 및 제2 진공 반송 영역(TE1, TE2)이 마련되어 있다. 그리고, 제1 진공 반송 영역(TE1) 주위에는, 그 빗변의 2개의 측벽에 제1조의 2개의 로드록 모듈(LML1, LML2)이 게이트 밸브(GVL1, GVL2)를 통해 각각 연결되고, 폭 방향(Y 방향)으로 평행하게 마주보는 2개의 측벽에 제1조의 2개의 프로세스 모듈(PM1, PM2)이 게이트 밸브(GV1, GV2)를 통해 각각 연결되어 있다. 한편, 제2 진공 반송 영역(TE2) 주위에는, 폭 방향(Y 방향)으로 평행하게 마주보는 2개의 측벽에 제2조의 2개의 프로세스 모듈(PM3, PM4)이 게이트 밸브(GV3, GV4)를 통해 각각 연결되어 있다.
진공 반송실(10)의 2층은 전부 제3 진공 반송 영역(TE3)으로 되어 있다. 이 제3 진공 반송 영역(TE3)은 수평한 격벽판(11)에 의해서 1층의 제1 및 제2 진공 반송 영역(TE1, TE2)으로부터 위아래로 이격되어 있다. 단, 안길이 방향(X 방향)의 중간부에서 격벽판(11)이 절결되어, 개구부(13)가 형성되고, 이 개구부(13)를 지나 제3 진공 반송 영역(TE3)이 2층에서 1층으로 내려가 제1 및 제2 진공 반송 영역(TE1, TE2) 사이에 있게 된다.
2층의 제3 진공 반송 영역(TE3) 주위에는, 빗변의 2개의 측벽에, 즉 1층의 제1조의 로드록 모듈(LML1, LML2) 위에, 제2조의 2개의 로드록 모듈(LMU1, LMU2)이 게이트 밸브(GVU1, GVU2)를 통해 각각 연결되어 있다.
프로세스 모듈(처리 장치)(PM1, PM2, PM3, PM4)은 도시하지 않는 각 전용의 배기 장치에 의해 실내가 가변의 압력으로 항상 감압 상태로 유지되는 진공 챔버(12)를 가지며, 전형적으로는 실내의 중앙부에 배치된 적재대(14) 위에 1장 또는 여러 장의 피처리 기판, 예컨대 반도체 웨이퍼(W)를 얹어, 정해진 용력(처리 가스, 고주파 전력 등)을 이용하여 원하는 플라즈마 처리, 예컨대 CVD, ALD(Atomic Layer Deposition) 혹은 스퍼터 등의 진공 성막 처리, 열 처리, 반도체 웨이퍼 표면의 클리닝 처리, 드라이 에칭 가공 등을 행하도록 되어 있다.
로드록 모듈(LML1, LML2, LMU1, LMU2)은 각각 도어 밸브(DVL1, DVL2, DVU1, DVU2)를 통해 후술하는 로더 모듈(15)의 대기 반송실과도 연통할 수 있게 되어 있어, 각각의 로드록실(16) 내에 로더 모듈(15)과 진공 반송실(10)의 사이에서 전송되는 반도체 웨이퍼(W)를 일시적으로 체류시키기 위한 적재대(18)를 설치한다. 도 2에 도시한 바와 같이, 각 로드록 모듈(LML1, LML2, LMU1, LMU2)의 로드록실(16)은 개폐 밸브(20)를 통해 배기 장치(22)에 접속되고, 개폐 밸브(24)를 통해 퍼지 가스 공급부(26)에 접속되어 있어, 실내의 분위기를 감압 상태 및 대기압 상태 중 어디로나 선택적으로 전환되도록 되어 있다. 한편, 도 2에서는, 도해를 간략화하기 위해, 배기용 개폐 밸브(20)를 1층의 로드록 모듈(LML1, LML2) 중 한쪽에 대한 것만 도시하고, 퍼징용 개폐 밸브(24)를 2층의 로드록 모듈(LMU1, LMU2) 중 한쪽에 대한 것만 도시하고 있다.
진공 반송실(10)은 전용 배기 장치(28)에 접속되어 있고, 실내가 통상은 일정한 압력으로 항상 감압 상태로 유지된다. 실내에는, 제1 및 제2 진공 반송 영역(TE1, TE2)에 제1 및 제2 진공 반송 로봇(진공 반송 기구)(30, 32)이 각각 설치되고, 제3 진공 반송 영역(TE3)에 제3 진공 반송 로봇(진공 반송 기구)(34)이 설치되어 있다.
제1 진공 반송 로봇(30)은, 제1 진공 반송 영역(TE1)의 중심부에 설치된 본체와, 이 본체 상에서 선회, 승강 및 진퇴(또는 신축) 가능한 한 쌍의 반송 아암(Fa, Fb)을 가지며, 각각의 반송 아암(Fa, Fb)이 그 포크형의 엔드 이펙터로 1장의 반도체 웨이퍼(W)를 수평으로 유지하거나 또는 지지할 수 있게 되어 있다. 그리고, 제1 진공 반송 로봇(30)은 제1 진공 반송 영역(TE1) 주위에 배치되어 있는 제1조의 프로세스 모듈(PM1, PM2) 및 제1조의 로드록 모듈(LML1, LML2) 중 어디에나 액세스 가능하며, 개방 상태의 게이트 밸브(GV)(GV1, GV2, GVL1, GVL2)를 통해 액세스처의 모듈의 챔버(12)(16) 속에 반송 아암(Fa, Fb) 중 어느 한쪽을 선택적으로 삽입하여, 적재대(14)(18) 위에 처리전의 반도체 웨이퍼(W)를 반입(로딩)하거나, 혹은 적재대(14)(18)로부터 처리후의 반도체 웨이퍼(W)를 반출(언로딩)할 수 있도록 되어 있다.
제2 진공 반송 로봇(32)은 제2 진공 반송 영역(TE2)의 중심부에 설치된 본체와, 이 본체 상에서 선회, 승강 및 진퇴(또는 신축) 가능한 한 쌍의 반송 아암(Fc, Fd)을 가지며, 각각의 반송 아암(Fc, Fd)이 그 포크형의 엔드 이펙터로 1장의 반도체 웨이퍼(W)를 수평으로 유지하거나 또는 지지할 수 있게 되어 있다. 그리고, 제2 진공 반송 로봇(32)은 제2 진공 반송 영역(TE2) 주위에 배치되어 있는 제2조의 프로세스 모듈(PM3, PM4) 중 어디에나 액세스 가능하며, 개방 상태의 게이트 밸브(GV)(GV3, GV4)를 통해 액세스처의 모듈의 챔버(12) 속에 반송 아암(Fc, Fd) 중 어느 한쪽을 선택적으로 삽입하여, 적재대(14) 위에 처리전의 반도체 웨이퍼(W)를 반입(로딩)하거나, 혹은 적재대(14)로부터 처리후의 반도체 웨이퍼(W)를 반출(언로딩)할 수 있도록 되어 있다. 또한, 제2 진공 반송 로봇(32)은 후술하는 바와 같이, 제2 진공 반송 영역(TE2)의 1층 부분에서 제3 진공 반송 로봇(34)과 반도체 웨이퍼(W)를 주고받을 수 있게 되어 있다.
제3 진공 반송 로봇(34)은 제3 진공 반송 영역(TE3)의 2층 부분에서 안길이 방향(X 방향)으로 직진 이동 가능한 좌측 및 우측의 수평 반송부(HR1, HR2)와, 제3 진공 반송 영역(TE3)의 개구부(13)에서 수직 방향(Z 방향)으로 직진 이동, 즉 승강 가능한 좌측 및 우측의 수직 반송부(VR1, VR2)를 갖는다. 여기서, 좌측 및 우측의 수평 반송부(HR1, HR2) 및 좌측 및 우측의 수직 반송부(VR1, VR2)는 2층에서 폭 방향(Y 방향)으로 나란하게 배치되어 있는 좌우 한 쌍의 로드록 모듈(LMU1, LMU2)에 각각 대응하여, 폭 방향(Y 방향)으로 좌우에 나란하게 설치되어 있다. 즉, 로더 모듈(15)측으로부터 진공 반송실(10)의 안길이 방향(X 방향)을 향해 보면, 좌측 로드록 모듈(LMU1)과 좌측 수평 반송부(HR1)와 좌측 수직 반송부(VR1)가 제3 진공 반송 영역(TE3)의 좌측 반부(半部)에 설치되고, 우측 로드록 모듈(LMU2)과 우측 수평 반송부(HR2)와 우측 수직 반송부(VR2)가 제3 진공 반송 영역(TE3)의 우측 반부(半部)에 설치되어 있다.
[제3 진공 반송 로봇의 구체적 구성예]
도 5에, 제3 진공 반송 영역(TE3)의 우측 반부에서 가동하는 제3 진공 반송 로봇(34)의 우측 수평 반송부(HR2) 및 우측 수직 반송부(VR2)의 구체적 구성예를 나타낸다.
우측 수평 반송부(HR2)는 우측 로드록 모듈(LMU2) 쪽을 향하여 1장의 반도체 웨이퍼(W)를 수평으로 유지하거나 또는 지지할 수 있는 포크형의 1개의 반송 아암(AM2)과, 이 반송 아암(AM2)을 진공 반송실(10)의 안길이 방향(X 방향)으로만 직진 이동시키는 수평 직진 반송부(36)를 갖는다. 여기서, 우측 수평 직진 반송부(36)는 진공 반송실(10)의 2층 부분의 우측 측벽(또는 상부벽)을 따라서 안길이 방향(X 방향)으로 뻗는 무단형의 반송 구동 벨트, 예컨대 타이밍 벨트(38)와, 이 반송 구동 벨트(38)를 구동하기 위한 구동부[모터(40), 구동 풀리(42) 및 도시하지 않는 반대쪽의 유동(遊動) 풀리]와, 반송 아암(AM2)을 반송 구동 벨트(38)에 결합하는 브래킷형의 아암 지지부(44)와, 이 아암 지지부(44)를 안길이 방향(X 방향)으로 안내하는 레일(46)을 갖는다. 모터(40)의 시동, 회전 방향, 회전 속도 및 정지를 제어함으로써, 제3 진공 반송 영역(TE3)의 2층 부분의 우측 반부에서 반송 아암(AM2)을 양방향으로 직진 이동시켜, 임의의 위치에서 정지시킬 수 있게 되어 있다.
우측 수직 반송부(VR2)는 우측 로드록 모듈(LMU2) 쪽을 등(背)으로 하여, 상이한 높이에서 각각 1장의 반도체 웨이퍼(W)를 수평으로 배치하거나 또는 지지할 수 있는 패드형의 상부 및 하부 버퍼(48U, 48L)와, 승강봉(50)을 통해 양 버퍼(48U, 48L)를 진공 반송 영역(TE3)의 개구부(13)의 1층 부분 및 2층 부분에 각각 형성된 1층 이동 탑재 위치(제1 이동 탑재 위치)(TPL) 및 2층 이동 탑재 위치(TPU)(제2 이동 탑재 위치) 사이에서 승강 이동시키는 승강 구동부(52)를 갖는다. 승강 구동부(52)는 예컨대 리니어 모터, 볼나사 기구 혹은 실린더로 구성되어 있다. 승강봉(50)은 진공 반송실(10)의 1층의 바닥벽에 형성된 구멍에 시일 부재(54)를 개재해 수직 방향으로 미끄럼 이동 가능하게 통과한다. 승강 구동부(52)의 시동, 승강 방향, 스트로크 및 정지를 제어함으로써, 제3 진공 반송 영역(TE3)의 개구부(13)에서 양 버퍼(48U, 48L)를 승강 이동시켜, 이들의 높이 위치를 임의로 가변시킬 수 있도록 되어 있다.
한편, 상부 및 하부 버퍼(48U, 48L)는, 도 5에서는 반도체 웨이퍼(W)를 1 개소에서 지지하는 구성으로 되어 있지만, 복수 개소(예컨대 둘레 방향으로 120°의 간격을 두고 배치되는 3개의 핀)에서 반도체 웨이퍼(W)를 지지하는 구성도 가능하다.
우측 수평 반송부(HR2)는, 제3 진공 반송 영역(TE3)의 2층 부분의 우측 반부에 있어서, 반송 아암(AM2)을 안길이 방향(X 방향)으로 이동시킴으로써, 우측 로드록 모듈(LMU2)과 그 로드록실(16) 내에서 반도체 웨이퍼(W)를 주고받을 수 있고, 우측 수직 반송부(VR2)의 양 버퍼(48U, 48L)와도 2층 이동 탑재 위치(TPU)에서 반도체 웨이퍼(W)를 주고받을 수 있게 되어 있다.
보다 상세하게는, 우측 로드록 모듈(LMU2)에 있어서는, 후술하는 리프트 핀(17)의 오르내림과 반송 아암(AM2)의 출입을 연계 동작시킴으로써, 로드록실(16)로부터 미처리 반도체 웨이퍼(W)를 반출하거나 또는 로드록실(16)에 처리가 끝난 반도체 웨이퍼(W)를 반입할 수 있다.
또한, 우측 로드록 모듈(LMU2)로부터 반출한 미처리 반도체 웨이퍼(W)를 우측 수평 반송부(HR2)로부터 우측 수직 반송부(VR2)에 건네줄 때에는, 우선 반송 아암(AM2)을 2층 이동 탑재 위치(TPU)를 넘어가 안쪽의 위치까지 후퇴 이동시키고, 그 후에 우측 수직 반송부(VR2)를 1층에서 2층으로 상승 이동시킨다. 이때, 양 버퍼(48U, 48L) 중 수취하는 쪽, 예컨대 버퍼(48U)를 반송 아암(AM2)보다도 다소 낮은 위치에 맞춘다. 이어서, 반송 아암(AM2)을 전진 이동시켜, 버퍼(48U) 위에 반도체 웨이퍼(W)를 내밀게 한다. 그리고, 우측 수직 반송부(VR2)를 짧은 스트로크로 상승시키면, 반도체 웨이퍼(W)가 반송 아암(AM2)으로부터 버퍼(48U)로 옮겨 탄다. 이 후, 반송 아암(AM2)을 일단 후퇴시켜, 우측 수직 반송부(VR2)를 2층에서 1층으로 하강 이동시킨다.
또한, 우측 수평 반송부(HR2)가 우측 수직 반송부(VR2)로부터 처리가 끝난 반도체 웨이퍼(W)를 수취할 때는, 역시 반송 아암(AM2)을 2층 이동 탑재 위치(TPU)보다도 안쪽의 위치까지 후퇴 이동시키고 나서, 우측 수직 반송부(VR2)를 1층에서 2층으로 상승 이동시킨다. 이때, 양 버퍼(48U, 48L) 중 처리가 끝난 반도체 웨이퍼(W)를 싣고 있는 쪽, 예컨대 버퍼(48L)를 반송 아암(AM2)보다도 다소 높은 위치에 맞춘다. 이어서, 반송 아암(AM2)을 버퍼(48L)의 아래까지 전진 이동시켜, 우측 수직 반송부(VR2)를 짧은 스트로크로 하강시키면, 반도체 웨이퍼(W)가 버퍼(48L)로부터 반송 아암(AM2)으로 옮겨 탄다. 이 후, 반송 아암(AM2)을 일단 후퇴시켜, 우측 수직 반송부(VR2)를 2층에서 1층으로 하강 이동시킨다.
상기한 바와 같이, 우측 수평 반송부(HR2)를 통해, 우측 로드록 모듈(LMU2)과 우측 수직 반송부(VR2)의 각 버퍼(48U, 48L)의 사이에서 반도체 웨이퍼(W)를 1장씩 양방향으로 전송할 수 있다. 또한, 우측 수평 반송부(HR2)와 양 버퍼(48U, 48L)의 사이에서, 미처리 반도체 웨이퍼(W)와 처리가 끝난 반도체 웨이퍼(W)의 교환도 할 수 있게 되어 있다.
한편, 우측 수직 반송부(VR2)의 양 버퍼(48U, 48L)는 1층으로 내려가서, 1층 이동 탑재 위치(TPL)에서 제2 진공 반송 로봇(32)과 반도체 웨이퍼(W)를 주고받을 수 있다. 즉, 우측 수직 반송부(VR2)가 1층 이동 탑재 위치(TPL)에 닿아 있는 동안은, 제2 진공 반송 로봇(32)이 양 버퍼(48U, 48L) 중 어디에나 임의로 액세스 가능하여, 반송 아암(Fc, Fd)을 이용하여 각 버퍼(48U, 48L)로부터 미처리 반도체 웨이퍼(Wi)를 수취하거나, 또는 각 버퍼(48U, 48L)에 처리가 끝난 반도체 웨이퍼(Wj)를 건네는 것이 가능하여, 픽&플레이스 동작에 의해 미처리 반도체 웨이퍼(Wi)와 처리가 끝난 반도체 웨이퍼(Wj)의 교환도 할 수 있게 되어 있다.
한편, 이 실시형태에서는, 기본적으로는, 제2 진공 반송 로봇(32)에 있어서의 반송 아암(Fc, Fd)의 수평 진퇴 이동과 우측 수직 반송부(VR2)에 있어서의 버퍼(48U, 48L)의 상하 이동이 연계함으로써, 양자 사이에서 반도체 웨이퍼(W)의 주고받기가 이루어진다. 그러나, 반도체 웨이퍼(W)를 주고받을 때에, 제2 진공 반송 로봇(32)이 반송 아암(Fc, Fd)의 수평 진퇴 이동뿐만 아니라 상하 이동도 행함으로써, 우측 수직 반송부(VR2) 쪽에서 버퍼(48U, 48L)를 상하 이동시키는 동작이 불필요할 수도 있다.
이와 같이, 제3 진공 반송 로봇(34)은 우측 수평 반송부(HR2) 및 우측 수직 반송부(VR2)에 의해서, 2층의 우측 로드록 모듈(LMU2)과 1층의 제2 진공 반송 로봇(32)의 사이에서 반도체 웨이퍼(W)를 양방향으로 1장씩 전송할 수 있게 되어 있다.
제3 진공 반송 영역(TE3)의 좌측 반부에서 가동하는 제3 진공 반송 로봇(34)의 좌측 수평 반송부(HR1) 및 좌측 수직 반송부(VR1)도 전술한 우측 수평 반송부(HR2) 및 우측 수직 반송부(VR2)와 동일한 구성 및 기능을 갖는다. 따라서, 제3 진공 반송 로봇(34)은 좌측 수평 반송부(HR1) 및 좌측 수직 반송부(VR1)에 의해서, 2층의 좌측 로드록 모듈(LMU1)과 1층의 제2 진공 반송 로봇(32)의 사이에서 반도체 웨이퍼(W)를 양방향으로 1장씩 전송할 수 있게 되어 있다.
한편, 도 2에 도시한 바와 같이, 제2조(2층)의 로드록 모듈(LMU1, LMU2)에는, 로딩/언로딩 때에 배치대(18) 위에서 반송 아암(AM2)과 반도체 웨이퍼(W)를 주고받기 위해서 복수의 리프트 핀(17)을 승강 이동(출몰)시키는 리프트 핀 기구가 설치되어 있다. 제1조(1층)의 로드록 모듈(LML1, LML2) 및 모든 프로세스 모듈 (PM1, PM2, PM3, PM4)에도 같은 식의 리프트 핀 기구가 설치되어 있다.
전술한 진공 반송실(10) 주위의 진공 처리계에 있어서는, 제1 진공 반송 로봇(30) 및 이 진공 반송 로봇(30)의 액세스 가능한 1층의 제1조의 로드록 모듈(LML1, LML2) 및 제1조의 프로세스 모듈(PM1, PM2)에 의해서, 독립된 제1 진공 반송/처리부(60)(도 3)가 구성되어 있다. 한편, 제2 진공 반송 로봇(32) 및 이 진공 반송 로봇(32)의 액세스 가능한 1층의 제2조의 프로세스 모듈(PM3, PM4)과, 제3 진공 반송 로봇(34) 및 이 진공 반송 로봇(34)의 액세스 가능한 2층의 제2조의 로드록 모듈(LMU1, LMU2)에 의해서, 독립된 제2 진공 반송/처리부(62)(도 4)가 구성되어 있다. 이들 제1 및 제2 처리부(60, 62)는 이 진공 처리 장치에 투입되는 반도체 웨이퍼(W)에 대하여, 동일한 프로세스 혹은 상이한 프로세스를 병렬적으로 또는 동시에 행할 수 있다.
한편, 수평 반송부(HR1, HR2)의 직진 반송부(36)는, 이 실시예에서는 벨트 기구를 이용하고 있지만, 볼나사 기구나 리니어 모터 등의 다른 직진 구동 기구를 이용하더라도 좋다.
[대기계의 구성]
이 진공 처리 장치의 대기계(大氣系)는, 로드록 모듈(LML1, LML2, LMU1, LMU2)에 도어 밸브(DVL1, DVL2, DVU1, DVU2)를 통해 연결되는 로더 모듈(15)과, 이 로더 모듈(15)의 정면측에 설치되는 복수, 예컨대 4개의 로드 포트(LP1~LP4)와, 로더 모듈(15)의 대기 반송실 내에서 가동하는 제1 및 제2 대기 반송 로봇(대기 반송 기구)(64, 66)을 갖는다.
로드 포트(LP1~LP4)는 로드록 모듈(LML1, LML2, LMU1, LMU2)과 마주보고서 가로 일렬로 배치되어 있고, 외부 반송차와의 사이에서 예컨대 1 배치(batch) 또는 1 로트 25장의 반도체 웨이퍼(W)를 수납할 수 있는 웨이퍼 카세트(CR)의 투입 및 불출에 이용된다. 여기서, 웨이퍼 카세트(CR)는 SMIF(Standard Mechanical Interface)나 FOUP(Front Opening Unified Pod) 등의 박스 또는 포드로서 구성되어 있다.
제1 대기 반송 로봇(64)은 수평 방향의 슬라이드축을 갖지 않는 수직 다관절 로봇으로서 구성되어 있고, 로더 모듈(15) 내에서 예컨대 좌측 도어 밸브(DVL1, DVU1)의 좌측 옆에 설치되는 승강 이동 가능한 본체(65)와, 이 본체(65)로부터 공중으로 뻗어 복수의 회전축에 의해 선회하는 한 쌍의 아암(Ja, Jb)(1개의 아암만 도시)을 갖는다. 각 아암(Ja, Jb)은 그 포크형의 엔드 이펙터로 1장의 반도체 웨이퍼(W)를 유지하거나 또는 지지할 수 있게 되어 있다. 그리고, 제1 대기 반송 로봇(64)은 우단의 로드 포트(LP4)를 제외한 3개의 로드 포트(LP1, LP2, LP3) 중 어느 하나에 놓인 웨이퍼 카세트(CR)와 모든 로드록 모듈(LML1, LML2, LMU1, LMU2)에 액세스 가능하여, 액세스처의 웨이퍼 카세트(CR) 또는 로드록 모듈(LM)에 대하여 반도체 웨이퍼(W)를 1장씩 취출하거나(반출하거나) 또는 1장씩 장입(반입)할 수 있고, 한 번의 액세스 시에 픽&플레이스 방식에 의해 미처리 웨이퍼(Wi)와 처리가 끝난 웨이퍼(Wj)를 교환할 수도 있다.
제2 대기 반송 로봇(66)도 수평 방향의 슬라이드축을 갖지 않는 수직 다관절 로봇으로서 구성되어 있으며, 로더 모듈(15) 내에서 예컨대 우측 도어 밸브(DVL2, DVU2)의 우측 옆에 설치되는 승강 이동 가능한 본체(67)와, 이 본체(67)로부터 공중으로 뻗어 복수의 회전축에 의해 선회하는 한 쌍의 아암(Ka, Kb)(1개의 아암만 도시)을 갖는다. 각 아암(Ka, Kb)은 그 포크형의 엔드 이펙터로 1장의 반도체 웨이퍼(W)를 유지하거나 또는 지지할 수 있게 되어 있다. 그리고, 제2 대기 반송 로봇(66)은 좌단의 로드 포트(LP1)를 제외한 3개의 로드 포트(LP2, LP3, LP4) 중 어느 하나에 놓인 웨이퍼 카세트(CR)와 모든 로드록 모듈(LML1, LML2, LMU1, LMU2)에 액세스 가능하여, 액세스처의 웨이퍼 카세트(CR) 또는 로드록 모듈(LM)에 대하여 반도체 웨이퍼(W)를 1장씩 취출하거나(반출하거나) 또는 1장씩 장입(반입)할 수 있고, 한 번의 액세스 시에 픽&플레이스 방식(먼저 상대측으로부터 웨이퍼를 수취하고, 그 대신에 다른 웨이퍼를 상대측으로 건네는 방식)에 의해 미처리 웨이퍼(Wi)와 처리가 끝난 웨이퍼(Wj)를 교환할 수도 있다.
이와 같이, 중간의 2개의 로드 포트(LP2, LP3) 중 어느 하나에 놓인 카세트(CR)에 대해서는, 제1 및 제2 대기 반송 로봇(64, 66)의 어느 쪽이나 액세스 가능하여, 쌍방의 핸드 내지 아암이 상호 간섭하지 않도록(충돌하지 않도록) 따로 각각의 타이밍에 반도체 웨이퍼(W)를 취출/장입할 수 있게 되어 있다. 또한, 좌단의 로드 포트(LP1)는 제1 대기 반송 로봇(64)의 전용이며, 우단의 로드 포트(LP4)는 제2 대기 반송 로봇(66)의 전용으로 되어 있다.
이 실시형태에서는, 제1 및 제2 대기 반송 로봇(64, 66) 중 한쪽이 중간의 공통 로드 포트(LP2, LP3) 상의 카세트(CR)에 액세스하는 동작과, 다른 쪽이 로드록 모듈(LML1, LML2, LMU1, LMU2) 중 어느 하나에 액세스하는 동작을 동시에 또는 병렬적으로 실행할 수 있게 되어 있어, 각각의 핸들링 또는 아암 동작을 신속하고 또한 안전하게 행할 수 있도록 각각의 대기 반송 로봇(64, 66)을 수평 슬라이드축이 없는 수직 다관절 로봇에 의해 구성하고, 도 2에 도시한 바와 같이 로드 포트(LP1~LP4)를 1층의 로드록 모듈(LML1, LML2)보다도 낮은 위치에 설치하고 있다.
한편, 클러스터 툴에 있어서는, 반도체 웨이퍼(W)를 대기계로부터 진공계로 투입할 때에, 반도체 웨이퍼(W)의 오리엔테이션 플랫 또는 노치를 정해진 위치에 맞추는 것이 바람직하다. 이 실시형태의 진공 처리 장치에서는, 각 로드록 모듈(LML1, LML2, LMU1, LMU2)이 개별 로드록실(16)을 갖는 독립된 유닛으로서 구성되어 있어, 오리엔테이션 플랫 맞춤 기구를 탑재하기 위한 스페이스 및 하드웨어 상의 여유가 있다. 도시는 생략하지만, 일례로서, 배치대(18)에 스핀 척을 부착하고, 방위각 방향에서 반도체 웨이퍼(W)의 노치를 광학적으로 검출하기 위한 광학 센서를 설치함으로써, 각 모듈(유닛)별로 오리엔테이션 플랫 맞춤 기구를 장비할 수 있다.
이 실시형태의 로더 모듈은 공통 로드 포트(LP2, LP3) 상의 로트 처리중 또는 시작중인 카세트(CR)에 대하여, 제1 및 제2 대기 반송 로봇(64, 66)이 교대로 액세스하여 반도체 웨이퍼(W)의 취출/장입을 신속하고 또한 원활하게 행할 수 있기 때문에, 대기계의 반송 효율을 크게 향상시키고, 진공계의 고스루풋화에도 어려움없이 대응할 수 있다.
[일 실시예에 있어서의 장치 전체의 동작]
여기서, 도 6a 및 도 6b를 참조하여, 이 진공 처리 장치에 있어서의 전체 동작의 일 실시예를 설명한다. 이 실시예에 있어서의 클러스터 툴 방식은, 시분할(time sharing) 방식으로 동시 액세스 가능한 중간의 로드 포트(LP2, LP3)에 반입된 카세트(CR2, CR3) 내의 반도체 웨이퍼(W)를 처리 대상으로 하여, 모든 프로세스 모듈(PM1, PM2, PM3, PM4)에 동일 조건의 플라즈마 처리를 일률적으로 행하게 하는 것으로, 특히 단시간(예컨대 30초 이하)의 프로세스를 고스루풋으로 실시하는 경우에 적합하게 채용된다.
이 실시예에서는, 예컨대 로드 포트(LP2) 상의 카세트(CR2)로부터 1 로트 25장의 웨이퍼(W1~W25)를 순서대로 1장씩 취출하여, 병렬적으로 가동하는 프로세스 모듈(PM1, PM2, PM3, PM4) 중 어느 하나에 균등하게 할당해 반입하고, 각 프로세스 모듈(PM1, PM2, PM3, PM4)에서 처리가 끝난 웨이퍼(W)를 신속하게 반출하여 카세트(CR2)에 1장씩 반환한다. 로더 모듈(15)에 있어서, 제1 대기 반송 로봇(64)은 오로지 제1조(1층)의 로드록 모듈(LML1, LML2)을 담당하고, 제2 대기 반송 로봇(66)은 오로지 제2조(2층)의 로드록 모듈(LMU1, LMU2)을 담당한다.
도 6a 및 도 6b에 있어서, "66", "64"는 대기 반송 로봇(66, 64)의 동작 시퀀스를 각각 나타낸다. 그 중에서, "LP"는 아암[Ja(Jb), Ka(Kb)]을 로드 포트(LP)(이 예에서는, LP2, LP3)를 향하여 이동시키는 것을 나타내고, "복귀"는 로드록 모듈(LM)(LML1, LML2, LMU1, LMU2)의 로드록실(16)로부터 아암[Ja(Jb), Ka(Kb)]을 후퇴시켜 원래의 아암 위치로 복귀시키는 동작을 나타낸다.
또한, 도면 중의 "LML1", "LMU1", "LML2", "LMU2"는 로드록 모듈(LML1, LMU1, LML2, LMU2)의 동작 시퀀스를 각각 나타낸다. 그 중에서, "vac"는 로드록실(16)의 진공 배기를 말하고, "atm"는 로드록실(16)의 퍼징 내지 대기 개방을 말한다.
또한, 도면 중의 "HR1", "HR2", "VR1", "VR2"는 제3 진공 반송 로봇(34)의 좌측 수평 반송부(HR1), 우측 수평 반송부(HR2), 좌측 수직 반송부(VR1), 우측 수직 반송부(VR2)의 동작 시퀀스를 각각 나타낸다. "30", "32"는 제1 및 제2 진공 반송 로봇(30, 32)의 동작 시퀀스를 각각 나타낸다. "PM1", "PM3", "PM4", "PM2"는 프로세스 모듈(PM1, PM3, PM4, PM2)의 동작 시퀀스를 각각 나타낸다.
이 실시예에 있어서, 제2 대기 반송 로봇(66)은 아암(Ka, Kb) 중 어느 한쪽을 사용하여, t0~t1 기간중에 로드 포트(LP2) 상의 카세트(CR2)로 이동하고, t1~t2 기간중에 카세트(CR2)로부터 1번째의 웨이퍼(W1)를 취출하고, t2~t3 기간중에 제2조(2층)의 좌측 로드록 모듈(LMU1)에 웨이퍼(W1)를 반입한다. 그리고, 제2 대기 반송 로봇(66)은 t3~t4 기간중에 금회 이용한 아암(Ka, Kb)을 로드록 모듈(LMU1)의 대기 위치로부터 원래의 아암 위치로 복귀시킨다. 웨이퍼(W1)가 반입된 로드록 모듈(LMU1)에서는, t3~t5 기간중에 진공 배기를 한다. 한편, 로더 모듈(15)로부터 로드록 모듈(LMU1)로 웨이퍼(W)가 반입될 때, 혹은 반대로 로드록 모듈(LMU1)로부터 로더 모듈(15)에 웨이퍼(W)가 반출될 때에는, 도어 밸브(DVU1)가 일시적으로 열린다. 마찬가지로, 다른 로드록 모듈(LMU2, LML1, LML2)에서도, 로더 모듈(15)의 사이에서 웨이퍼(W)의 반입/반출이 이루어질 때에는, 도어 밸브(DVU2, DVL1, DVL2)가 각각 일시적으로 열린다.
한편, 제1 대기 반송 로봇(64)은 아암(Ja, Jb) 중 어느 한쪽을 사용하여, t2~t3 기간중에 카세트(CR2)로 이동하고, t3~t4 기간중에 카세트(CR2)로부터 2번째의 웨이퍼(W2)를 취출하여, t4~t5 기간중에 제1조(1층)의 좌측 로드록 모듈(LML1)에 웨이퍼(W2)를 반입한다. 그리고, 제1 대기 반송 로봇(64)은 t5~t6 기간중에 로드록 모듈(LML1)의 대기 위치로부터 원래의 아암 위치로 복귀한다. 웨이퍼(W2)가 반입된 로드록 모듈(LML1)은 t5~t7 기간중에 진공 배기를 한다.
또한, 제2 대기 반송 로봇(66)은 아암(Ka, Kb) 중 어느 한쪽을 사용하여, t4~t5 기간중에 로드 포트(LP2) 상의 카세트(CR2)로 이동하고, t5~t6 기간중에 카세트(CR2)로부터 3번째의 웨이퍼(W3)를 취출하여, t6~t8 기간중에 제2조(2층)의 우측 로드록 모듈(LMU2)에 웨이퍼(W3)를 반입한다. 이렇게 해서, 제2 대기 반송 로봇(66)은 후술하는 바와 같이 처리가 끝난 1번째의 웨이퍼(W1)가 진공계로부터 대기계로 돌아올 때까지 동안은, 일정한 사이클로 홀수번째의 웨이퍼(W1, W3, W5, W7 ‥)를 순서대로 1장씩 카세트(CR2)로부터 제2조(2층)의 로드록 모듈(LMU1, LMU2) 중 어느 하나에 할당하여 전송하는 동작을 반복한다.
한편, 제1 대기 반송 로봇(64)은 아암(Ja, Jb) 중 어느 한쪽을 사용하여, t5~t6 기간중에 로드 포트(LP2) 상의 카세트(CR2)로 이동하고, t8~t10 기간중에 카세트(CR2)로부터 4번째의 웨이퍼(W4)를 취출하여, t10~t11 기간중에 제1조(1층)의 우측로드록 모듈(LML2)에 웨이퍼(W4)를 반입한다. 이렇게 해서, 제1 대기 반송 로봇(64)는, 후술하는 바와 같이 처리가 끝난 2번째의 웨이퍼(W2)가 진공계에서 대기계로 돌아올 때까지 동안은, 일정한 사이클로 짝수번째의 웨이퍼(W2, W4, W6, W8 ‥)를 순서대로 1장씩 카세트(CR2)로부터 제1조(1층)의 로드록 모듈(LML1, LML2) 중 어느 하나에 할당하여 전송하는 동작을 반복한다.
1번째의 웨이퍼(W1)를 넣어 진공 배기가 끝난 제2조(2층)의 좌측 로드록 모듈(LMU1)에서는, t6~t7 기간중에, 제3 진공 반송 로봇(34)의 좌측 수평 반송부(HR1)가 웨이퍼(W1)를 로드록실(16) 밖, 즉 진공 처리실(10)로 반출한다. 한편, 로드록 모듈(LMU1)로부터 진공 처리실(10)에 웨이퍼(W)가 반출될 때, 혹은 반대로 진공 처리실(10)로부터 로드록 모듈(LMU1)에 웨이퍼(W)가 반입될 때에는, 게이트 밸브(GVU1)가 일시적으로 열린다. 마찬가지로, 다른 로드록 모듈(LMU2, LML1, LML2)과 진공 처리실(10) 사이에서 웨이퍼(W)의 반입/반출이 이루어질 때에는, 게이트 밸브(GVU2, GVL1, GVL2)가 각각 일시적으로 열린다.
좌측 수평 반송부(HR1)는 로드록 모듈(LMU1)로부터 반출한 1번째의 웨이퍼(W1)를 갖고서 2층의 좌측 수평 반송로를 수평 일직선으로 이동하여, t8~t9 기간중에 2층 이동 탑재 위치(TPU)에서 좌측 수직 반송부(VR1)[버퍼(48U, 48L) 중 어느 하나]에 웨이퍼(W1)를 건넨다.
1번째의 웨이퍼(W1)를 수취한 좌측 수직 반송부(VR1)는 직후에 1층으로 내려가, t10~t11 기간중에 1층 이동 탑재 위치(TPL)에서 제2 진공 반송 로봇(32)에 웨이퍼(W1)를 인수하게 한다. 제2 진공 반송 로봇(32)은 반송 아암(Fc, Fd) 중 어느 하나가 좌측 수직 반송부(VR1)로부터 1번째의 웨이퍼(W1)를 인수하면, 선회 운동하여, t12~t14 기간중에 제2조의 한쪽의 프로세스 모듈(PM3)에 웨이퍼(W1)를 반입한다.
한편, 2번째의 웨이퍼(W2)에 대하여 진공 배기가 끝난 제1조(1층)의 좌측 로드록 모듈(LML1)에서는, t10~t11 기간중에, 제1 진공 반송 로봇(30)이 반송 아암(Fa, Fb) 중 어느 하나를 이용하여 로드록실(16)로부터 2번째의 웨이퍼(W2)를 반출한다. 이어서, 제1 진공 반송 로봇(30)은 선회 운동하여, t12~t14 기간중에 제1조의 한쪽의 프로세스 모듈(PM1)에 웨이퍼(W2)를 반입한다.
상기한 바와 같이 하여, 제2조의 한쪽의 프로세스 모듈(PM3)에 1번째의 웨이퍼(W1)가 반입되고, 이와 동시에 제1조의 한쪽의 프로세스 모듈(PM1)에 2번째의 웨이퍼(W2)가 반입된다. 양 프로세스 모듈(PM3, PM1)은 t14~t26 기간중에 이들 웨이퍼(W1, W2)에 대하여 동일한 프로세스 조건으로 플라즈마 처리를 실시한다.
한편, 3번째의 웨이퍼(W3)를 반입하여 t8~t11 기간중에 진공 배기를 한 제2조(2층)의 우측 로드록 모듈(LMU2)에서는, t12~t13 기간중에 제3 진공 반송 로봇(34)의 우측 수평 반송부(HR2)가 로드록실(16)로부터 그 웨이퍼(W3)를 반출한다. 우측 수평 반송부(HR2)는, 로드록 모듈(LMU2)로부터 반출한 3번째의 웨이퍼(W3)를 갖고서 2층의 우측 수평 반송로를 수평 일직선으로 이동하고, t14~t15 기간중에 2층 이동 탑재 위치(TPU)에서 우측 수직 반송부(VR2)[버퍼(48U, 48L) 중 어느 하나]에 웨이퍼(W3)를 건넨다.
3번째의 웨이퍼(W1)를 수취한 우측 수직 반송부(VR2)는 직후에 1층으로 내려가, t16~t18 기간중에 1층 이동 탑재 위치(TPL)에서 제2 진공 반송 로봇(32)에 3번째의 웨이퍼(W3)를 인수하게 한다. 제2 진공 반송 로봇(32)은 반송 아암(Fc, Fd) 중 어느 하나가 우측 수직 반송부(VR2)로부터 웨이퍼(W3)를 인수하면, 선회 운동하여, t19~t21 기간중에 제2조의 다른 쪽의 프로세스 모듈(PM4)에 웨이퍼(W3)를 반입한다.
한편, 4번째의 웨이퍼(W4)를 반입하여 t11~t14 기간중에 진공 배기를 한 제1조(1층)의 우측 로드록 모듈(LML2)에서는, t16~t18 기간중에 제1 진공 반송 로봇(30)이 반송 아암(Fa, Fb) 중 어느 하나를 이용하여 로드록실(16)로부터 웨이퍼(W4)를 취출한다. 이어서, 제1 진공 반송 로봇(30)은 선회 운동하여, t19~t21 기간중에 제1조의 다른 쪽의 프로세스 모듈(PM2)에 웨이퍼(W4)를 반입한다.
상기한 바와 같이 하여, 제2조의 다른 쪽의 프로세스 모듈(PM4)에 3번째의 웨이퍼(W3)가 반입되고, 이것과 동시에 제1조의 다른 쪽의 프로세스 모듈(PM2)에 4번째의 웨이퍼(W4)가 반입된다. 양 프로세스 모듈(PM4, PM2)은 t21~t33 기간중에 이들 웨이퍼(W3, W4)에 대하여 상기 동일한 프로세스 조건으로 플라즈마 처리를 실시한다.
제3 진공 반송 로봇(34)에 있어서는, t19~t25 기간중에, 좌측 수평 반송부(HR1) 및 좌측 수직 반송부(VR1)가 1번째의 웨이퍼(W1)에 대하여 행한 동작과 완전히 같은 동작을 반복하여, 5번째의 웨이퍼(W5)를 제2조(2층)의 좌측 로드록 모듈(LMU1)로부터 제2 진공 반송 로봇(32)에 전송한다. 제2 진공 반송 로봇(32)은 반송 아암(Fc, Fd) 중 어느 하나를 이용하여 좌측 수직 반송부(VR1)로부터 이 5번째의 웨이퍼(W5)를 인수하면, 선회 운동하여, t26~t28 기간중에 제2조의 한쪽의 프로세스 모듈(PM3)에 액세스하여, 반송 아암(Fc, Fd) 중 비어 있는 쪽에서 처리가 끝난 1번째의 웨이퍼(W1)를 반출하고, 그 대신에 미처리된 5번째의 웨이퍼(W5)를 반입한다.
한편, 제1 진공 반송 로봇(30)은 t18~t21 기간중에 진공 배기를 한 제1조(1층)의 우측 로드록 모듈(LML2)로부터, 반송 아암(Fa, Fb) 중 어느 하나를 이용하여 t23~t25 기간중에 6번째의 웨이퍼(W6)를 반출한다. 이어서, 제1 진공 반송 로봇(30)은 선회 운동하여, t26~t28 기간중에 제1조의 한쪽의 프로세스 모듈(PM1)에 액세스하여, 반송 아암(Fa, Fb) 중 비어 있는 쪽에서 처리가 끝난 2번째의 웨이퍼(W2)를 반출하고, 그 대신에 미처리된 6번째의 웨이퍼(W6)를 반입한다.
상기한 바와 같이 하여, 제2조의 프로세스 모듈(PM3)에 5번째의 웨이퍼(W5)가 반입되고, 이것과 동시에 제1조의 프로세스 모듈(PM1)에 6번째의 웨이퍼(W6)가 반입된다. 양 프로세스 모듈(PM3, PM1)은 t28~t41 기간중에 이들 웨이퍼(W5, W6)에 대하여 상기와 동일한 프로세스 조건으로 플라즈마 처리를 실시한다.
제2 진공 반송 로봇(32)은 상기한 바와 같이, 프로세스 모듈(PM3)로부터 1번째의 웨이퍼(W1)를 반출한 직후의 t30~t32 기간중에, 제3 진공 반송 로봇(34)의 우측 수직 반송부(VR2)에 액세스하여, 처리가 끝난 1번째의 웨이퍼(W1)를 우측 수직 반송부(VR2)[버퍼(48U, 48L) 중 어느 한쪽]에 건네고, 대신에 우측 수직 반송부(VR2)가 운반해온 미처리된 7번째의 웨이퍼(W7)를 수취한다.
한편, 제1 진공 반송 로봇(30)은 상기한 바와 같이, 프로세스 모듈(PM1)로부터 2번째의 웨이퍼(W2)를 반출한 직후의 t30~t32 기간중에, 제1조(1층)의 우측 로드록 모듈(LML2)에 액세스하여, 그 로드록실(16)로부터 미처리된 8번째의 웨이퍼(W8)를 반출하고, 그 대신에 처리가 끝난 2번째의 웨이퍼(W2)를 반입한다.
2번째의 웨이퍼(W2)가 반입된 로드록 모듈(LML2)은 t32~t35 기간중에 대기 개방을 한다. 그러한 후, 제1 대기 반송 로봇(64)이 t37~t39 기간중에 로드록 모듈(LML2)에 액세스하여, 그 로드록실(16)로부터 처리가 끝난 2번째의 웨이퍼(W2)를 반출하고, 그 대신에 미처리된 12번째의 웨이퍼(W12)를 반입한다.
이렇게 해서 로드록 모듈(LML2)로부터 처리가 끝난 2번째의 웨이퍼(W2)를 반출한 제1 대기 반송 로봇(64)은 t39~t41 기간중에 로드 포트(LP2) 상의 카세트(CR2)의 대기 위치로 이동하여, t41~t42 기간중에 웨이퍼(W2)를 반환하고, 미처리된 14번째의 웨이퍼(W14)를 취출한다.
한편, 상기한 바와 같이, t30~t32 기간중에 제2 진공 반송 로봇(32)으로부터 처리가 끝난 1번째의 웨이퍼(W1)를 우측 수직 반송부(VR2)에 수취한 제3 진공 반송 로봇(34)은 t41~t42 기간중에 우측 수직 반송부(VR2)로부터 우측 수평 반송부(HR2)로 웨이퍼(W1)를 바꿔 옮기고, t43~t44 기간중에 제2조(2층)의 우측 로드록 모듈(LMU2)에 웨이퍼(W1)를 반입한다.
1번째의 웨이퍼(W1)가 반입된 로드록 모듈(LMU2)은 t44~t47 기간중에 대기 개방을 한다. 그러한 후, 제2 대기 반송 로봇(66)이 t47~t48 기간중에 로드록 모듈(LMU2)에 액세스하여, 그 로드록실(16)로부터 처리가 끝난 1번째의 웨이퍼(W1)를 반출하고, 그 대신에 미처리된 15번째의 웨이퍼(W15)를 반입한다.
이렇게 해서 로드록 모듈(LMU2)로부터 처리가 끝난 1번째의 웨이퍼(W1)를 반출한 제2 대기 반송 로봇(66)은 t48~t49 기간중에 로드 포트(LP2) 상의 카세트(CR2)의 대기 위치로 이동하여 웨이퍼(W1)를 반환하고, t50~t51 기간중에 미처리된 17번째의 웨이퍼(W17)를 취출한다.
한편, 제2 진공 반송 로봇(32)은 t33~t35 기간중에 제2조의 다른 쪽의 프로세스 모듈(PM4)에 액세스하여, 처리가 끝난 3번째의 웨이퍼(W3)를 반출하고, 그 대신에 미처리된 7번째의 웨이퍼(W7)를 반입한다. 또한, 제1 진공 반송 로봇(30)은 t33~t35 기간중에 제1조의 다른 쪽의 프로세스 모듈(PM2)에 액세스하여, 처리가 끝난 4번째의 웨이퍼(W4)를 반출하고, 그 대신에 미처리된 8번째의 웨이퍼(W8)를 반입한다.
제2 진공 반송 로봇(32)은 상기한 바와 같이, 프로세스 모듈(PM4)로부터 3번째의 웨이퍼(W3)를 반출한 직후의 t37~t39 기간중에, 제3 진공 반송 로봇(34)의 좌측 수직 반송부(VR1)에 액세스하여, 처리가 끝난 3번째의 웨이퍼(W3)를 좌측 수직 반송부(VR1)[버퍼(48U, 48L) 중 어느 한쪽]에 건네고, 대신에 좌측 수직 반송부(VR1)가 운반해온 미처리된 9번째의 웨이퍼(W9)를 수취한다.
한편, 제1 진공 반송 로봇(30)은 상기한 바와 같이, 프로세스 모듈(PM2)로부터 4번째의 웨이퍼(W4)를 반출한 직후의 t37~t39 기간중에, 제1조(1층)의 좌측 로드록 모듈(LML1)에 액세스하여, 그 로드록실(16)로부터 미처리된 10번째의 웨이퍼(W10)를 반출하고, 그 대신에 처리가 끝난 4번째의 웨이퍼(W4)를 반입한다.
4번째의 웨이퍼(W4)가 반입된 로드록 모듈(LML1)은 t39~t42 기간중에 대기 개방을 한다. 그러한 후, 제1 대기 반송 로봇(64)이 t44~t45 기간중에 로드록 모듈(LML1)에 액세스하여, 그 로드록실(16)로부터 처리가 끝난 4번째의 웨이퍼(W4)를 반출하고, 그 대신에 미처리된 14번째의 웨이퍼(W14)를 반입한다.
이렇게 해서 로드록 모듈(LML1)로부터 처리가 끝난 4번째의 웨이퍼(W4)를 반출한 제1 대기 반송 로봇(64)은 t45~t47 기간중에 로드 포트(LP2) 상의 카세트(CR2)의 대기 위치로 이동하여, t47~t48 기간중에 웨이퍼(W4)를 반환하고, 미처리된 16번째의 웨이퍼(W16)를 취출한다.
한편, 상기한 바와 같이, t37~t39 기간중에 제2 진공 반송 로봇(32)으로부터 처리가 끝난 3번째의 웨이퍼(W3)를 좌측 수직 반송부(VR1)에 수취한 제3 진공 반송 로봇(34)은 t47~t48 기간중에 좌측 수직 반송부(VR1)로부터 좌측 수평 반송부(HR1)로 웨이퍼(W3)를 바꿔 옮기고, t48~t49 기간중에 제2조(2층)의 좌측 로드록 모듈(LMU1)에 웨이퍼(W3)를 반입한다.
3번째의 웨이퍼(W3)가 반입된 로드록 모듈(LMU1)은 t49~t52 기간중에 대기 개방을 한다. 그러한 후, 제2 대기 반송 로봇(66)이 t52~t53 기간중에 로드록 모듈(LMU1)에 액세스하여, 그 로드록실(16)로부터 처리가 끝난 3번째의 웨이퍼(W3)를 반출하고, 그 대신에 미처리된 17번째의 웨이퍼(W17)를 반입한다.
이렇게 해서 로드록 모듈(LMU1)로부터 처리가 끝난 3번째의 웨이퍼(W3)를 반출한 제2 대기 반송 로봇(66)은 t54~t55 기간중에 로드 포트(LP2) 상의 카세트(CR2)의 대기 위치로 이동하여 웨이퍼(W3)를 반환하고, t55~t56 기간중에 미처리된 19번째의 웨이퍼(W19)를 취출한다.
이후에도, 각 부에서 상기와 같은 동작이 반복된다. 그리고, 로드 포트(LP2) 상의 카세트(CR2)에 대한 1 로트분의 처리가 종료되면, 이웃 로드 포트(LP3) 상의 카세트(CR3)에 대해서도 상기와 같은 1 로트분의 처리가 반복된다. 이렇게 해서, 2개의 로드 포트(LP2, LP3) 상의 카세트(CR2, CR3)에 대하여 교대로 1 로트분의 처리가 연속적으로 반복된다.
도 6a 및 도 6b에 도시한 바와 같이, 이 실시예에서는, 모든 진공 반송 로봇(30, 32, 34), 모든 대기(大氣) 반송 로봇(64, 66), 모든 로드록 모듈(LML1, LML2, LMU1, LMU2) 및 모든 프로세스 모듈(PM1, PM2, PM3, PM4)이 거의 대기 시간 없이, 혹은 필요 최소한의 대기 시간을 두고서 고효율로 풀(full)가동한다. 그 중에서, 제1 진공 반송/처리부(60)와 제2 진공 반송/처리부(62)는 서로 독립적으로 가동하여, 제1 진공 반송 로봇(30)은 오로지 제1 진공 반송/처리부(60) 내의 웨이퍼 반송에만 종사하면 되며, 제2조(2층)의 로드록 모듈(LMU1, LMU2)과 제2조의 프로세스 모듈(PM3, PM4)의 사이에서의 웨이퍼 반송에 관여할(중개할) 필요는 전혀 없다. 따라서, 제1 진공 반송 로봇(30)에 반송 부하가 집중되는 일은 없다. 이와 같이, 모든 진공 반송 로봇(30, 32, 34)에 반송 부하가 상응하여 분산되기 때문에, 시스템 전체의 반송 능력 및 반송 효율이 높아, 클러스터 툴에 있어서의 단시간 프로세스의 스루풋을 대폭 향상시킬 수 있다.
[다른 실시형태 또는 변형예]
전술한 실시예에서는, 모든 프로세스 모듈(PM1, PM2, PM3, PM4)에 전부 일률적으로 동일한 프로세스를 병렬적으로 행하게 했다. 다른 실시예로서, 제1 진공 반송/처리부(60)에 있어서 1장의 반도체 웨이퍼(Wi)를 프로세스 모듈(PM1, PM2)에 순차 반송하여 2 종류 또는 2 단계의 프로세스를 연속적으로 행하게 하는 한편, 제2 진공 반송/처리부(62)에 있어서 다른 1장의 반도체 웨이퍼(Wj)를 프로세스 모듈(PM3, PM4)에 순차 반송하여 동일한 프로세스 조건으로 2 종류 또는 2 단계의 프로세스를 연속적으로 행하게 하는 식의 병렬 처리도 가능하다.
또한, 각각의 진공 반송/처리부(60, 62)에 포함되는 프로세스 모듈의 개수는 임의이며, 예컨대 3대 이상일 수도 있다. 또한, 제1 및/또는 제2 진공 반송 로봇(30, 32)의 본체가 제1 및/또는 제2 진공 반송 영역(TE1, TE2) 내에서 일정한 방향 또는 임의의 방향으로 이동하는 구성도 가능하다.
상기 실시형태에서는, 각각의 로드록 모듈(LML1, LML2, LMU1, LMU2)이 하우징 내에 반도체 웨이퍼(W)를 1장 단위로 수용하기 때문에, 로드록 모듈(16)의 내부를 컴팩트하게 할 수 있고, 급기 및 배기 동작이나 쿨링 동작을 매엽(枚葉) 단위로 수시로 또한 단시간에 행할 수 있다. 그렇지만, 필요에 따라서, 로드록실(16) 내에 반도체 웨이퍼(W)를 동시에 여러 장 수용할 수 있는 구성으로 하는 것도 가능하다.
나아가서는, 진공 반송실(10)을 안길이 방향으로 더욱 길게 연장시켜, 그 1층 부분에 또 다른(제4) 진공 반송 영역(도시하지 않음)을 형성하여, 이 제4 진공 반송 영역 내에 제4 진공 반송 로봇을 배치하고, 그 주위에 제3조의 프로세스 모듈을 배치하는 구성도 가능하다. 이 경우, 진공 반송실(10)을 3층으로 하여, 제2조(2층)의 로드록 모듈(LMU1, LMU2) 위에 제3조(3층)의 한 쌍의 로드록 모듈을 설치한다. 그리고, 상기 제3 진공 반송 로봇(34)과 같은 구성을 가지며, 3층과 1층 사이에서 이동하여, 제3조(3층)의 로드록 모듈과 제4 진공 반송 로봇의 사이에서 반도체 웨이퍼(W)를 1장씩 전송하는 제5 진공 반송 로봇을 구비한다. 한편, 각 층에서 로드록 모듈을 1대만 설치하는 구성, 혹은 3대 이상 설치하는 구성도 가능하다.
또한, 상기 실시형태에서는, 제3 진공 반송 로봇(34)에 있어서, 수직 반송부(VR1)(VR2)가 한 쌍의 버퍼(48U, 48L)를 구비하는 구성에 의해, 수직 반송부(VR1)(VR2)와 수평 반송부(HR1)(HR2)의 사이에서 미처리 반도체 웨이퍼(Wi)와 처리가 끝난 반도체 웨이퍼(Wj)를 교환할 수 있었다.
그러나, 일 변형예로서, 수직 반송부(VR1)(VR2)가 버퍼(48)를 하나만 구비하는 구성도 가능하다. 이 경우, 제2 진공 반송 로봇(32)은 한 쌍의 반송 아암(Fc, Fd)을 이용하여 픽&플레이스 방식에 의해 수직 반송부(VR1)(VR2)의 버퍼(48)로부터 미처리 반도체 웨이퍼(Wi)를 수취하고, 그 대신에 처리가 끝난 반도체 웨이퍼(Wj)를 건넬 수 있다. 그러나, 수평 반송부(HR1)(HR2)와 수직 반송부(VR1)(VR2)의 사이에서는 그와 같은 반도체 웨이퍼(Wi, Wj)의 교환을 할 수 없다. 그래서, 도 7에 도시한 바와 같이, 각 로드록 모듈(LM)의 로드록실(16) 내에 반도체 웨이퍼(W)를 1장씩 실어 지지할 수 있는 독립적으로 승강 이동 가능한 2개의 웨이퍼 지지부(70, 72)를 설치한다. 이 경우, 수평 반송부(HR1)(HR2)는 1개의 아암(AM1)(AM2)으로 운반해온 처리가 끝난 반도체 웨이퍼(Wj)를 한쪽, 예컨대 상부의 웨이퍼 지지부(72)에 로딩하고 나서, 일단 아암(AM1)(AM2)을 후퇴시킨다. 직후에, 로드록실(16) 내에서는, 상부의 웨이퍼 지지부(72)를 위쪽으로 후퇴시켜, 미처리 반도체 웨이퍼(Wi)를 지지하고 있는 쪽의 하부 웨이퍼 지지부(70)를 아암(AM1)(AM2)의 높이에 맞춰 놓는다. 그리고, 수평 반송부(HR1)(HR2)는 로드록실(16) 내에 빈 아암(AM1)(AM2)을 삽입 또는 진입시키고, 하부 웨이퍼 지지부(70)로부터 미처리 반도체 웨이퍼(Wi)를 수취하고, 이어서 아암(AM1)(AM2)을 후퇴시킨다.
상기 실시형태에서는, 각각의 로드록 모듈(LML1, LML2, LMU1, LMU2)에 오리엔테이션 플랫 맞춤 기구를 내장하였다. 그러나, 로더 모듈(15)의 대기 반송실 내에서 대기 반송 로봇(64, 66)의 액세스 가능한 위치에 각 전용 또는 공통의 오리엔테이션 플랫 맞춤 기구를 설치하는 것도 가능하다.
상기 실시형태에서는, 제2조(2층)의 로드록 모듈(LMU1, LMU2)을 제1조(1층)의 로드록 모듈(LML1, LML2) 위에 배치했다. 일 변형예로서, 도 8에 도시한 바와 같이, 제2조(2층)의 로드록 모듈(LMU1, LMU2)을 제1 진공 반송 영역(TE1) 위에 배치하는 구성도 가능하다.
이 경우, 대기 반송 로봇(64, 66)의 아암[Ja(Jb), Ka(Kb)]은 로더 모듈(15)측으로부터 제1조(1층)의 로드록 모듈(LML1, LML2) 위를 지나 제2조(2층)의 로드록 모듈(LMU1, LMU2)에 액세스한다. 진공 반송실(10)에서는, 2층에서 제3 진공 반송 영역(TE3)이 로드록 모듈(LML1, LML2)의 용적분만큼 작아져, 안길이 방향(X 방향)의 사이즈가 대폭 축소된다. 이에 따라, 제3 진공 반송 로봇(34)의 수평 반송부(HR1, HR2)에서는 반송 거리 또는 반송 시간이 단축된다.
또한, 제2조(2층)의 로드록 모듈(LMU1, LMU2)은 물론, 제1조(1층)의 로드록 모듈(LML1, LML2)에 있어서도, 이들의 천판을 임의로 떼어낼 수 있기 때문에, 메인터넌스성이 좋아진다. 또한, 제3 진공 반송 영역(TE3)의 개구부(13)의 위치에서 진공 반송실(10)의 바닥판과 천판에 개폐 가능한 해치(도시하지 않음)를 각각 부착하더라도 좋다. 대기 개방으로 진공 반송실(10) 내부의 메인터넌스를 실시할 때에는, 이들 해치를 열고 작업원이 개구부(13)로 들어가, 각 진공 반송 영역(TE1, TE2, TE3) 내의 부품 교환이나 청소 등을 할 수 있다.
한편, 대기계에서는, 도 8에 도시한 바와 같이, 제2조(2층)의 로드록 모듈(LMU1, LMU2)의 정면측 반송 영역 위에, 즉 제1조(1층)의 로드록 모듈(LML1, LML2)의 위쪽에, 로더 모듈(15)의 연장 천장부(또는 별개의 방진벽 또는 방진 커버)(80)를 설치하는 것이 바람직하다. 그리고, 로더 모듈(15)의 천장에 공기 청정 장치, 예컨대 팬 필터 유닛(FFU)(82)을 설치하는 경우는, 이 연장 천장부(80)에도 FFU(82)를 설치하는 것이 바람직하다.
또한, 도 9에 도시한 바와 같이, 제1조(1층)의 로드록 모듈(LML1, LML2)의 점유 스페이스를 시스템 폭 방향(Y 방향)으로 확장함으로써, 대기 반송실 내에서 양 로드록 모듈(LML1, LML2)의 정면측 영역의 중심부를 넓히고, 거기에 1대의 대기 반송 로봇(84)을 배치하는 것도 가능하다. 이 대기 반송 로봇(84)은 수평 방향의 슬라이드축을 갖지 않는 수직 다관절 로봇으로서 구성되어 있고, 본체(86)와, 이 본체(86)로부터 공중으로 뻗어 복수의 회전축에 의해 선회하는 한 쌍의 아암(Ma, Mb)(1개의 아암만 도시)을 갖는다. 각 아암(Ma, Mb)은 그 포크형의 엔드 이펙터로 1장의 반도체 웨이퍼(W)를 유지하거나 또는 지지할 수 있게 되어 있다. 그리고, 이 대기 반송 로봇(84)은 모든 로드 포트(LP1, LP2, LP3, LP4) 상의 웨이퍼 카세트(CR)와 모든 로드록 모듈(LML1, LML2, LMU1, LMU2)에 액세스 가능하여, 액세스처의 웨이퍼 카세트(CR) 또는 로드록 모듈(LM)에 대하여 반도체 웨이퍼(W)를 1장씩 취출하거나(반출하거나) 또는 1장씩 장입(반입)할 수 있고, 한 번의 액세스 시에 픽&플레이스 방식에 의해 미처리 웨이퍼(Wi)와 처리가 끝난 웨이퍼(Wj)를 교환할 수도 있다. 대기계의 반송 속도 및 반송 효율은 저하하지만, 이 1대의 대기 반송 로봇(84)에 상기 2대의 대기 반송 로봇(64, 66)분의 반송 태스크를 감당하게 할 수도 있다.
또한, 다른 변형예로서, 도시는 생략하지만, 제2조(2층)의 로드록 모듈(LMU1, LMU2)을 제1조(1층)의 로드록 모듈(LML1, LML2) 및 제1 진공 반송 영역(TE1) 위에 걸쳐 배치하는 구성도 가능하다.
도 10에, 제3 진공 반송 로봇(34)의 수평 반송부에 관한 일 변형예를 도시한다. 이 변형예는, 좌우의 수평 반송부(HR1, HR2) 사이의 스페이스에 각각의 직진 반송부(36, 36)를 설치하는 구성을 특징으로 한다. 예컨대, 양 수평 반송부(HR1, HR2) 사이에 안길이 방향(X 방향)으로 뻗는 장척형의 중심 프레임(90)을 설치하고, 이 중심 프레임(90)의 양면에 각각의 직진 반송부(36, 36)를 부착한다. 좌측 수직 반송부(VR1) 및 우측 수직 반송부(VR2)의 각각의 승강봉(승강축)(50)은 중심 프레임(90)측의 직진 반송부(36, 36)와 대향하여 진공 반송실(10)의 좌우 측벽에 근접하여 배치된다.
이러한 구성에 따르면, 좌측 수평 반송부(HR1)에 있어서는, 아암(AM1)을 안길이 방향(X 방향)으로 이동시킬 때에, 중심 프레임(90)을 따라서 운동하는 직진 반송부(36)[특히, 아암 지지부(44)]가 좌측 수직 반송부(VR1)의 승강봉(승강축)(50)과 간섭(충돌)하는 일이 없다. 이에 따라, 좌측 수평 반송부(HR1)는 좌측 수직 반송부(VR1)와의 사이에서 반도체 웨이퍼(W)를 주고받을 때에, 아암(AM1)을 앞쪽[로드록 모듈(LMU1) 부근]으로 후퇴시킬 수 있다. 예컨대, 좌측 수직 반송부(VR1)의 버퍼(48U)(또는 48L)로부터 처리가 끝난 반도체 웨이퍼(W)가 아암(AM1)으로 옮겨 탄 직후에, 아암(AM1)을 일단 후퇴시켜 좌측 수직 반송부(VR1)를 2층에서 1층으로 하강 이동시키는 것이 아니라, 좌측 수직 반송부(VR1)를 2층에 체류시킨 채로 아암(AM1)을 전진 이동시킬 수 있다. 따라서, 처리가 끝난 반도체 웨이퍼(W)를 수취한 아암(AM1)을 그대로 즉시 로드록 모듈(LMU1)의 실내까지 전진 이동시키는 것도 가능하다. 이에 따라, 좌측 수평 반송부(HR1)의 반송 속도 또는 반송 효율을 향상시킬 수 있다. 우측 수평 반송부(HR2)도 좌측 수평 반송부(HR1)와 같은 구성을 갖기 때문에, 같은 식의 반송 동작을 할 수 있다.
상기 실시형태에서는, 진공 반송실(10)의 1층에 제1 및 제2 진공 반송 영역(TE1, TE2), 제1조 및 제2조의 프로세스 모듈(PM1~PM4), 제1조의 로드록 모듈(LML1, LML2)을 설치하고, 2층에 제3 진공 반송 영역(TE3) 및 제2조의 로드록 모듈(LMU1, LMU2)을 설치했다. 그러나, 진공 반송실(10)의 내부 및 그 주위의 1층 부분과 2층 부분을 상하 반전시킨 구성, 즉 진공 반송실(10)의 2층에 제1 및 제2 진공 반송 영역(TE1, TE2), 제1조 및 제2조의 프로세스 모듈(PM1~PM4), 제1조의 로드록 모듈(LML1, LML2)을 설치하고, 1층에 제3 진공 반송 영역(TE3) 및 제2조의 로드록 모듈(LMU1, LMU2)을 설치하는 구성도 가능하다. 이 경우, 제1 및 제2 진공 반송 로봇(30, 32)은 진공 반송실(10)의 2층에서 수평 방향으로 나뉘는 제1 및 제2 진공 반송 영역(TE1, TE2) 내에서 각각 웨이퍼 반송 동작을 하고, 제3 진공 반송 로봇(34)은 1층 및 개구부(13)에서 넓어지는 제3 진공 반송 영역(TE3) 내에서 웨이퍼 반송 동작을 한다.
또한, 제3 진공 반송 로봇(34)이 수평 반송부(HR) 및 수직 반송부(VR)를 1조만 갖는 구성, 혹은 수평 반송부(HR) 및 수직 반송부(VR)의 각각의 기능을 1대로 겸하는 진공 반송 로봇의 구성 등도 가능하다.
본 발명에 있어서의 피처리 기판은 반도체 웨이퍼에 한정되지 않고, 예컨대 FPD(플랫 패널 디스플레이) 기판이라도 좋고, 클러스터 툴 방식의 진공 처리 장치로 임의의 처리를 받는 임의의 기판이라도 좋다.
10: 진공 반송실 12: 챔버
15: 로더 모듈 16: 로드록실
30: 제1 진공 반송 로봇 32: 제2 진공 반송 로봇
34: 제3 진공 반송 로봇 60: 제1 진공 반송/처리부
62: 제2 진공 반송/처리부 64: 제1 대기 반송 로봇
66: 제2 대기 반송 로봇 84: 대기 반송 로봇
LP1~LP4: 로드 포트 GV1~GV4: 게이트 밸브
GVL1, GVL2, GVU1, GVU2: 게이트 밸브 DVL1, DVL2, DVU1, DVU2: 도어 밸브
HR1: 좌측 수평 반송부 HR2: 우측 수평 반송부
VR1: 좌측 수직 반송부 VR2: 우측 수직 반송부
TE1: 제1 진공 반송 영역 TE2: 제2 진공 반송 영역
TE3: 제3 진공 반송 영역 TPL: 1층 이동 탑재 위치
TPU: 2층 이동 탑재 위치

Claims (23)

  1. 실내가 감압 상태로 유지되는 진공 반송실과,
    상기 진공 반송실 내에 수평 방향으로 나뉘어 형성되는 제1 및 제2 진공 반송 영역과,
    상기 제1 진공 반송 영역에 인접하여 상기 진공 반송실의 주위에 배치된 제1조의 프로세스 모듈 및 제1조의 로드록 모듈과,
    상기 제2 진공 반송 영역에 인접하여 상기 진공 반송실의 주위에 배치된 제2조의 프로세스 모듈과,
    상기 제1조의 프로세스 모듈 및 상기 제1조의 로드록 모듈에 액세스해서, 액세스처의 각 모듈과 기판을 주고받아, 상기 제1 진공 반송 영역 내에서 기판을 반송하는 제1 진공 반송 기구와,
    상기 제2조의 프로세스 모듈에 액세스해서, 액세스처의 각 모듈과 기판을 주고받아, 상기 제2 진공 반송 영역 내에서 기판을 반송하는 제2 진공 반송 기구와,
    상기 제1조의 로드록 모듈보다 높은 층 또는 낮은 층에 배치된 제2조의 로드록 모듈과,
    상기 진공 반송실 내에서 상기 제2조의 로드록 모듈에 인접하여 마련되며, 상기 제2 진공 반송 영역에 접속하는 제3 진공 반송 영역과,
    상기 제2조의 로드록 모듈에 액세스하여 액세스처의 각 모듈과 기판을 주고받고, 상기 제3 진공 반송 영역 내에 마련된 제1 이동 탑재 위치에서 상기 제2 진공 반송 기구와 기판을 주고받아, 상기 제3 진공 반송 영역에서 기판을 반송하는 제3 진공 반송 기구
    를 갖는 진공 처리 장치.
  2. 제1항에 있어서, 상기 제2조의 로드록 모듈은 상기 제1조의 로드록 모듈의 위 또는 아래에 배치되는 것인 진공 처리 장치.
  3. 제1항에 있어서, 상기 제2조의 로드록 모듈은 상기 제1 진공 반송 영역의 위 또는 아래에 배치되는 것인 진공 처리 장치.
  4. 제1항에 있어서, 상기 제2조의 로드록 모듈은 상기 제1조의 로드록 모듈 및 상기 제1 진공 반송 영역의 위 또는 아래에 걸쳐 배치되는 것인 진공 처리 장치.
  5. 제1항에 있어서, 상기 제3 진공 반송 기구는, 상기 제3 진공 반송 영역 내의 상기 제1 이동 탑재 위치의 위쪽 또는 아래쪽에 형성된 제2 이동 탑재 위치에서 서로 기판을 주고받을 수 있는 수평 이동 가능한 수평 반송부와 승강 이동 가능한 수직 반송부를 가지며,
    상기 수평 반송부는 상기 제2조의 로드록 모듈과 상기 제2 이동 탑재 위치의 사이에서 기판을 반송하고,
    상기 수직 반송부는 상기 제1 이동 탑재 위치와 상기 제2 이동 탑재 위치의 사이에서 기판을 반송하는 것인 진공 처리 장치.
  6. 제5항에 있어서, 상기 수평 반송부는 수평한 한 방향으로만 기판을 반송하기 위한 제1 직진 이동 기구를 갖는 것인 진공 처리 장치.
  7. 제5항에 있어서, 상기 수평 반송부는 기판을 1장 단위로 유지하거나 또는 지지할 수 있는 반송 아암을 갖는 것인 진공 처리 장치.
  8. 제5항에 있어서, 상기 수직 반송부는 수직 방향으로만 기판을 반송하기 위한 제2 직진 이동 기구를 갖는 것인 진공 처리 장치.
  9. 제5항에 있어서, 상기 수직 반송부는 동시에 2장의 기판을 배치하거나 또는 지지할 수 있는 한 쌍의 버퍼를 갖는 것인 진공 처리 장치.
  10. 제5항에 있어서, 상기 제2조의 로드록 모듈은 가로로 나란하게 배치되는 한 쌍의 로드록 모듈을 포함하고,
    상기 제3 진공 반송 기구는, 상기 가로로 나란하게 배치되는 한 쌍의 로드록 모듈에 각각 대응하여, 가로로 나란하게 설치되며 각각 독립적으로 동작하는 한 쌍의 상기 수평 반송부와, 가로로 나란하게 설치되며 각각 독립적으로 동작하는 한 쌍의 상기 수직 반송부를 갖는 것인 진공 처리 장치.
  11. 제1항에 있어서, 다수의 기판을 일정 간격으로 나란하게 하여 출입 가능하게 수납하는 카세트를 투입 또는 불출하기 위해서, 상기 제1조 및 제2조의 로드록 모듈과 대향하여 대기(大氣) 공간 내의 정해진 위치에 설치되는 제1 로드 포트와,
    상기 제1 로드 포트에 놓인 제1 카세트와 어느 하나의 상기 로드록 모듈의 사이에서 기판을 반송하는 제1 대기(大氣) 반송 기구와,
    상기 제1 로드 포트 상의 상기 제1 카세트와 다른 어느 하나의 상기 로드록 모듈의 사이에서 기판을 반송하는 제2 대기 반송 기구
    를 가지며,
    상기 제1 및 제2 대기 반송 기구는 상기 제1 카세트에 대하여 기판의 취출 또는 기판의 장입을 1장씩 교대로 행하는 것인 진공 처리 장치.
  12. 제11항에 있어서, 상기 제1 대기 반송 기구는 상기 제1 카세트와 상기 제1조의 로드록 모듈의 사이에서 기판을 반송하고,
    상기 제2 대기 반송 기구는 상기 제1 카세트와 상기 제2조의 로드록 모듈의 사이에서 기판을 반송하는 것인 진공 처리 장치.
  13. 제12항에 있어서, 상기 제1 대기 반송 기구가 기판의 취출 또는 기판의 장입을 위해서 상기 제1 카세트에 액세스하는 동안에, 상기 제2 대기 반송 기구는 기판의 취출 또는 기판의 장입을 위해 상기 제2조의 로드록 모듈에 액세스하고,
    상기 제2 대기 반송 기구가 기판의 취출 또는 기판의 장입을 위해 상기 제1 카세트에 액세스하는 동안에, 상기 제1 대기 반송 기구는 기판의 취출 또는 기판의 장입을 위해 상기 제1조의 로드록 모듈에 액세스하는 것인 진공 처리 장치.
  14. 제11항에 있어서, 대기 공간 내에서 상기 제1 로드 포트에 인접하여 설치되는 제2 로드 포트를 더 가지며,
    상기 제1 및 제2 대기 반송 기구는 상기 제2 로드 포트에 놓인 제2 카세트에 대해서도 기판의 취출 또는 기판의 장입을 1장씩 교대로 행하는 것인 진공 처리 장치.
  15. 제11항에 있어서, 상기 제1 및 제2 대기 반송 기구의 각각은 수평 방향의 슬라이드축을 갖지 않는 다관절 로봇을 갖는 것인 진공 처리 장치.
  16. 제1항에 있어서, 상기 제1조에 속하는 모든 프로세스 모듈 및 상기 제2조에 속하는 모든 프로세스 모듈은 동일한 단일 프로세스를 반복해서 수행하는 것인 진공 처리 장치.
  17. 제1항에 있어서, 상기 제1조의 프로세스 모듈 및 상기 제2조의 프로세스 모듈은 동일한 복합 프로세스를 반복해서 수행하는 것인 진공 처리 장치.
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
KR1020137018956A 2011-01-20 2012-01-18 진공 처리 장치 KR101744372B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2011009859 2011-01-20
JPJP-P-2011-009859 2011-01-20
PCT/JP2012/000271 WO2012098871A1 (ja) 2011-01-20 2012-01-18 真空処理装置

Publications (2)

Publication Number Publication Date
KR20140004132A KR20140004132A (ko) 2014-01-10
KR101744372B1 true KR101744372B1 (ko) 2017-06-07

Family

ID=46515506

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137018956A KR101744372B1 (ko) 2011-01-20 2012-01-18 진공 처리 장치

Country Status (5)

Country Link
US (1) US9443749B2 (ko)
JP (1) JP6006643B2 (ko)
KR (1) KR101744372B1 (ko)
TW (1) TWI571953B (ko)
WO (1) WO2012098871A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210055082A (ko) * 2018-09-21 2021-05-14 도쿄엘렉트론가부시키가이샤 진공 처리 장치 및 기판 반송 방법

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5060517B2 (ja) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2014093489A (ja) * 2012-11-06 2014-05-19 Tokyo Electron Ltd 基板処理装置
WO2014080067A1 (en) 2012-11-23 2014-05-30 Picosun Oy Substrate loading in an ald reactor
JP6058999B2 (ja) * 2012-12-11 2017-01-11 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
TWI814354B (zh) * 2013-01-22 2023-09-01 美商布魯克斯自動機械美國公司 基材運送
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR101527901B1 (ko) * 2013-10-10 2015-06-10 피에스케이 주식회사 기판 처리 장치 및 기판 반송 방법
KR20210127823A (ko) * 2013-11-04 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 증가된 개수의 측들을 갖는 이송 챔버들, 반도체 디바이스 제조 프로세싱 툴들, 및 프로세싱 방법들
JP6484563B2 (ja) * 2013-12-26 2019-03-13 コニカミノルタ株式会社 電子デバイスの印刷製造システム
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
KR101722915B1 (ko) * 2014-10-13 2017-04-04 주식회사 테스 유기금속화학기상증착장치
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN106033737B (zh) * 2015-03-16 2019-01-18 中微半导体设备(上海)有限公司 真空锁系统及基片传送方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6089082B1 (ja) * 2015-09-29 2017-03-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
CN106558520A (zh) * 2015-09-29 2017-04-05 北京北方微电子基地设备工艺研究中心有限责任公司 晶片传输系统及晶片传输方法
US10014196B2 (en) * 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP6336231B1 (ja) * 2016-11-02 2018-06-06 株式会社アルバック 真空処理装置
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
JP6442648B1 (ja) * 2017-06-14 2018-12-19 株式会社アルバック 真空処理装置
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
CN108172531B (zh) * 2017-12-20 2021-01-15 武汉华星光电半导体显示技术有限公司 刻蚀设备
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
JP2019192892A (ja) 2018-04-18 2019-10-31 東京エレクトロン株式会社 処理システムおよび処理方法
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7014055B2 (ja) * 2018-06-15 2022-02-01 東京エレクトロン株式会社 真空処理装置、真空処理システム、及び真空処理方法
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
CN109244186B (zh) * 2018-09-19 2024-02-27 通威太阳能(安徽)有限公司 一种新型背钝化背膜正膜机台镀膜连体上下料装置及方法
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
JP7394554B2 (ja) * 2019-08-07 2023-12-08 東京エレクトロン株式会社 基板処理システム
EP4102550A4 (en) 2020-02-05 2023-02-01 Kabushiki Kaisha Yaskawa Denki TRANSPORT SYSTEM, TRANSPORT METHOD AND TRANSPORT DEVICE
JP7279858B2 (ja) * 2020-05-21 2023-05-23 株式会社安川電機 搬送装置、搬送方法および搬送システム
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US11935771B2 (en) 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers
US11935770B2 (en) 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006156762A (ja) 2004-11-30 2006-06-15 Sumitomo Eaton Noba Kk ウエハ処理装置及びウエハ処理方法並びにイオン注入装置
JP2008521261A (ja) * 2004-11-22 2008-06-19 アプライド マテリアルズ インコーポレイテッド バッチ処理チャンバを用いた基板処理装置
JP2009260087A (ja) * 2008-04-17 2009-11-05 Dainippon Screen Mfg Co Ltd 基板処理装置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
US6440261B1 (en) * 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
JP3674864B2 (ja) 2003-03-25 2005-07-27 忠素 玉井 真空処理装置
US7246985B2 (en) 2004-04-16 2007-07-24 Axcelis Technologies, Inc. Work-piece processing system
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US7695232B2 (en) 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
JP4098338B2 (ja) * 2006-07-20 2008-06-11 川崎重工業株式会社 ウェハ移載装置および基板移載装置
US7949425B2 (en) 2006-12-06 2011-05-24 Axcelis Technologies, Inc. High throughput wafer notch aligner
JP2009064864A (ja) 2007-09-05 2009-03-26 Hitachi High-Technologies Corp 半導体処理装置
JP5128918B2 (ja) * 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
JP5000627B2 (ja) * 2008-11-27 2012-08-15 東京エレクトロン株式会社 基板処理システム
JP5139253B2 (ja) * 2008-12-18 2013-02-06 東京エレクトロン株式会社 真空処理装置及び真空搬送装置
TWI394224B (zh) * 2009-02-24 2013-04-21 Intevac Inc 載送及處理基板之裝置與方法
JP5736687B2 (ja) * 2009-10-06 2015-06-17 東京エレクトロン株式会社 基板処理装置
TW201123340A (en) * 2009-11-12 2011-07-01 Hitachi High Tech Corp Vacuum processing system and vacuum processing method of semiconductor processing substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008521261A (ja) * 2004-11-22 2008-06-19 アプライド マテリアルズ インコーポレイテッド バッチ処理チャンバを用いた基板処理装置
JP2006156762A (ja) 2004-11-30 2006-06-15 Sumitomo Eaton Noba Kk ウエハ処理装置及びウエハ処理方法並びにイオン注入装置
JP2009260087A (ja) * 2008-04-17 2009-11-05 Dainippon Screen Mfg Co Ltd 基板処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210055082A (ko) * 2018-09-21 2021-05-14 도쿄엘렉트론가부시키가이샤 진공 처리 장치 및 기판 반송 방법
KR102491212B1 (ko) 2018-09-21 2023-01-20 도쿄엘렉트론가부시키가이샤 진공 처리 장치 및 기판 반송 방법

Also Published As

Publication number Publication date
TW201243985A (en) 2012-11-01
JP6006643B2 (ja) 2016-10-12
US9443749B2 (en) 2016-09-13
US20130302115A1 (en) 2013-11-14
TWI571953B (zh) 2017-02-21
WO2012098871A1 (ja) 2012-07-26
KR20140004132A (ko) 2014-01-10
JPWO2012098871A1 (ja) 2014-06-09

Similar Documents

Publication Publication Date Title
KR101744372B1 (ko) 진공 처리 장치
US6257827B1 (en) Apparatus and method for transporting substrates
JP5212165B2 (ja) 基板処理装置
KR101624152B1 (ko) 로드락 디자인 및 로드락을 사용하기 위한 방법
KR101814856B1 (ko) 기판 처리 장치
KR20130041308A (ko) 기판 처리 시스템, 반송 모듈, 기판 처리 방법 및 반도체 소자의 제조 방법
WO2013069716A1 (ja) ロードポート、efem
KR102164404B1 (ko) 기판 처리 장치
WO2013072760A2 (en) Semiconductor wafer handling and transport
JP5610009B2 (ja) 基板処理装置
KR100553685B1 (ko) 반도체 기판을 컨테이너로부터 언로딩하는 이송장치 및이송방법
JP2023535578A (ja) ファクトリインターフェース設置面積スペース中にロードロックを組み込むためのシステムおよび方法
CN113644005A (zh) 一种半导体处理系统
KR100717990B1 (ko) 반도체 자재 처리를 위한 이송 시스템
JP6031304B2 (ja) 基板処理装置及び基板処理方法
KR100781082B1 (ko) 기판 반송 장치 및 그것을 사용한 기판 처리 설비
US20230113673A1 (en) Factory interface robots usable with integrated load locks
KR101661217B1 (ko) 로드 포트 그리고 그것을 갖는 클러스터 설비
KR20240051070A (ko) 중복성을 갖는 팩토리 인터페이스
JP2011138944A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right