JPWO2012098871A1 - 真空処理装置 - Google Patents

真空処理装置 Download PDF

Info

Publication number
JPWO2012098871A1
JPWO2012098871A1 JP2012553621A JP2012553621A JPWO2012098871A1 JP WO2012098871 A1 JPWO2012098871 A1 JP WO2012098871A1 JP 2012553621 A JP2012553621 A JP 2012553621A JP 2012553621 A JP2012553621 A JP 2012553621A JP WO2012098871 A1 JPWO2012098871 A1 JP WO2012098871A1
Authority
JP
Japan
Prior art keywords
transfer
vacuum
modules
vacuum transfer
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012553621A
Other languages
English (en)
Other versions
JP6006643B2 (ja
Inventor
真士 若林
真士 若林
仙尚 小林
仙尚 小林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JPWO2012098871A1 publication Critical patent/JPWO2012098871A1/ja
Application granted granted Critical
Publication of JP6006643B2 publication Critical patent/JP6006643B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Abstract

【課題】複数の真空搬送機構を有する真空搬送系の搬送効率およびスループットを向上させること。【解決手段】この真空処理装置は、2階建の真空搬送室(10)の周囲に4つのプロセス・モジュール(PM1,PM2,PM3,PM4)および4つのロードロック・モジュール(LML1,LML2,LMU1,LMU2)をクラスタ状に配置している。ここで、真空搬送室(10)の2階は全て第3の真空搬送エリア(TE3)になっており、開口部(13)を通って第3の真空搬送エリア(TE3)が2階から1階に下りて第1および第2の真空搬送エリア(TE1,TE2)の間に割り込んでいる。第3の真空搬送ロボット(34)は、第3の真空搬送エリア(TE3)の2階部分で奥行き方向(X方向)に直進移動可能な左側および右側の水平搬送部(HR1,HR2)と、第3の真空搬送エリア(TE3)の開口部(13)で鉛直方向(Z方向)に直進移動つまり昇降可能な左側および右側の昇降搬送部(VR1,VR2)とを有している。【選択図】図2

Description

本発明は、クラスタツール方式の真空処理システムに係り、特に真空搬送室内に複数の真空搬送機構を設ける真空処理装置に関する。
真空搬送室を有する真空処理システムの一形態として、クラスタツール方式がよく知られている。クラスタツール方式は、プロセスの一貫化、連結化あるいは複合化をはかるために、減圧下で所定の処理を行う複数のプロセス・モジュールを真空搬送室の周りに配置する方式であり、マルチチャンバ方式とも称され、典型的には半導体製造装置で採用されている。
近年、クラスタツール方式の真空処理装置においては、未処理の基板または処理済みの基板を収納するカセットの投入、払い出しが行われるロードポート側から見て装置全体の幅サイズを縮小ないし維持しつつ、真空搬送室を奥行き方向に長く延ばすことにより、その長辺に沿ってプロセス・モジュールを増設するレイアウトが一つの傾向になっている(たとえば特許文献1参照)。
このように真空搬送室を奥行き方向に長く延ばして多数のプロセス・モジュールを並べるクラスタツールの一形態として、真空搬送室内の搬送空間を奥行き方向で複数の真空搬送エリアに分割して、各真空搬送エリアにその周囲のプロセス・モジュールと基板の受け渡しを行う真空搬送ロボットを設置するとともに、真空搬送ロボット同士で基板の受け渡しを行うための中継台を真空搬送エリア間の境界付近に配置する構成が採られている(たとえば特許文献2参照)。この場合、ロードポート側の大気空間と真空搬送室内の減圧空間とをインタフェースするロードロック・モジュールから見て、手前の真空搬送ロボットと奥の真空搬送ロボットとは中継台を介して直列に接続された構成になる。
特表2005−534176号 米国特許第6440261号
上記のように真空搬送室内で複数の真空搬送ロボットを直列に接続する構成を採る従来のクラスタツールは、一律に全てのプロセス・モジュールに同一の単一プロセスを並列的に行わせる場合、あるいは真空搬送エリア別に組分けされた複数のプロセス・モジュールに同一の複合プロセスを並列的に行わせる場合に、搬送能力が限界に突き当たる。
この場合、各々の真空搬送ロボットは、一定のサイクル内で、ロードロック・モジュール側からの未処理の基板を受け取る動作と、その担当する真空搬送エリア周囲の各プロセス・モジュールに対して基板を出し入れする動作と、処理済みの基板をロードロック・モジュール側へ送り出す動作とを順次行わなければならない。ところが、ロードロック・モジュールに隣接する手前の真空搬送ロボットには、担当真空搬送エリア内で課せられる上記一連の搬送タスクだけでなく、ロードロック・モジュールと奥の真空搬送ロボットとの間で行われる未処理基板または処理済み基板の転送の橋渡しをするタスクも加わる。これによって、手前の真空搬送ロボットに搬送負荷が集中し、ここでシステム全体の搬送能力が限界に突き当たり、スループットが制限される。この問題はプロセス時間が短いほど顕著になり、1分以下あるいは30秒以下といった短時間のプロセスでは致命的なスループット制約要因となる。
また、上記のように同一の単一プロセスまたは複合プロセスを複数のプロセス・モジュールに並列的に行わせる場合、ロードポート側ではロット処理中または仕掛中の基板を抱える1つのカセットに対して集中的に(全てのロードロック・モジュールにおける全ての基板の出し入れに合わせて)未処理基板の取り出しと処理済み基板の装入とを頻繁かつ敏速に行わなければならない。したがって、真空搬送室内の搬送効率だけでなく、大気搬送室内の搬送効率の向上も課題になる。
本発明は、上記のような従来技術の問題点を解決するものであり、複数の真空搬送機構を有する真空搬送系の搬送効率およびスループットを向上させる真空処理装置を提供する。
さらに、本発明は、ロードポートとロードロック・モジュールとの間で基板を搬送する大気搬送系の搬送効率を向上させる真空処理装置を提供する。
本発明の第1の観点における真空処理装置は、室内が減圧状態に保たれる真空搬送室と、前記真空搬送室内に水平方向で分けられて設けられる第1および第2の真空搬送エリアと、前記第1の真空搬送エリアに隣接して前記真空搬送室の周囲に配置された第1組のプロセス・モジュールおよび第1組のロードロック・モジュールと、前記第2の真空搬送エリアに隣接して前記真空搬送室の周囲に配置された第2組のプロセス・モジュールと、前記第1組のプロセス・モジュールおよび前記第1組のロードロック・モジュールにアクセスして、アクセス先の各モジュールと基板の受け渡しを行い、前記第1の真空搬送エリア内で基板を搬送する第1の真空搬送機構と、前記第2組のプロセス・モジュールにアクセスして、アクセス先の各モジュールと基板の受け渡しを行い、前記第2の真空搬送エリア内で基板を搬送する第2の真空搬送機構と、前記第1組のロードロック・モジュールよりも高い階または低い階に配置された第2組のロードロック・モジュールと、前記真空搬送室内で前記第2組のロードロック・モジュールに隣接して設けられ、前記第2の真空搬送エリアに接続する第3の真空搬送エリアと、前記第2組のロードロック・モジュールにアクセスしてアクセス先の各モジュールと基板の受け渡しを行うとともに、前記第3の真空搬送エリア内に設けられた第1の移載位置で前記第2の搬送機構と基板の受け渡しを行い、前記第3の真空搬送エリアで基板を搬送する第3の真空搬送機構とを有する。
上記構成の真空処理装置においては、第1の真空搬送機構と第2の真空搬送機構とは互いに独立に稼働し、第1の真空搬送機構は専ら第1の真空搬送エリア内の基板搬送だけに従事していればよく、第2組(2階)のロードロック・モジュールと第2組のプロセス・モジュールとの間での基板搬送に関与する(橋渡しをする)必要はない。したがって、第1の真空搬送機構に搬送負荷が集中することはない。このように、全ての真空搬送ロボットに搬送負荷が均等または相応に分散されるので、システム全体の搬送能力および搬送効率が高く、クラスタツールにおける単時間プロセスのスループットを向上させることができる。
本発明の第2の観点における真空処理装置は、室内が減圧状態に保たれる真空搬送室と、前記真空搬送室の周囲に並べて設けられ、減圧下の室内で基板に所定の処理が行われる1つまたは複数のプロセス・モジュールと、前記真空搬送室の周囲に並べて設けられ、室内が選択的に大気状態または減圧状態に切り換えられ、大気空間と前記真空搬送室との間で転送される基板を一時的に留め置く複数のロードロック・モジュールと、いずれかの前記ロードロック・モジュールといずれかの前記プロセス・モジュールとの間で、または異なる前記プロセス・モジュールの間で、基板を搬送するために前記真空搬送室内に設けられる1つまたは複数の真空搬送機構と、多数の基板を一定間隔に並べて出し入れ可能に収納するカセットの投入または払い出しを行うために、前記複数のロードロック・モジュールと対向する大気空間内の所定位置に設けられる第1のロードポートと、前記第1のロードポートに置かれた第1のカセットといずれかの前記ロードロック・モジュールとの間で基板の搬送を行う第1の大気搬送機構と、前記第1のロードポート上の前記第1のカセットと他のいずれかの前記ロードロック・モジュールとの間で基板の搬送を行う第2の大気搬送機構とを有し、前記第1および第2の大気搬送機構が、前記第1のカセットに対して基板の取り出しまたは基板の装入を1枚ずつ交互に行う。
上記構成の真空処理装置においては、第1のロードポート上のロット処理中または仕掛中の第1のカセットに対して、第1および第2の大気搬送ロボットが交互にアクセスして基板の取り出し/装入を迅速かつ円滑に行えるので、第1のロードポートとロードロック・モジュール間の大気系の搬送効率を大きく向上させることが可能であり、真空系の高スループット化にも容易に対応することができる。
本発明の真空処理装置によれば、上記のような構成および作用により、複数の真空搬送機構を有する真空搬送系の搬送効率およびスループットを向上させることができ、さらにはロードポートとロードロック・モジュールとの間で基板を搬送する大気搬送系の搬送効率も向上させることができる。
本発明の一実施形態における真空処理装置の全体構成を示す平面図である。 上記真空処理装置の全体構成を示す縦断面図である。 上記真空処理装置における第1の処理部を示す平面図である。 上記真空処理装置における第2の処理部を示す平面図である。 上記真空処理装置における第3の真空搬送ロボットの水平搬送部および垂直搬送部の具体的構成例を示す斜視図である。 上記真空処理装置における全体の動作の一実施例を説明するためのタイミング図である。 上記真空処理装置における全体の動作の一実施例を説明するためのタイミング図である。 上記真空処理装置におけるロードロック室の一変形例を示す略縦断面図である。 上記真空処理装置の一変形例を示す縦断面図である。 図8の変形例の一形態を示す斜視図である。 上記真空処理装置の別の変形例を示す斜視図である。
以下、添付図を参照して本発明の好適な実施形態を説明する。

[装置全体の構成]
図1および図2に、本発明の一実施形態における真空処理装置の全体構成をそれぞれ平面図および縦断面図で示す。図3および図4には、図1の全体構成を並列的に稼働する第1および第2の処理部にそれぞれ分けて示す。
この真空処理装置は、クラスタツール方式のプラズマ処理装置として構成されており、装置奥行き方向(図のX方向)に延びる一対の辺が他の辺よりも約2倍長い五角形の形状を有する真空搬送室10の周囲に4つのプロセス・モジュールPM,PM,PM,PMおよび4つのロードロック・モジュールLML1,LML2,LMU1,LMU2をクラスタ状に配置している。
より詳細には、真空搬送室10は2階建になっており、1階には水平な奥行方向(X方向)で分けられた第1および第2の真空搬送エリアTE,TEが設けられている。そして、第1の真空搬送エリアTEの周囲には、その斜辺の2つの側壁に第1組の2つのロードロック・モジュールLML1,LML2がゲートバルブGVL1,GVL2を介してそれぞれ連結されるとともに、幅方向(Y方向)で平行に向かい合う2つの側壁に第1組の2つのプロセス・モジュールPM,PMがゲートバルブGV,GVを介してそれぞれ連結されている。一方、第2の真空搬送エリアTEの周囲には、幅方向(Y方向)で平行に向かい合う2つの側壁に第2組の2つのプロセス・モジュールPM,PMがゲートバルブGV,GVを介してそれぞれ連結されている。
真空搬送室10の2階は全て第3の真空搬送エリアTEになっている。この第3の真空搬送エリアTEは、水平な隔壁板11によって1階の第1および第2の真空搬送エリアTE,TEから上下に隔てられている。ただし、奥行方向(X方向)の中間部で隔壁板11が切り欠かれて、開口部13が形成され、この開口部13を通って第3の真空搬送エリアTEが2階から1階に下りて第1および第2の真空搬送エリアTE,TEの間に割り込んでいる。
2階の第3の真空搬送エリアTEの周囲には、斜辺の2つの側壁に、つまり1階の第1組のロードロック・モジュールLML1,LML2の上に、第2組の2つのロードロック・モジュールLMU1,LMU2がゲートバルブGVU1,GVU2を介してそれぞれ連結されている。
プロセス・モジュール(処理装置)PM,PM,PM,PMは、図示しない各専用の排気装置により室内が可変の圧力で常時減圧状態に保たれる真空チャンバ12を有しており、典型的には室内の中央部に配置した載置台14の上に1枚または複数枚の被処理基板たとえば半導体ウエハWを載せ、所定の用力(処理ガス、高周波電力等)を用いて所望のプラズマ処理、たとえばCVD、ALD(Atomic Layer Deposition)あるいはスパッタ等の真空成膜処理、熱処理、半導体ウエハ表面のクリーニング処理、ドライエッチング加工等を行うようになっている。
ロードロック・モジュールLML1,LML2,LMU1,LMU2は、それぞれドアバルブDVL1,DVL2,DVU1,DVU2を介して後述するローダ・モジュール15の大気搬送室とも連通できるようになっており、各々のロードロック室16内にローダ・モジュール15と真空搬送室10との間で転送される半導体ウエハWを一時的に留め置くための載置台18を設けている。図2に示すように、各ロードロック・モジュールLML1,LML2,LMU1,LMU2のロードロック室16は、開閉弁20を介して排気装置22に接続されるとともに、開閉弁24を介してパージガス供給部26に接続されており、室内の雰囲気を減圧状態および大気圧状態のいずれにも選択的に切り換えられるようになっている。なお、図2では、図解の簡略化のために、排気用の開閉弁20を1階のロードロック・モジュールLML1,LML2の片方に対する1つのみを示し、パージング用の開閉弁24を2階のロードロック・モジュールLMU1,LMU2の片方に対する1つのみを示している。
真空搬送室10は、専用の排気装置28に接続されており、室内が通常は一定の圧力で常時減圧状態に保たれる。室内には、第1および第2の真空搬送エリアTE,TEに第1および第2の真空搬送ロボット(真空搬送機構)30,32がそれぞれ設けられ、第3の真空搬送エリアTEに第3の真空搬送ロボット(真空搬送機構)34が設けられている。
第1の真空搬送ロボット30は、第1の真空搬送エリアTEの中心部に設置された本体と、この本体上で旋回、昇降および進退(または伸縮)可能な一対の搬送アームF,Fとを有し、各々の搬送アームF,Fがそのフォーク形のエンドエフェクタで1枚の半導体ウエハWを水平に保持または支持できるようになっている。そして、第1の真空搬送ロボット30は,第1の真空搬送エリアTEの周囲に配置されている第1組のプロセス・モジュールPM,PMおよび第1組のロードロック・モジュールLML1,LML2のいずれにもアクセス可能であり、開状態のゲートバルブGV(GV,GV,GVL1,GVL2)を通ってアクセス先のモジュールのチャンバ12(16)の中に搬送アームF,Fのいずれか一方を選択的に挿入し、載置台14(18)の上に処理前の半導体ウエハWを搬入(ローディング)し、あるいは載置台14(18)から処理後の半導体ウエハWを搬出(アンローディング)できるようになっている。
第2の真空搬送ロボット32は、第2の真空搬送エリアTEの中心部に設置された本体と、この本体上で旋回、昇降および進退(または伸縮)可能な一対の搬送アームF,Fとを有し、各々の搬送アームF,Fがそのフォーク形のエンドエフェクタで1枚の半導体ウエハWを水平に保持または支持できるようになっている。そして、第2の真空搬送ロボット32は,第2の真空搬送エリアTEの周囲に配置されている第2組のプロセス・モジュールPM,PMのいずれにもアクセス可能であり、開状態のゲートバルブGV(GV,GV)を通ってアクセス先のモジュールのチャンバ12の中に搬送アームF,Fのいずれか一方を選択的に挿入し、載置台14の上に処理前の半導体ウエハWを搬入(ローディング)し、あるいは載置台14から処理後の半導体ウエハWを搬出(アンローディング)できるようになっている。さらに、第2の真空搬送ロボット32は,後述するように,第2の真空搬送エリアTEの1階部分で第3の真空搬送ロボット34と半導体ウエハWの受け渡しを行えるようになっている。
第3の真空搬送ロボット34は、第3の真空搬送エリアTEの2階部分で奥行き方向(X方向)に直進移動可能な左側および右側の水平搬送部HR,HRと、第3の真空搬送エリアTEの開口部13で鉛直方向(Z方向)に直進移動つまり昇降可能な左側および右側の昇降搬送部VR,VRとを有している。ここで、左側および右側の水平搬送部HR,HRならびに左側および右側の昇降搬送部VR,VRは、2階で幅方向(Y方向)に並んで配置されている左右一対のロードロック・モジュールLMU1,LMU2にそれぞれ対応して、幅方向(Y方向)に左右に並んで設けられている。すなわち、ローダ・モジュール15側から真空搬送室10の奥行き方向(X方向)を向いて見ると、左側ロードロック・モジュールLMU1と左側水平搬送部HRと左側昇降搬送部VRとが第3の真空搬送エリアTEの左半部に設けられ、右側ロードロック・モジュールLMU2と右側水平搬送部HRと右側昇降搬送部VRとが第3の真空搬送エリアTEの右半部に設けられている。

[第3の真空搬送ロボットの具体的構成例]
図5に、第3の真空搬送エリアTEの右半部で稼働する第3の真空搬送ロボット34の右側水平搬送部HRおよび右側昇降搬送部VRの具体的構成例を示す。
右側水平搬送部HRは、右側ロードロック・モジュールLMU2の方を向いて1枚の半導体ウエハWを水平に保持または支持できるフォーク形の1本の搬送アームAMと、この搬送アームAMを真空搬送室10の奥行き方向(X方向)のみで直進移動させる水平直進搬送部36とを有している。ここで、右側水平直進搬送部36は、真空搬送室10の2階部分の右側側壁(または上壁)に沿って奥行き方向(X方向)に延びる無端状の搬送駆動ベルトたとえばタイミングベルト38と、この搬送駆動ベルト38を駆動するための駆動部(モータ40、駆動プーリ42および図示しない反対側の遊動プーリ)と、搬送アームAMを搬送駆動ベルト38に結合するブラケット型のアーム支持部44と、このアーム支持部44を奥行き方向(X方向)に案内するレール46とを有している。モータ40の始動、回転方向、回転速度および停止を制御することにより、第3の真空搬送エリアTEの2階部分の右半部で搬送アームAMを双方向に直進移動させ、任意の位置で停止させることができるようになっている。
右側昇降搬送部VRは、右側ロードロック・モジュールLMU2の方を背にして、異なる高さでそれぞれ1枚の半導体ウエハWを水平に載置または支持できるパッド形の上部および下部バッファ48,48と、昇降棒50を介して両バッファ48,48を真空搬送エリアTEの開口部13の1階部分および2階部分にそれぞれ設けられた1階移載位置(第1の移載位置)TPおよび2階移載位置TP(第2の移載位置)間で昇降移動させる昇降駆動部52とを有している。昇降駆動部52は、たとえばリニアモータ、ボールネジ機構あるいはシリンダで構成されている。昇降棒50は、真空搬送室10の1階の底壁に設けられた穴にシール部材54を介して鉛直方向で摺動可能に通されている。昇降駆動部52の始動、昇降の向き、ストロークおよび停止を制御することにより、第3の真空搬送エリアTEの開口部13で両バッファ48,48を昇降移動させ、それらの高さ位置を任意に可変できるようになっている。
なお、上部および下部バッファ48,48は、図5では半導体ウエハWを1箇所で支持する構成になっているが、複数箇所(たとえば周回方向で120°の間隔を空けて配置される3本のピン)で半導体ウエハWを支持する構成も可能である。
右側水平搬送部HRは、第3の真空搬送エリアTEの2階部分の右半部において、搬送アームAMを奥行き方向(X方向)で移動させることにより、右側ロードロック・モジュールLMU2とそのロードロック室16内で半導体ウエハWの受け渡しを行えるとともに、右側昇降搬送部VRの両バッファ48,48とも2階移載位置TPで半導体ウエハWの受け渡しを行えるようになっている。
より詳細には、右側ロードロック・モジュールLMU2においては、後述するリフトピン17の上げ下げと搬送アームAMの出し入れとを連携動作させることにより、ロードロック室16から未処理の半導体ウエハWを搬出し、またはロードロック室16に処理済みの半導体ウエハWを搬入することができる。
また、右側ロードロック・モジュールLMU2から搬出した未処理の半導体ウエハWを右側水平搬送部HRから右側昇降搬送部VRに渡すときは、先ず搬送アームAMを2階移載位置TPを通り越して奥の位置まで後退移動させ、その後に右側昇降搬送部VRを1階から2階に上昇移動させる。この時、両バッファ48,48のうち受け取る方たとえばバッファ48を搬送アームAMよりも幾らか低い位置に合わせる。次に、搬送アームAMを前進移動させて、バッファ48の上に半導体ウエハWを差し出させる。そして、右側昇降搬送部VRを短いストロークで上昇させると、半導体ウエハWが搬送アームAMからバッファ48に乗り移る。この後、搬送アームAMをいったん後退させ、右側昇降搬送部VRを2階から1階に下降移動させる。
また、右側水平搬送部HRが右側昇降搬送部VRより処理済みの半導体ウエハWを受け取るときは、やはり搬送アームAMを2階移載位置TPよりも奥の位置まで後退移動させてから、右側昇降搬送部VRを1階から2階に上昇移動させる。この時、両バッファ48,48のうち処理済みの半導体ウエハWを載せている方たとえばバッファ48を搬送アームAMよりも幾らか高い位置に合わせる。次に、搬送アームAMをバッファ48の下まで前進移動させて、右側昇降搬送部VRを短いストロークで下降させると、半導体ウエハWがバッファ48から搬送アームAMに乗り移る。この後、搬送アームAMをいったん後退させ、右側昇降搬送部VRを2階から1階に下降移動させる。
上記のように、右側水平搬送部HRを介して、右側ロードロック・モジュールLMU2と右側昇降搬送部VRの各バッファ48,48との間で半導体ウエハWを1枚ずつ双方向に転送することができる。また、右側水平搬送部HRと両バッファ48,48との間で、未処理の半導体ウエハWと処理済みの半導体ウエハWとの交換も行えるようになっている。
一方、右側昇降搬送部VRの両バッファ48,48は、1階に下りることにより、1階移載位置TPで第2の真空搬送ロボット32と半導体ウエハWの受け渡しを行える。すなわち、右側昇降搬送部VRが1階移載位置TPに着いている間は、第2の真空搬送ロボット32が両バッファ48,48のいずれにも任意にアクセス可能であり、搬送アームF,Fを用いて各バッファ48,48から未処理の半導体ウエハWを受け取り、または各バッファ48,48に処理済みの半導体ウエハWを渡すことが可能であり、ピック&プレース動作により未処理の半導体ウエハWと処理済みの半導体ウエハWとの交換も行えるようになっている。
なお、この実施形態では、基本的には、第2の真空搬送ロボット32における搬送アームF,Fの水平進退移動と右側昇降搬送部VRにおけるバッファ48,48の上下移動とが連携することによって、両者の間で半導体ウエハWの受け渡しが行われる。しかし、半導体ウエハWの受け渡しの際に、第2の真空搬送ロボット32が搬送アームF,Fの水平進退移動だけでなく上下移動も行うことにより、右側昇降搬送部VRの方でバッファ48,48を上下移動させる動作を不要にすることもできる。
このように、第3の真空搬送ロボット34は、右側水平搬送部HRおよび右側昇降搬送部VRによって、2階の右側ロードロック・モジュールLMU2と1階の第2の真空搬送ロボット32との間で半導体ウエハWを双方向に1枚ずつ転送できるようになっている。
第3の真空搬送エリアTEの左半部で稼働する第3の真空搬送ロボット34の左側水平搬送部HRおよび左側昇降搬送部VRも、上述した右側水平搬送部HRおよび右側昇降搬送部VRと同一の構成および機能を有している。したがって、第3の真空搬送ロボット34は、左側水平搬送部HRおよび左側昇降搬送部VRによって、2階の左側ロードロック・モジュールLMU1と1階の第2の真空搬送ロボット32との間で半導体ウエハWを双方向に1枚ずつ転送できるようになっている。
なお、図2に示すように、第2組(2階)のロードロック・モジュールLMU1,LMU2には、ローディング/アンローディングの際に載置台18の上で搬送アームAMと半導体ウエハWの受け渡しを行うために複数のリフトピン17を昇降移動(出没)させるリフトピン機構が設けられている。第1組(1階)のロードロック・モジュールLML1,LML2および全てのプロセス・モジュールPM,PM,PM,PMにも同様のリフトピン機構が設けられている。
上述した真空搬送室10回りの真空処理系においては、第1の真空搬送ロボット30ならびにこの真空搬送ロボット30のアクセス可能な1階の第1組のロードロック・モジュールLML1,LML2および第1組のプロセス・モジュールPM,PMによって、独立した第1の真空搬送及び処理部60(図3)が構成されている。一方、第2の真空搬送ロボット32およびこの真空搬送ロボット32のアクセス可能な1階の第2組のプロセス・モジュールPM,PMと、第3の真空搬送ロボット34およびこの真空搬送ロボット34のアクセス可能な2階の第2組のロードロック・モジュールLMU1,LMU2とによって、独立した第2の真空搬送及び処理部62(図4)が構成されている。これら第1および第2の処理部60,62は、この真空処理装置に投入される半導体ウエハWに対して、同一のプロセスあるいは異なるプロセスを並列的または同時的に行うことができる。
なお、水平搬送部HR,HRの直進搬送部36は、この実施例ではベルト機構を用いているが、ボールネジ機構やリニアモータ等の他の直進駆動機構を用いてもよい。

[大気系の構成]
この真空処理装置の大気系は、ロードロック・モジュールLML1,LML2,LMU1,LMU2にドアバルブDVL1,DVL2,DVU1,DVU2を介して連結されるローダ・モジュール15と、このローダ・モジュール15の正面側に設けられる複数たとえば4つのロードポートLP〜LPと、ローダ・モジュール15の大気搬送室内で稼働する第1および第2の大気搬送ロボット(大気搬送機構)64,66とを有している。
ロードポートLP〜LPは、ロードロック・モジュールLML1,LML2,LMU1,LMU2と向かい合って横一列に配置されており、外部搬送車との間でたとえば1バッチまたは1ロット25枚の半導体ウエハWを収納可能なウエハカセットCRの投入、払出しに用いられる。ここで、ウエハカセットCRはSMIF(Standard Mechanical Interface)やFOUP(Front
Opening Unified Pod)等のボックスまたはポッドとして構成されている。
第1の大気搬送ロボット64は、水平方向のスライド軸を持たない垂直多関節ロボットとして構成されており、ローダ・モジュール15内でたとえば左側ドアバルブDVL1,DVU1の左隣りに設置される昇降移動可能な本体65と、この本体65から空中に延びて複数の回転軸で旋回する一対のアームJ,J(1本のアームのみ図示)とを有している。各アームJ,Jは、そのフォーク形のエンドエフェクタで1枚の半導体ウエハWを保持または支持できるようになっている。そして、第1の大気搬送ロボット64は、右端のロードポートLPを除く3つのロードポートLP,LP,LPのいずれかに置かれたウエハカセットCRと全てのロードロック・モジュールLML1,LML2,LMU1,LMU2にアクセス可能であり、アクセス先のウエハカセットCRまたはロードロック・モジュールLMに対して半導体ウエハWを1枚ずつ取り出し(搬出し)、または1枚ずつ装入(搬入)できるとともに、1回のアクセスの中でピック&プレース方式により処理済みのウエハWと未処理のウエハWとを交換することもできる。
第2の大気搬送ロボット66も、水平方向のスライド軸を持たない垂直多関節ロボットとして構成されており、ローダ・モジュール15内でたとえば右側ドアバルブDVL2,DVU2の右隣りに設置される昇降移動可能な本体67と、この本体67から空中に延びて複数の回転軸で旋回する一対のアームK,K(1本のアームのみ図示)とを有している。各アームK,Kは、そのフォーク形のエンドエフェクタで1枚の半導体ウエハWを保持または支持できるようになっている。そして、第2の大気搬送ロボット66は、左端のロードポートLPを除く3つのロードポートLP,LP,LPのいずれかに置かれたウエハカセットCRと全てのロードロック・モジュールLML1,LML2,LMU1,LMU2にアクセス可能であり、アクセス先のウエハカセットCRまたはロードロック・モジュールLMに対して半導体ウエハWを1枚ずつ取り出し(搬出し)、または1枚ずつ装入(搬入)できるとともに、1回のアクセスの中でピック&プレース方式(先に相手側からウエハを受け取って、それと入れ替わりに別のウエハを相手側に渡す方式)により処理済みのウエハWと未処理のウエハWとを交換することもできる。
このように、中間の2つのロードポートLP,LPのいずれかに置かれたカセットCRに対しては、第1および第2の大気搬送ロボット64,66のどちらもアクセス可能であり、双方のハンドないしアームが互いに干渉し合わない(衝突しない)ように別々のタイミングで半導体ウエハWの取り出し/装入を行えるようになっている。また、左端のロードポートLPは第1の大気搬送ロボット64の専用で、右端のロードポートLPは第2の大気搬送ロボット66の専用になっている。
この実施形態では、第1および第2の大気搬送ロボット64,66の一方が中間の共通ロードポートLP,LP上のカセットCRにアクセスする動作と、他方がロードロック・モジュールLML1,LML2,LMU1,LMU2のいずれかにアクセスする動作とを同時または並列的に実行できるようになっており、それぞれのハンドリングまたはアーム動作を迅速かつ安全に行えるように、各々の大気搬送ロボット64,66を水平スライド軸の無い垂直多関節ロボットにより構成するとともに、図2に示すようにロードポートLP〜LPを1階のロードロック・モジュールLML1,LML2よりも低い位置に設けている。
なお、クラスタツールにおいては、半導体ウエハWを大気系からの真空系に投入する際に、半導体ウエハWのオリエンテーションフラットまたはノッチを所定の位置に合わせるのが望ましい。この実施形態の真空処理装置では、各ロードロック・モジュールLML1,LML2,LMU1,LMU2が個別のロードロック室16を有する独立したユニットとして構成されており、オリフラ合わせ機構を搭載するためのスペースおよびハードウェア上の余裕がある。図示省略するが、一例として、載置台18にスピンチャックを取り付けるとともに、方位角方向で半導体ウエハWのノッチを光学的に検出するための光学センサを設けることにより、各モジュール(ユニット)別にオリフラ合わせ機構を装備することができる。
この実施形態のローダ・モジュールは、共通ロードポートLP,LP上のロット処理中または仕掛中のカセットCRに対して、第1および第2の大気搬送ロボット64,66が交互にアクセスして半導体ウエハWの取り出し/装入を迅速かつ円滑に行えるので、大気系の搬送効率を大きく向上させており、真空系の高スループット化にも難なく対応することができる。

[一実施例における装置全体の動作]
ここで、図6Aおよび図6Bを参照し、この真空処理装置における全体の動作の一実施例を説明する。この実施例におけるクラスタツール方式は、時分割(タイムシェアリング)方式で同時アクセス可能な中間のロードポートLP,LPに搬入されたカセットCR,CR内の半導体ウエハWを処理対象とし、全てのプロセス・モジュールPM,PM,PM,PMに同一条件のプラズマ処理を一律に行わせるものであり、特に短時間(たとえば30秒以下)のプロセスを高スループットで実施する場合に好適に採られる。
この実施例では、たとえばロードポートLP上のカセットCRから1ロット25枚のウエハW〜W25を順番に1枚ずつ取り出して、並列的に稼働するプロセス・モジュールPM,PM,PM,PMのいずれかに均等に割り振って搬入し、各プロセス・モジュールPM,PM,PM,PMで処理の済んだウエハWを速やかに搬出してカセットCRに1枚ずつ戻す。ローダ・モジュール15において、第1の大気搬送ロボット64は専ら第1組(1階)のロードロック・モジュールLML1,LML2を担当し、第2の大気搬送ロボット66は専ら第2組(2階)のロードロック・モジュールLMu1,LMu2を担当する。
図6Aおよび図6Bにおいて、“66”,“64”は大気搬送ロボット66,64の動作シーケンスをそれぞれ表わす。その中で、“LP”はアームJ(J),K(K)をロードポートLP(この例では、LP,LP)に向かって移動させることを表わし、“戻り”はロードロック・モジュールLM(LML1,LML2,LMU1,LMU2)のロードロック室16からアームJ(J),K(K)を退出させて原アーム位置に戻す動作を表わす。
また、図中の“LML1”,“LMU1”,“LML2”,“LMU2”はロードロック・モジュールLML1,LMU1,LML2,LMU2の動作シーケンスをそれぞれ表わす。その中で、“vac”はロードロック室16の真空引きのことであり、“atm”はロードロック室16のパージングないし大気開放のことである。
また、図中の“HR”,“HR”,“VR”,“VR”は、第3の真空搬送ロボット34の左側水平搬送部HR、右側水平搬送部HR、左側昇降搬送部VR、右側昇降搬送部VRの動作シーケンスをそれぞれ表わす。“30”,“32”は、第1および第2の真空搬送ロボット30,32の動作シーケンスをそれぞれ表わす。“PM”,“PM”,“PM”,“PM”は、プロセス・モジュールPM,PM,PM,PMの動作シーケンスをそれぞれ表わす。
この実施例において、第2の大気搬送ロボット66は、アームK,Kのいずれか一方を使用し、t〜tの期間中にロードポートLP上のカセットCRへ移動して、t〜tの期間中にカセットCRから1番目のウエハWを取り出し、t〜tの期間中に第2組(2階)の左側ロードロック・モジュールLMU1にウエハWを搬入する。そして、第2の大気搬送ロボット66は、t〜tの期間中に今回用いたアームK,Kをロードロック・モジュールLMU1の待機位置から原アーム位置に戻す。ウエハWを搬入されたロードロック・モジュールLMU1では、t〜tの期間中に真空引きを行う。なお、ローダ・モジュール15からロードロック・モジュールLMU1にウエハWが搬入される時、あるいは逆にロードロック・モジュールLMU1からローダ・モジュール15にウエハWが搬出される時は、ドアバルブDVU1が一時的に開く。同様に、他のロードロック・モジュールLMU2,LML1,LML2でも、ローダ・モジュール15との間でウエハWの搬入/搬出が行われる時は、ドアバルブDVU2,DVL1,DVL2がそれぞれ一時的に開く。
一方、第1の大気搬送ロボット64は、アームJ,Jのいずれか一方を使用し、t〜tの期間中にカセットCRへ移動して、t〜tの期間中にカセットCRから2番目のウエハWを取り出し、t〜tの期間中に第1組(1階)の左側ロードロック・モジュールLML1にウエハWを搬入する。そして、第1の大気搬送ロボット64は、t〜tの期間中にロードロック・モジュールLML1の待機位置から原アーム位置に戻る。ウエハWを搬入されたロードロック・モジュールLML1は、t〜tの期間中に真空引きを行う。
さらに、第2の大気搬送ロボット66は、アームK,Kのいずれか一方を使用し、t〜tの期間中にロードポートLP上のカセットCRへ移動して、t〜tの期間中にカセットCRから3番目のウエハWを取り出し、t〜tの期間中に第2組(2階)の右側ロードロック・モジュールLMU2にウエハWを搬入する。こうして、第2の大気搬送ロボット66は、後述するように処理の済んだ1番目のウエハWが真空系から大気系に帰ってくるまでの間は、一定のサイクルで奇数番目のウエハW,W,W,W・・を順番に1枚ずつカセットCRから第2組(2階)のロードロック・モジュールLMU1,LMU2のいずれかに割り振って転送する動作を繰り返す。
一方、第1の大気搬送ロボット64は、アームJ,Jのいずれか一方を使用し、t〜tの期間中にロードポートLP上のカセットCRへ移動して、t〜t10の期間中にカセットCRから4番目のウエハWを取り出し、t10〜t11の期間中に第1組(1階)の右側ロードロック・モジュールLML2にウエハWを搬入する。こうして、第1の大気搬送ロボット64は、後述するように処理の済んだ2番目のウエハWが真空系から大気系に帰ってくるまでの間は、一定のサイクルで偶数番目のウエハW,W,W,W・・を順番に1枚ずつカセットCRから第1組(1階)のロードロック・モジュールLML1,LML2のいずれかに割り振って転送する動作を繰り返す。
1番目のウエハWを入れて真空引きの済んだ第2組(2階)の左側ロードロック・モジュールLMU1では、t〜tの期間中に、第3の真空搬送ロボット34の左側水平搬送部HRがウエハWをロードロック室16の外つまり真空処理室10へ搬出する。なお、ロードロック・モジュールLMU1から真空処理室10へウエハWが搬出される時、あるいは逆に真空処理室10からロードロック・モジュールLMU1にウエハWが搬入される時は、ゲートバルブGVU1が一時的に開く。同様に、他のロードロック・モジュールLMU2,LML1,LML2と真空処理室10との間でウエハWの搬入/搬出が行われる時は、ゲートバルブGVU2,GVL1,GVL2がそれぞれ一時的に開く。
左側水平搬送部HRは、ロードロック・モジュールLMU1より搬出した1番目のウエハWを持って2階の左側水平搬送路を水平一直線に移動し、t〜tの期間中に2階移載位置TPで左側垂直搬送部VR(バッファ48U,48Lのいずれか)にウエハWを渡す。
1番目のウエハWを受け取った左側垂直搬送部VRは、直後に1階に降下して、t10〜t11の期間中に1階移載位置TPで第2の真空搬送ロボット32にウエハWを引き取らせる。第2の真空搬送ロボット32は、搬送アームF,Fのいずれかで左側垂直搬送部VRから1番目のウエハWを引き取ると、旋回運動して、t12〜t14の期間中に第2組の一方のプロセス・モジュールPMにウエハWを搬入する。
一方、2番目のウエハWに対して真空引きの済んだ第1組(1階)の左側ロードロック・モジュールLML1では、t10〜t11の期間中に、第1の真空搬送ロボット30が搬送アームF,Fのいずれかを用いてロードロック室16から2番目のウエハWを搬出する。次いで、第1の真空搬送ロボット30は、旋回運動して、t12〜t14の期間中に第1組の一方のプロセス・モジュールPMにウエハWを搬入する。
上記のようにして、第2組の一方のプロセス・モジュールPMに1番目のウエハWが搬入され、これと同時に第1組の一方のプロセス・モジュールPMに2番目のウエハWが搬入される。両プロセス・モジュールPM,PMは、t14〜t26の期間中にそれらのウエハW,Wに対して同一のプロセス条件でプラズマ処理を施す。
一方、3番目のウエハWを搬入してt〜t11の期間中に真空引きを行った第2組(2階)の右側ロードロック・モジュールLMU2では、t12〜t13の期間中に第3の真空搬送ロボット34の右側水平搬送部HRがロードロック室16からそのウエハWを搬出する。右側水平搬送部HRは、ロードロック・モジュールLMU2より搬出した3番目のウエハWを持って2階の右側水平搬送路を水平一直線に移動し、t14〜t15の期間中に2階移載位置TPで右側垂直搬送部VR(バッファ48U,48Lのいずれか)にウエハWを渡す。
3番目のウエハWを受け取った右垂直搬送部VRは、直後に1階に降下して、t16〜t18の期間中に1階移載位置TPで第2の真空搬送ロボット32に3番目のウエハWを引き取らせる。第2の真空搬送ロボット32は、搬送アームF,Fのいずれかで右側垂直搬送部VRからウエハWを引き取ると、旋回運動して、t19〜t21の期間中に第2組の他方のプロセス・モジュールPMにウエハWを搬入する。
一方、4番目のウエハWを搬入してt11〜t14の期間中に真空引きを行った第1組(1階)の右側ロードロック・モジュールLML2では、t16〜t18の期間中に第1の真空搬送ロボット30が搬送アームF,Fのいずれかを用いてロードロック室16からウエハWを取り出す。次いで、第1の真空搬送ロボット30は、旋回運動して、t19〜t21の期間中に第1組の他方のプロセス・モジュールPMにウエハWを搬入する。
上記のようにして、第2組の他方のプロセス・モジュールPMに3番目のウエハWが搬入され、これと同時に第1組の他方のプロセス・モジュールPMに4番目のウエハWが搬入される。両プロセス・モジュールPM,PMは、t21〜t33の期間中にそれらのウエハW,Wに対して上記同一のプロセス条件でプラズマ処理を施す。
第3の真空搬送ロボット34においては、t19〜t25の期間中に、左側水平搬送部HRおよび左側垂直搬送部VRが、1番目のウエハWに対して行った動作と全く同じ動作を繰り返して、5番目のウエハWを第2組(2階)の左側ロードロック・モジュールLMU1から第2の真空搬送ロボット32に転送する。第2の真空搬送ロボット32は、搬送アームF,Fのいずれかを用いて右側垂直搬送部VRからこの5番目のウエハWを引き取ると、旋回運動して、t26〜t28の期間中に第2組の一方のプロセス・モジュールPMにアクセスして、搬送アームF,Fの空いている方で処理済みの1番目のウエハWを搬出し、それと入れ替わりに未処理の5番目のウエハWを搬入する。
一方、第1の真空搬送ロボット30は、t18〜t21の期間中に真空引きを行った第1組(1階)の右側ロードロック・モジュールLML2より、搬送アームF,Fのいずれかを用いてt23〜t25の期間中に6番目のウエハWを搬出する。次いで、第1の真空搬送ロボット30は、旋回運動して、t26〜t28の期間中に第1組の一方のプロセス・モジュールPMにアクセスして、搬送アームF,Fの空いている方で処理済みの2番目のウエハWを搬出し、それと入れ替わりに未処理の6番目のウエハWを搬入する。
上記のようにして、第2組のプロセス・モジュールPMに5番目のウエハWが搬入され、これと同時に第1組のプロセス・モジュールPMに6番目のウエハWが搬入される。両プロセス・モジュールPM,PMは、t28〜t41の期間中にそれらのウエハW,Wに対して上記と上記同一のプロセス条件でプラズマ処理を施す。
第2の真空搬送ロボット32は、上記のようにプロセス・モジュールPMより1番目のウエハWを搬出した直後のt30〜t32の期間中に、第3の真空搬送ロボット34の右側垂直搬送部VRにアクセスして、処理済みの1番目のウエハWを右側垂直搬送部VR(バッファ48U,48Lのいずれか一方)に渡し、代わりに右側垂直搬送部VRが運んできた未処理の7番目のウエハWを受け取る。
一方、第1の真空搬送ロボット30は、上記のようにプロセス・モジュールPMより2番目のウエハWを搬出した直後のt30〜t32の期間中に、第1組(1階)の右側ロードロック・モジュールLML2にアクセスして、そのロードロック室16から未処理の8番目のウエハWを搬出し、それと入れ替わりに処理済みの2番目のウエハWを搬入する。
2番目のウエハWを搬入されたロードロック・モジュールLML2は、t32〜t35の期間中に大気開放を行う。しかる後、第1の大気搬送ロボット64が、t37〜t39の期間中にロードロック・モジュールLML2にアクセスして、そのロードロック室16から処理済みの2番目のウエハWを搬出し、それと入れ替わりに未処理の12番目のウエハW12を搬入する。
こうしてロードロック・モジュールLML2から処理済みの2番目のウエハWを搬出した第1の大気搬送ロボット64は、t39〜t41の期間中にロードポートLP上のカセットCRの待機位置に移動し、t41〜t42の期間中にウエハWを戻し、未処理の14番目のウエハW14を取り出す。
一方、上記のようにt30〜t32の期間中に第2の真空搬送ロボット32より処理済みの1番目のウエハWを右側垂直搬送部VRに受け取った第3の真空搬送ロボット34は、t41〜t42の期間中に右側垂直搬送部VRから右側水平搬送部HRにウエハWを移し替え、t43〜t44の期間中に第2組(2階)の右側ロードロック・モジュールLMU2にウエハWを搬入する。
1番目のウエハWを搬入されたロードロック・モジュールLMU2は、t44〜t47の期間中に大気開放を行う。しかる後、第2の大気搬送ロボット66が、t47〜t48の期間中にロードロック・モジュールLMU2にアクセスして、そのロードロック室16から処理済みの1番目のウエハWを搬出し、それと入れ替わりに未処理の15番目のウエハW15を搬入する。
こうしてロードロック・モジュールLMU2から処理済みの1番目のウエハWを搬出した第2の大気搬送ロボット66は、t48〜t49の期間中にロードポートLP上のカセットCRの待機位置に移動してウエハWを戻し、t50〜t51の期間中に未処理の17番目のウエハW17を取り出す。
一方で、第2の真空搬送ロボット32は、t33〜t35の期間中に第2組の他方のプロセス・モジュールPMにアクセスして、処理済みの3番目のウエハWを搬出し、それと入れ替わりに未処理の7番目のウエハWを搬入する。また、第1の真空搬送ロボット30は、t33〜t35の期間中に第1組の他方のプロセス・モジュールPMにアクセスして、処理済みの4番目のウエハWを搬出し、それと入れ替わりに未処理の8番目のウエハWを搬入する。
第2の真空搬送ロボット32は、上記のようにプロセス・モジュールPMより3番目のウエハWを搬出した直後のt37〜t39の期間中に、第3の真空搬送ロボット34の左側垂直搬送部VRにアクセスして、処理済みの3番目のウエハWを左側垂直搬送部VR(バッファ48U,48Lのいずれか一方)に渡し、代わりに左側垂直搬送部VRが運んできた未処理の9番目のウエハWを受け取る。
一方、第1の真空搬送ロボット30は、上記のようにプロセス・モジュールPMより4番目のウエハWを搬出した直後のt37〜t39の期間中に、第1組(1階)の左側ロードロック・モジュールLML1にアクセスして、そのロードロック室16から未処理の10番目のウエハW10を搬出し、それと入れ替わりに処理済みの4番目のウエハWを搬入する。
4番目のウエハWを搬入されたロードロック・モジュールLML1は、t39〜t42の期間中に大気開放を行う。しかる後、第1の大気搬送ロボット64が、t44〜t45の期間中にロードロック・モジュールLML1にアクセスして、そのロードロック室16から処理済みの4番目のウエハWを搬出し、それと入れ替わりに未処理の14番目のウエハW14を搬入する。
こうしてロードロック・モジュールLML1から処理済みの4番目のウエハWを搬出した第1の大気搬送ロボット64は、t45〜t47の期間中にロードポートLP上のカセットCRの待機位置に移動し、t47〜t48の期間中にウエハWを戻し、未処理の16番目のウエハW16を取り出す。
一方、上記のようにt37〜t39の期間中に第2の真空搬送ロボット32より処理済みの3番目のウエハWを左側垂直搬送部VRに受け取った第3の真空搬送ロボット34は、t47〜t48の期間中に左側垂直搬送部VRから左側水平搬送部HRにウエハWを移し替え、t48〜t49の期間中に第2組(2階)の左側ロードロック・モジュールLMU1にウエハWを搬入する。
3番目のウエハWを搬入されたロードロック・モジュールLMU1は、t49〜t52の期間中に大気開放を行う。しかる後、第2の大気搬送ロボット66が、t52〜t53の期間中にロードロック・モジュールLMU1にアクセスして、そのロードロック室16から処理済みの3番目のウエハWを搬出し、それと入れ替わりに未処理の17番目のウエハW17を搬入する。
こうしてロードロック・モジュールLMU1から処理済みの3番目のウエハWを搬出した第2の大気搬送ロボット66は、t54〜t55の期間中にロードポートLP上のカセットCRの待機位置に移動してウエハWを戻し、t55〜t56の期間中に未処理の19番目のウエハW19を取り出す。
以後も、各部で上記と同様の動作が繰り返される。そして、ロードポートLP上のカセットCRに対する1ロット分の処理が終了すると、隣のロードポートLP上のカセットCRに対しても上記と同様の1ロット分の処理が繰り返される。こうして、2つのロードポートLP,LP上のカセットCR,CRに対して交互に1ロット分の処理が連続的に繰り返される。
図6Aおよび図6Bに示すように、この実施例では、全ての真空搬送ロボット(30,32,34)、全ての大気搬送ロボット(64,66)、全てのロードロック・モジュール(LML1,LML2,LMU1,LMU2)および全てのプロセス・モジュール(PM,PM,PM,PM)が殆ど待ち時間無しに、あるいは必要最小限の待ち時間を挟んで高効率にフル稼働している。その中で、第1の真空搬送及び処理部60と第2の真空搬送及び処理部62とは互いに独立に稼働し、第1の真空搬送ロボット30は専ら第1の真空搬送/処理部60内のウエハ搬送だけに従事していればよく、第2組(2階)のロードロック・モジュールLMU1,LMU2と第2組のプロセス・モジュールPM,PMとの間でのウエハ搬送に関与する(橋渡しをする)必要は全くない。したがって、第1の真空搬送ロボット30に搬送負荷が集中することはない。このように、全ての真空搬送ロボット30,32,34に搬送負荷が相応に分散されるので、システム全体の搬送能力および搬送効率が高く、クラスタツールにおける単時間プロセスのスループットを大幅に向上させることができる。

[他の実施形態または変形例]
上述した実施例では、全てのプロセス・モジュールPM,PM,PM,PMに全部一律に同一のプロセスを並列的に行わせた。別の実施例として、第1の真空搬送及び処理部60において1枚の半導体ウエハWをプロセス・モジュールPM,PMに順次搬送して2種類または2段階のプロセスを連続的に行わせる一方で、第2の真空搬送及び処理部62において別の1枚の半導体ウエハWをプロセス・モジュールPM,PMに順次搬送して同一のプロセス条件で2種類または2段階のプロセスを連続的に行わせるような並列処理も可能である。
また、各々の真空搬送及び処理部60,62に含まれるプロセス・モジュールの個数は任意であり、たとえば3台以上あってもよい。また、第1および/または第2の真空搬送ロボット30,32の本体が第1および/または第2の真空搬送エリアTE,TE内で一定の方向または任意の方向に移動する構成も可能である。
上記実施形態では、各々のロードロック・モジュールLML1,LML2,LMU1,LMU2がハウジング16内に半導体ウエハWを1枚単位で収容するので、ロードロック・モジュール16の内部をコンパクトにできるとともに、給排気動作やクーリング動作を枚葉単位で随時かつ単時間で行うことができる。もっとも、必要に応じて、ロードロック室16内に半導体ウエハWを同時に複数枚収容できる構成とすることも可能である。
さらには、真空搬送室10を奥行き方向に更に長く延ばして、その1階部分に更に別(第4)の真空搬送エリア(図示せず)を設け、この第4の真空搬送エリア内に第4の真空搬送ロボットを配置し、その周囲に第3組のプロセス・モジュールを配置する構成も可能である。この場合、真空搬送室10を3階建てにして、第2組(2階)のロードロック・モジュールLMU1,LMU2の上に第3組(3階)の一対のロードロック・モジュールを設ける。そして、上記第3の真空搬送ロボット34と同様の構成を有し、3階と1階の間で移動して、第3組(3階)のロードロック・モジュールと第4の真空搬送ロボットとの間で半導体ウエハWを1枚ずつ転送するような第5の真空搬送ロボットを備える。なお、各階でロードロック・モジュールを1台のみ設ける構成、あるいは3台以上設ける構成も可能である。
また、上記実施形態では、第3の真空搬送ロボット34において、昇降搬送部VR(VR)が一対のバッファ48,48を備える構成により、昇降搬送部VR(VR)と水平搬送部HR(HR)との間で未処理の半導体ウエハWと処理済みの半導体ウエハWとを交換することができた。
しかし、一変形例として、昇降搬送部VR(VR)がバッファ48を1つだけ備える構成も可能である。この場合、第2の真空搬送ロボット32は、一対の搬送アームF,Fを用いてピック&プレース方式により昇降搬送部VR(VR)のバッファ48から未処理の半導体ウエハWを受け取って、それと入れ替わりに処理済みの半導体ウエハWを渡すことができる。しかし、水平搬送部HR(HR)と昇降搬送部VR(VR)との間では、そのような半導体ウエハW,Wの交換を行うことができない。そこで、図7に示すように、各ロードロック・モジュールLMのロードロック室16内に半導体ウエハWを1枚ずつ載せて支持できる独立に昇降移動可能な2つのウエハ支持部70,72を設ける。この場合、水平搬送部HR(HR)は、1本のアームAM(AM)で運んできた処理済みの半導体ウエハWを一方たとえば上部のウエハ支持部72にローディングしてから、いったんアームAM(AM)を退出させる。直後に、ロードロック室16内では、上部のウエハ支持部72を上方に退避させて、未処理の半導体ウエハWを支持している方の下部ウエハ支持部70をアームAM(AM)の高さに合わせておく。そして、水平搬送部HR(HR)は、ロードロック室16内に空のアームAM(AM)を挿入または進入させて、下部ウエハ支持部70から未処理の半導体ウエハWを受け取り、次いでアームAM(AM)を退出させる。
上記実施形態では、各々のロードロック・モジュールLML1,LML2,LMU1,LMU2にオリフラ合わせ機構を組み込んだ。しかし、ローダ・モジュール15の大気搬送室内で大気搬送ロボット64,66のアクセス可能な位置に各専用または共通のオリフラ合わせ機構を設置することも可能である。
上記実施形態では、第2組(2階)のロードロック・モジュールLMU1,LMU2を第1組(1階)のロードロック・モジュールLML1,LML2の上に配置した。一変形例として、図8に示すように、第2組(2階)のロードロック・モジュールLMU1,LMU2を第1の真空搬送エリアTEの上に配置する構成も可能である。
この場合、大気搬送ロボット64,66のアームJ(J),K(K)は、ローダ・モジュール15側から第1組(1階)のロードロック・モジュールLML1,LML2の上を通って第2組(2階)のロードロック・モジュールLMU1,LMU2にアクセスする。真空搬送室10においては、2階で第3の真空搬送エリアTEがロードロック・モジュールLML1,LML2の容積分だけ小さくなり、奥行き方向(X方向)のサイズが大幅に縮小される。これによって、第3の真空搬送ロボット34の水平搬送部HR,HRにとっては、搬送距離または搬送時間が短くなる。
さらに、第2組(2階)のロードロック・モジュールLMU1,LMU2はもちろん、第1組(1階)のロードロック・モジュールLML1,LML2においても、それらの天板を任意に取り外せるので、メンテナンス性が良くなる。また、第3の真空搬送エリアTEの開口部13の位置にて真空搬送室10の底板と天板に開閉可能なハッチ(図示せず)をそれぞれ取り付けてもよい。大気開放で真空搬送室10内部のメンテナンスを実施する際には、それらのハッチを開けて作業員が開口部13に入って、各真空搬送エリアTE,TE,TE内の部品交換や清掃等を行うことができる。
なお、大気系においては、図8に示すように、第2組(2階)のロードロック・モジュールLMU1,LMU2の正面側搬送エリアの上に、つまり第1組(1階)のロードロック・モジュールLML1,LML2の上方に、ローダ・モジュール15の延長天井部(または別個の防塵壁または防塵カバー)80を設けるのが好ましい。そして、ローダ・モジュール15の天井に空気清浄装置たとえばファン・フィルタ・ユニット(FFU)82を設置する場合は、この延長天井部80にもFFU82を設置するのが好ましい。
また、図9に示すように、第1組(1階)のロードロック・モジュールLML1,LML2の占有スペースをシステム幅方向(Y方向)で拡張することにより、大気搬送室内で両ロードロック・モジュールLML1,LML2の正面側エリアの中心部を広くして、そこに1台の大気搬送ロボット84を配置することも可能である。この大気搬送ロボット84は、水平方向のスライド軸を持たない垂直多関節ロボットとして構成されており、本体86と、この本体86から空中に延びて複数の回転軸で旋回する一対のアームM,M(1本のアームのみ図示)とを有している。各アームM,Mは、そのフォーク形のエンドエフェクタで1枚の半導体ウエハWを保持または支持できるようになっている。そして、この大気搬送ロボット84は、全てのロードポートLP,LP,LP,LP上のウエハカセットCRと全てのロードロック・モジュールLML1,LML2,LMU1,LMU2とにアクセス可能であり、アクセス先のウエハカセットCRまたはロードロック・モジュールLMに対して半導体ウエハWを1枚ずつ取り出し(搬出し)、または1枚ずつ装入(搬入)できるとともに、1回のアクセスの中でピック&プレース方式により処理済みのウエハWと未処理のウエハWとを交換することもできる。大気系の搬送速度および搬送効率は低下するが、この1台の大気搬送ロボット84に上記2台の大気搬送ロボット64,66分の搬送タスクを負わせることも可能である。
また、別の変形例として、図示省略するが、第2組(2階)のロードロック・モジュールLMU1,LMU2を第1組(1階)のロードロック・モジュールLML1,LML2および第1の真空搬送エリアTEの上に跨って配置する構成も可能である。
図10に、第3の真空搬送ロボット34の水平搬送部に関する一変形例を示す。この変形例は、左右の水平搬送部HR,HRの間のスペースにそれぞれの直進搬送部36,36を設ける構成を特徴とする。たとえば、両水平搬送部HR,HRの間に奥行き方向(X方向)に延びる長尺状の中心フレーム90を設け、この中心フレーム90の両面にそれぞれの直進搬送部36,36を取り付ける。左側昇降搬送部VRおよび右側昇降搬送部VRのそれぞれの昇降棒(昇降軸)50は、中心フレーム90側の直進搬送部36,36と対向して真空搬送室10の左右の側壁に近接して配置される。
かかる構成によれば、左側水平搬送部HRにおいては、アームAMを奥行き方向(X方向)で移動させるときに、中心フレーム90に沿って運動する直進搬送部36(特にアーム支持部44)が、左側昇降搬送部VRの昇降棒(昇降軸)50と干渉(衝突)することがない。このことにより、左側水平搬送部HRは、左側昇降搬送部VRとの間で半導体ウエハWの受け渡しを行う際に、アームAMを前方(ロードロック・モジュールLMU1寄り)に退避させることができる。たとえば、左側昇降搬送部VRのバッファ48(または48)から処理済みの半導体ウエハWがアームAMに乗り移った直後に、アームAMをいったん後退させて左側昇降搬送部VRを2階から1階に下降移動させるのではなく、左側昇降搬送部VRを2階に留めたままアームAMを前進移動させることができる。したがって、処理済みの半導体ウエハWを受け取ったアームAMをそのまま直ちにロードロック・モジュールLMU1の室内まで前進移動させることも可能である。これによって、左側水平搬送部HRの搬送速度または搬送効率を向上させることができる。右側水平搬送部HRも、左側水平搬送部HRと同じ構成を有するので、同様の搬送動作を行うことができる。
上記実施形態では、真空搬送室10の1階に第1および第2の真空搬送エリアTE,TE、第1組および第2組のプロセス・モジュールPM〜PM、第1組のロードロック・モジュールLML1,LML2を設け、2階に第3の真空搬送エリアTEおよび第2組のロードロック・モジュールLMU1,LMU2を設けた。しかし、真空搬送室10の内部およびその回りの1階部分と2階部分とを上下反転させた構成、つまり真空搬送室10の2階に第1および第2の真空搬送エリアTE,TE、第1組および第2組のプロセス・モジュールPM〜PM、第1組のロードロック・モジュールLML1,LML2を設け、1階に第3の真空搬送エリアTEおよび第2組のロードロック・モジュールLMU1,LMU2を設ける構成も可能である。この場合、第1および第2の真空搬送ロボット30,32は真空搬送室10の2階で水平方向に分けられる第1および第2の真空搬送エリアTE,TE内でそれぞれウエハ搬送動作を行い、第3の真空搬送ロボット34は1階および開口部13に広がる第3の真空搬送エリアTE内でウエハ搬送動作を行う。
さらに、第3の真空搬送ロボット34が水平搬送部HRおよび垂直搬送部VRを1組だけ有する構成、あるいは水平搬送部HRおよび垂直搬送部VRのそれぞれの機能を1台で兼ねる真空搬送ロボットの構成等も可能である。
本発明における被処理基板は、半導体ウエハに限らず、たとえばFPD(フラット・パネル・ディスプレイ)基板であってもよく、クラスタツール方式の真空処理装置で任意の処理を受ける任意の基板であってよい。
10 真空搬送室
12 チャンバ
15 ローダ・モジュール
16 ロードロック室
30 第1の真空搬送ロボット
32 第2の真空搬送ロボット
34 第3の真空搬送ロボット
60 第1の真空搬送及び処理部
62 第2の真空搬送及び処理部
64 第1の大気搬送ロボット
66 第2の大気搬送ロボット
84 大気搬送ロボット
LP〜LP ロードポート
GV〜GV ゲートバルブ
GVL1,GVL2,GVU1,GVU2 ゲートバルブ
DVL1,DVL2,DVU1,DVU2 ドアバルブ
HR 左側水平搬送部
HR 右側水平搬送部
VR 左側水平搬送部
VR 右側水平搬送部
TE 第1の真空搬送エリア
TE 第2の真空搬送エリア
TE 第3の真空搬送エリア
TP 1階移載位置
TP 2階移載位置

Claims (23)

  1. 室内が減圧状態に保たれる真空搬送室と、
    前記真空搬送室内に水平方向で分けられて設けられる第1および第2の真空搬送エリアと、
    前記第1の真空搬送エリアに隣接して前記真空搬送室の周囲に配置された第1組のプロセス・モジュールおよび第1組のロードロック・モジュールと、
    前記第2の真空搬送エリアに隣接して前記真空搬送室の周囲に配置された第2組のプロセス・モジュールと、
    前記第1組のプロセス・モジュールおよび前記第1組のロードロック・モジュールにアクセスして、アクセス先の各モジュールと基板の受け渡しを行い、前記第1の真空搬送エリア内で基板を搬送する第1の真空搬送機構と、
    前記第2組のプロセス・モジュールにアクセスして、アクセス先の各モジュールと基板の受け渡しを行い、前記第2の真空搬送エリア内で基板を搬送する第2の真空搬送機構と、
    前記第1組のロードロック・モジュールよりも高い階または低い階に配置された第2組のロードロック・モジュールと、
    前記真空搬送室内で前記第2組のロードロック・モジュールに隣接して設けられ、前記第2の真空搬送エリアに接続する第3の真空搬送エリアと、
    前記第2組のロードロック・モジュールにアクセスしてアクセス先の各モジュールと基板の受け渡しを行うとともに、前記第3の真空搬送エリア内に設けられた第1の移載位置で前記第2の搬送機構と基板の受け渡しを行い、前記第3の真空搬送エリアで基板を搬送する第3の真空搬送機構と
    を有する真空処理装置。
  2. 前記第2組のロードロック・モジュールは、前記第1組のロードロック・モジュールの上または下に配置される、請求項1に記載の真空処理装置。
  3. 前記第2組のロードロック・モジュールは、前記第1の真空搬送エリアの上または下に配置される、請求項1に記載の真空処理装置。
  4. 前記第2組のロードロック・モジュールは、前記第1組のロードロック・モジュールおよび前記第1の真空搬送エリアの上または下に跨って配置される、請求項1に記載の真空処理装置。
  5. 前記第3の真空搬送機構は、前記第3の真空搬送エリア内の前記第1の移載位置の上方または下方に設けられた第2の移載位置で互いに基板の受け渡しを行える水平移動可能な水平搬送部と昇降移動可能な昇降搬送部とを有し、
    前記水平搬送部は、前記第2組のロードロック・モジュールと前記第2の移載位置との間で基板を搬送し、
    前記昇降搬送部は、前記1の移載位置と前記第2の移載位置との間で基板を搬送する、
    請求項1に記載の真空処理装置。
  6. 前記水平搬送部は、水平な一方向のみで基板の搬送を行うための第1の直進移動機構を有する、請求項1に記載の真空処理装置。
  7. 前記水平搬送部は、基板を1枚単位で保持または支持できる搬送アームを有する、請求項1に記載の真空処理装置。
  8. 前記昇降搬送部は、鉛直方向のみで基板の搬送を行うための第2の直進移動機構を有する、請求項1に記載の真空処理装置。
  9. 前記昇降搬送部は、同時に2枚の基板を載置または支持できる一対のバッファを有する、請求項1に記載の真空処理装置。
  10. 前記第2組のロードロック・モジュールは、横に並んで配置される一対のロードロック・モジュールを含み、
    前記第3の真空搬送機構は、前記横に並んで配置される一対のロードロック・モジュールにそれぞれ対応して、横に並んで設けられ各々独立に動作する一対の前記水平搬送部と、横に並んで設けられ各々独立に動作する一対の前記昇降搬送部とを有する、
    請求項5に記載の真空処理装置。
  11. 多数の基板を一定間隔に並べて出し入れ可能に収納するカセットの投入または払い出しを行うために、前記第1組および第2組のロードロック・モジュールと対向して大気空間内の所定位置に設けられる第1のロードポートと、
    前記第1のロードポートに置かれた第1のカセットといずれかの前記ロードロック・モジュールとの間で基板の搬送を行う第1の大気搬送機構と、
    前記第1のロードポート上の前記第1のカセットと他のいずれかの前記ロードロック・モジュールとの間で基板の搬送を行う第2の大気搬送機構と
    を有し、
    前記第1および第2の大気搬送機構が、前記第1のカセットに対して基板の取り出しまたは基板の装入を1枚ずつ交互に行う、
    請求項1に記載の真空処理装置。
  12. 前記第1の大気搬送機構は、前記第1のカセットと前記第1組のロードロック・モジュールとの間で基板の搬送を行い、
    前記第2の大気搬送機構は、前記第1のカセットと前記第2組のロードロック・モジュールとの間で基板の搬送を行う、
    請求項11に記載の真空処理装置。
  13. 前記第1の大気搬送機構が基板の取り出しまたは基板の装入のために前記第1のカセットにアクセスする間に、前記第2の大気搬送機構が基板の取り出しまたは基板の装入のために前記第2組のロードロック・モジュールにアクセスし、
    前記第2の大気搬送機構が基板の取り出しまたは基板の装入のために前記第1のカセットにアクセスする間に、前記第1の大気搬送機構が基板の取り出しまたは基板の装入のために前記第1組のロードロック・モジュールにアクセスする、
    請求項12に記載の真空処理装置。
  14. 大気空間内で前記第1のロードポートに隣接して設けられる第2のロードポートを更に有し、
    前記第1および第2の大気搬送機構が、前記第2のロードポートに置かれた第2のカセットに対しても基板の取り出しまたは基板の装入を1枚ずつ交互に行う、
    請求項11に記載の真空処理装置。
  15. 前記第1および第2の大気搬送機構の各々が、水平方向のスライド軸を持たない多関節ロボットを有する、請求項11に記載の真空処理装置。
  16. 前記第1組に属する全てのプロセス・モジュールおよび前記第2組に属する全てのプロセス・モジュールが同一の単一プロセスを繰り返し行う、請求項1に記載の真空処理装置。
  17. 前記第1組のプロセス・モジュールおよび前記第2組のプロセス・モジュールが同一の複合プロセスを繰り返し行う、請求項1に記載の真空処理装置。
  18. 室内が減圧状態に保たれる真空搬送室と、
    前記真空搬送室の周囲に並べて設けられ、減圧下の室内で基板に所定の処理が行われる1つまたは複数のプロセス・モジュールと、
    前記真空搬送室の周囲に並べて設けられ、室内が選択的に大気状態または減圧状態に切り換えられ、大気空間と前記真空搬送室との間で転送される基板を一時的に留め置く複数のロードロック・モジュールと、
    いずれかの前記ロードロック・モジュールといずれかの前記プロセス・モジュールとの間で、または異なる前記プロセス・モジュールの間で、基板を搬送するために前記真空搬送室内に設けられる1つまたは複数の真空搬送機構と、
    多数の基板を一定間隔に並べて出し入れ可能に収納するカセットの投入または払い出しを行うために、前記複数のロードロック・モジュールと対向する大気空間内の所定位置に設けられる第1のロードポートと、
    前記第1のロードポートに置かれた第1のカセットといずれかの前記ロードロック・モジュールとの間で基板の搬送を行う第1の大気搬送機構と、
    前記第1のロードポート上の前記第1のカセットと他のいずれかの前記ロードロック・モジュールとの間で基板の搬送を行う第2の大気搬送機構と
    を有し、
    前記第1および第2の大気搬送機構が、前記第1のカセットに対して基板の取り出しまたは基板の装入を1枚ずつ交互に行う、
    真空処理装置。
  19. 前記第1の大気搬送機構が基板の取り出しまたは基板の装入のために前記第1のカセットにアクセスする間に、前記第2の大気搬送機構が基板の取り出しまたは基板の装入のためにいずれかの前記ロードロック・モジュールにアクセスし、
    前記第2の大気搬送機構が基板の取り出しまたは基板の装入のために前記第1のカセットにアクセスする間に、前記第1の大気搬送機構が基板の取り出しまたは基板の装入のために他のいずれかの前記ロードロック・モジュールにアクセスする、
    請求項18に記載の真空処理装置。
  20. 大気空間内で前記第1のロードポートに隣接して設けられる第2のロードポートを更に有し、
    前記第1および第2の大気搬送機構が、前記第2のロードポートに置かれた第2のカセットに対しても基板の取り出しまたは基板の装入を1枚ずつ交互に行う、
    請求項18に記載の真空処理装置。
  21. 前記第1および第2の大気搬送機構の各々が、水平方向のスライド軸を持たない多関節ロボットを有する、請求項18に記載の真空処理装置。
  22. 前記複数のプロセス・モジュールの全てが同一のプロセスを繰り返し行う、請求項18に記載の真空処理装置。
  23. 前記複数のプロセス・モジュールが、第1組のプロセス・モジュールと第2組のプロセス・モジュールとに分けられ、
    前記第1組のプロセス・モジュールおよび前記第2組のプロセス・モジュールが同一の複合プロセスを繰り返し行う、
    請求項18に記載の真空処理装置。
JP2012553621A 2011-01-20 2012-01-18 真空処理装置 Active JP6006643B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2011009859 2011-01-20
JP2011009859 2011-01-20
PCT/JP2012/000271 WO2012098871A1 (ja) 2011-01-20 2012-01-18 真空処理装置

Publications (2)

Publication Number Publication Date
JPWO2012098871A1 true JPWO2012098871A1 (ja) 2014-06-09
JP6006643B2 JP6006643B2 (ja) 2016-10-12

Family

ID=46515506

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012553621A Active JP6006643B2 (ja) 2011-01-20 2012-01-18 真空処理装置

Country Status (5)

Country Link
US (1) US9443749B2 (ja)
JP (1) JP6006643B2 (ja)
KR (1) KR101744372B1 (ja)
TW (1) TWI571953B (ja)
WO (1) WO2012098871A1 (ja)

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5060517B2 (ja) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2014093489A (ja) * 2012-11-06 2014-05-19 Tokyo Electron Ltd 基板処理装置
CN104812938B (zh) 2012-11-23 2017-07-07 皮考逊公司 Ald反应器中的衬底装载
JP6058999B2 (ja) * 2012-12-11 2017-01-11 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
KR102548468B1 (ko) * 2013-01-22 2023-06-27 브룩스 오토메이션 인코퍼레이티드 기판 이송기
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR101527901B1 (ko) * 2013-10-10 2015-06-10 피에스케이 주식회사 기판 처리 장치 및 기판 반송 방법
US10971381B2 (en) 2013-11-04 2021-04-06 Applied Materials, Inc. Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
JP6484563B2 (ja) * 2013-12-26 2019-03-13 コニカミノルタ株式会社 電子デバイスの印刷製造システム
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
KR101722915B1 (ko) * 2014-10-13 2017-04-04 주식회사 테스 유기금속화학기상증착장치
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN106033737B (zh) * 2015-03-16 2019-01-18 中微半导体设备(上海)有限公司 真空锁系统及基片传送方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106558520A (zh) * 2015-09-29 2017-04-05 北京北方微电子基地设备工艺研究中心有限责任公司 晶片传输系统及晶片传输方法
JP6089082B1 (ja) * 2015-09-29 2017-03-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US10014196B2 (en) * 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
KR101972730B1 (ko) * 2016-11-02 2019-04-25 가부시키가이샤 알박 진공 처리 장치
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
CN110678576B (zh) * 2017-06-14 2022-03-22 株式会社爱发科 真空处理装置
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
CN108172531B (zh) * 2017-12-20 2021-01-15 武汉华星光电半导体显示技术有限公司 刻蚀设备
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
JP2019192892A (ja) 2018-04-18 2019-10-31 東京エレクトロン株式会社 処理システムおよび処理方法
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7014055B2 (ja) * 2018-06-15 2022-02-01 東京エレクトロン株式会社 真空処理装置、真空処理システム、及び真空処理方法
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
CN109244186B (zh) * 2018-09-19 2024-02-27 通威太阳能(安徽)有限公司 一种新型背钝化背膜正膜机台镀膜连体上下料装置及方法
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP7210960B2 (ja) * 2018-09-21 2023-01-24 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
JP7394554B2 (ja) * 2019-08-07 2023-12-08 東京エレクトロン株式会社 基板処理システム
KR102483600B1 (ko) * 2020-02-05 2022-12-30 가부시키가이샤 야스카와덴키 반송 시스템, 반송 방법 및 반송 장치
WO2021234928A1 (ja) * 2020-05-21 2021-11-25 株式会社安川電機 搬送装置、搬送方法および搬送システム
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US11935770B2 (en) 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers
US11935771B2 (en) 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
US6440261B1 (en) * 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
JP3674864B2 (ja) * 2003-03-25 2005-07-27 忠素 玉井 真空処理装置
US7246985B2 (en) * 2004-04-16 2007-07-24 Axcelis Technologies, Inc. Work-piece processing system
US20060156979A1 (en) * 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
JP4907077B2 (ja) * 2004-11-30 2012-03-28 株式会社Sen ウエハ処理装置及びウエハ処理方法並びにイオン注入装置
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US7695232B2 (en) 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
JP4098338B2 (ja) * 2006-07-20 2008-06-11 川崎重工業株式会社 ウェハ移載装置および基板移載装置
US7949425B2 (en) 2006-12-06 2011-05-24 Axcelis Technologies, Inc. High throughput wafer notch aligner
JP2009064864A (ja) * 2007-09-05 2009-03-26 Hitachi High-Technologies Corp 半導体処理装置
JP5128918B2 (ja) * 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
JP4980978B2 (ja) * 2008-04-17 2012-07-18 大日本スクリーン製造株式会社 基板処理装置
JP5000627B2 (ja) * 2008-11-27 2012-08-15 東京エレクトロン株式会社 基板処理システム
JP5139253B2 (ja) 2008-12-18 2013-02-06 東京エレクトロン株式会社 真空処理装置及び真空搬送装置
TWI394224B (zh) * 2009-02-24 2013-04-21 Intevac Inc 載送及處理基板之裝置與方法
JP5736687B2 (ja) * 2009-10-06 2015-06-17 東京エレクトロン株式会社 基板処理装置
TW201123340A (en) * 2009-11-12 2011-07-01 Hitachi High Tech Corp Vacuum processing system and vacuum processing method of semiconductor processing substrate

Also Published As

Publication number Publication date
JP6006643B2 (ja) 2016-10-12
KR20140004132A (ko) 2014-01-10
KR101744372B1 (ko) 2017-06-07
US9443749B2 (en) 2016-09-13
WO2012098871A1 (ja) 2012-07-26
TW201243985A (en) 2012-11-01
US20130302115A1 (en) 2013-11-14
TWI571953B (zh) 2017-02-21

Similar Documents

Publication Publication Date Title
JP6006643B2 (ja) 真空処理装置
US10204810B2 (en) Linear vacuum robot with Z motion and articulated arm
JP3437734B2 (ja) 製造装置
WO2012133218A1 (ja) 基板処理装置
KR20150039152A (ko) 로드락 디자인 및 로드락을 사용하기 위한 방법
KR20100109357A (ko) 기판처리장치 및 기판반송방법
US20120148378A1 (en) Substrate transfer apparatus, substrate process system, and substrate transfer method
WO2018016257A1 (ja) 基板処理装置
JPH04190840A (ja) 真空処理装置
JP6306813B2 (ja) モジュール式半導体処理システム
TW201330158A (zh) 真空處理裝置
KR102058985B1 (ko) 로드 스테이션
US20090162170A1 (en) Tandem type semiconductor-processing apparatus
US20140119858A1 (en) Semiconductor Device Manufacturing Line
TWI681490B (zh) 載體搬送裝置及載體搬送方法
JP2014060338A (ja) 基板処理装置
JP6031304B2 (ja) 基板処理装置及び基板処理方法
TW202312233A (zh) 具有冗餘度的工廠介面
KR20240051070A (ko) 중복성을 갖는 팩토리 인터페이스
JP5872880B2 (ja) 基板処理装置、基板移載装置及び半導体装置の製造方法
JP2002043389A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20141210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160401

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20160415

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160510

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160812

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160909

R150 Certificate of patent or registration of utility model

Ref document number: 6006643

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250