TWI335618B - Substrate processing apparatus using a batch processing chamber - Google Patents

Substrate processing apparatus using a batch processing chamber Download PDF

Info

Publication number
TWI335618B
TWI335618B TW095100798A TW95100798A TWI335618B TW I335618 B TWI335618 B TW I335618B TW 095100798 A TW095100798 A TW 095100798A TW 95100798 A TW95100798 A TW 95100798A TW I335618 B TWI335618 B TW I335618B
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
transfer
space
batch
Prior art date
Application number
TW095100798A
Other languages
English (en)
Other versions
TW200710948A (en
Inventor
Randhir P S Thakur
Steve G Ghanayem
Nir Merry
Peter Schwartz
Somnath Nag
David Tiao
Andreas G Hegedus
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200710948A publication Critical patent/TW200710948A/zh
Application granted granted Critical
Publication of TWI335618B publication Critical patent/TWI335618B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45593Recirculation of reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Description

4 * 4 *1335618 玖、發明說明: 【發明所屬之技術領域】 本發明之實施例大體來說係有關於一種經配置以執 行製程程序之整合式製程系統,其包含單一基材和批式沉 積製程模組兩者。 【先前技術】 形成半導體元件之製程一般是在多腔室製程系統中 完成(例如集結式機台),其能夠在受控制之製程環境中處 理基材(例如半導體晶圓)。典型受控制之製程環境包含具 有一主架構之真空系統,其容納在與該主架構連接之負載 鎖定室和多個真空製程腔室間傳送基材之基材傳送自動控 制系統。受控制之製程環境有許多益處,包含最小化傳送 期間和完成多種基材製程步驟期間基材表面之污染。因此 在受控制之環境下執行製程會減少所產生之缺陷數量並改 善元件良率。 基材生產製程之效力通常由兩個相關且重要的因素 權衡,即元件良率和持有成本(C00)。這些因素是重要的, 因為其直接影響製造電子元件之成本,因而影響元件製造 商在市場上的競爭力。雖然會受許多因素影響,但C00主 要受系統和腔室產能影響,簡單的說就是使用預期製程程 序下每小時所處理之基材數量。一般製程程序係定義為在 集結式機台中一或多個製程腔式内完成之元件製造步驟, 或製程配方步驟之程序。一製程程序通常含有許多基材(或 1335618 j 晶圓)生產製程步驟。若集結式機台中之基材產能不受限於 機械臂,則最冗長的製程配方步驟就會限制到該製程程序 之產能,增加C 0 0,並且可能使預期的製程程序無法實施。 習知集結式機台製程程序使用適於執行預期半導體 元件生產製程之複數個單一基材製程腔室。用於習知生產 製程之典型系統的產能,例如 PVD(物理氣相沉積)或 CVD(化學氣相沉積)設備,執行典型沉積製程通常是介於 每小時3 0至6 0個基材間。對於兩個或四個製程腔室系統 來說,具有所有的典型前及後製程步驟代表著約1至2分 鐘的最大製程時間。可允許的最大製程步驟時間會依據同 步製程數量或該系統中包含之多餘腔室而改變。 業界對於縮小半導體元件尺寸以改善元件處理速度 並降低元件產生的熱之推動,導致業界對於製程變異性的 容忍度降低。為符合這些較嚴格的製程要求,業界研發出 一種符合較嚴格製程窗口(process window)要求之新式製 程主機,但這些製程通常需要較長的時間來完成。例如某 些ALD製程可能會需要從約1 0至約200分鐘的腔室製程 時間來在基材表面上沉積高品質沉積層,這會使基材製程 程序產能成為每小時约〇. 3至約6個基材。在迫於元件效 能要求而需選擇此類製程的同時,在習知單一基材製程腔 室中製造元件的成本會因為基材產能的低落而增加。此 外,雖然可以在晶圓廢内增加更多機台以符合每週預期晶 圓數量(wafer starts per week)(或每週基材數量),但是在 不顯著擴大晶圓廠大小及增加操作機台員工下增加製程腔 6 4 41335618 室或機台數量通常是不切實際的,因為這些常是基材生產 製程中最昂貴的支出。 由於半導體元件日益縮小的尺寸以及始終不斷增加 的對於元件效能的要求,對於元件生產製程一致性和再現’ 性之可容忍變異量大幅度縮減。能夠影響元件效能變異性 和再現性的一個因素稱為「等候時間(q u e u e t i m e)」。等候 時間通常界定為在第一製程已經在基材上完成之後,必須 在該基材上完成第二製程以避免某些不利因素影響所製造 之元件效能之前,基材可暴露在環境或其他污染物下之時 間。若基材暴露在環境或其他污染源下一段接近或超過可 容許等待時間的時間,元件效能可能會被該第一和第二層 間之介面汙染所影響。因此,對於包含將基材暴露在環境 或其他污染源中之製程程序而言,必須控制或最小化基材 暴露在這些污染源下的時間,以避免元件效能變異。因此, 有用的電子元件生產製程必須呈現均勻且再現之製程結 果,最小化污染的影響,並且也符合預期產能要求,以列 入在基材製程程序中使用的考慮。 因此,業界有對於一種能夠處理基材而使其符合所 要求之元件效能目標並增加系統產能,因而降低製程程序 C00之系統、方法及設備仍有高度需求。 【發明内容】 本發明大體來說提供一種基材製程設備,其包含一 工廠介面,其具有通常維持在常壓下之傳送區域,一冷卻 7 41335618 盤,適於加熱及/或冷卻一基材,一可批式處理之 程腔室,其係與該工廠介面之傳送區域交流,以及 機械臂,位於該傳送區域内,其係適於在該冷卻盤 批式處理之基材製程腔室間傳送一或多個基材。 本發明之實施例進一步提供一種基材製程設 包含一工廠介面,其具有通常維持在大氣壓力下之 域,一冷卻盤,適於加熱及/或冷卻一基材,一可 理之基材製程腔室組件,其係與該工廠介面之傳送 流,其中該可批式處理之基材製程腔室組件包含一 程區域,具有形成一内部製程空間之一或多個側壁 材缓衝區域,具有形成一内部緩衝空間之一或多個 其中該基材缓衝區域與該基材製程區域相鄰,以及 晶圓匣(process cassette),其係適於支掠兩或多個 其中可利用一舉升機構在該内部緩衝空間和該内部 間之間傳送該製程晶圓匣,以及一傳送機械臂,位 送區域内,其係適於在該冷卻盤和該製程晶圓匣間 或多個基材。 本發明之實施例進一步提供一基材製程設備 含一晶圓盒(ρ 〇 d ),其係適於容納兩或多個基材,一 面,其具有通常維持在常壓下之傳送區域,一第一 處理之基材製程腔室組件,其係與該工廠介面之傳 交流,其中該第一可批式處理之基材製程腔室組件 第一基材製程區域,具有形成一第一内部製程空間 多個側壁,一第一傳送區域,具有形成一第一内部 基材製 一傳送 和該可 備,其 傳送區 批式處 區域交 基材製 ,一基 側壁, 一製程 基材, 製程空 於該傳 傳送一 ,其包 工廠介 可批式 送區域 包含一 之一或 缓衝空 8 1335618 Λ * 間之一或多個側壁,其中該第一傳送區域與該第一基材製 程區域垂直相鄰,以及一第一製程晶圓匣,其係適於支撐 兩或多個基材,其中可利用一舉升機構在該第一内部緩衝 空間和該第一内部製程空間之間傳送該第一製程晶圓匣, 一第二可批式處理之基材製程腔室組件,其係與該工廠介 面之傳送區域交流,其中該第二可批式處理之基材製程腔 室組件包含一第二基材製程區域,具有形成一第二内部製 程空間之一或多個側壁,一第二傳送區域,具有形成一第 二内部缓衝空間之一或多個側壁,其中該第二傳送區域與 該第二基材製程區域垂直相鄰,以及一第二製程晶圓匣, 其係適於支撐兩或多個基材,其中可利用一舉升機構在該 第二内部緩衝空間和該第二内部製程空間之間傳送該第二 製程晶圓匣,一真空幫浦,其係適於降低係選自該第一内 部製程空間、該第二内部製程空間、該第一内部緩衝空間、 以及該第二内部缓衝空間之至少一個區域中之壓力,以及 一傳送機械臂,位於該傳送區域内,其係適於在該晶圓盒 和該第一製程晶圓匣或第二製程晶圓匣間傳送一或多個基 材。 本發明之實施例進一步提供一基材製程設備,其包 含一工廠介面,其具有通常維持在常壓下之傳送區域,兩 或多個可批式處理之基材製程腔室,每一個皆與該傳送區 域交流,其中該兩或多個可批式處理之基材製程腔室包含 一基材製程區域,具有形成一内部製程空間之一或多個側 壁,一基材缓衝區域,具有形成一内部緩衝空間之一或多 9 1335618 個側壁,其中該基材缓衝區域與該基材製程區域垂直相 鄰,一製程晶圓匣,其係適於支撐兩或多個基材,其中可 利用一舉升機構在該内部緩衝空間和該内部製程空間之間 傳送該製程晶圓匣,以及一擋板,位於該基材製程區域和 該基材緩衝區域間,其係適於可密封地設置以隔離該内部 製程空間和該内部緩衝空間,一冷卻盤,其係位於該工廠 介面之該傳送區域中,以及一機械臂,裝設在該傳送腔室 中,其係適於在該冷卻盤和該兩或多個可批式處理之基材 製程腔式間傳送基材。 本發明之實施例進一步提供一種基材製程設備,其 包含一晶圓盒,其係適於容納兩或多個基材,一工廠介面, 其具有通常維持在常壓下之傳送_區域,一可批式處理之基 材製程腔室組件,其係與該工廠介面之傳送區域交流,其 中該可批式處理之基材製程腔室組件包含一基材製程區 域,具有形成一内部製程空間之一或多個側壁,一基材緩 衝區域,具有形成一内部緩衝空間之一或多個側壁,其中 該基材缓衝區域與該基材製程區域垂直相鄰,一製程晶圓 匣,其係適於支撐兩或多個基材,以及一舉升機構,其係 適於在該内部缓衝空間和該内部製程空間之間傳送該製程 晶圓匣,一第·-腔室,包含一第一冷卻盤’其係適於加熱 及/或冷卻一基材,以及一第一機械臂,其係適於在該第 一冷卻盤和該製程晶圓匣間傳送一或多個基材,一單一基 材製程腔室,其係與該傳送區域交流,其中該單一基材製 程腔室具有形成一單一基材内部製程空間之一或多個側 10 1335618 壁,一第二腔室,包含一第二冷卻盤,其係適於加熱及/ 或冷卻一基材,以及一第二機械臂,其係適於在該第二冷 卻盤和該單一基材製程腔室間傳送一或多個基材,以及一 第三機械臂,位於該傳送區域内,並且適於在該第一腔室、 該第二腔室、和該晶圓盒間傳送一或多個基材。 【實施方式】 本發明大體來說提供一種利用適於在一或多個批式 及單一基材製程腔室中處理基材以增加該系統產能之多腔 室製程系統(例如集結式機台)處理基材之設備及方法。批式· 製程腔室一詞,.或可批式處理之製程腔室,意欲概括描述可 同時處理兩個或更多個基材之腔室。在一實施例中,使用一 批式製程腔室來增加系統產能,藉由在其中執行與在該集結 式機台中執行之該基材製程程序中其他製程配方步驟相比 特別冗長之製程配方步驟。在另一實施例中,使用兩個或多 個批式腔室來處理使用一製程程序中一或多個特別冗長之 製程步驟之多個基材。在本發明之一態樣中,利用一系統控 制器來控制在該批式製程腔室中處理之基材數量(或批量 (lot size)),以最佳化製程程序系統產能,同時最小化基材 在該批式製程腔室處理後,在下一個製程腔室中處理前之閒 置時間。一般來說,下一個製程腔室可以是另一個批式製程 腔室或是一單一基材製程腔室。本發明在下面參考可從加州 聖塔克拉拉之應用材料公司之一部門,FEP取得之Centura RTM機台例示地描述。 Π 1335618 本發明之實施例在能夠在單一基材製程腔室和批式 型製程腔室中處理基材之集結式機台中特別有優勢。集結 式機台係含有多個在電子元件生產製程中執行各種功能之 腔室之模組系統。如第1圖所示,多個腔室係經裝設在一 中央傳送腔室110上,其容納適於在該等腔室間往返傳送 晶圓之機械臂1 1 3。通常該傳送腔室1 1 0係維持在真空條 件下,並且提供往返傳送之晶圓從一腔室至另一個及/或 至位於該集結式機台前端之.負載鎖定室間之中介階段。 第1圖係電子元件製程用之一典型集結式機台1 0 0 之平面圖,其中可使用本發明而受益。兩個此類平台係 Centura RTM和Endura RTM,兩者皆可從加州聖塔克拉拉 之應用材料公司取得。一此類分段式真空基材製程系統之 細節在1 993年2月1 6號核准之Tepman等之標題為「分 段式真空基材製程系統和方法(Staged-Vacuum Substrate Processing System and Method)」之美國專利第 5,186,718 號中揭示。腔室之確切配置和組合可為了執行生產製程之 特定步驟而改變 根據本發明之態樣,該集結式機台1 〇〇 —般含有複 數個腔室及機械臂,並且較佳地配備有經程式化以控制並 執行該集結式機台1 0 0中之各種製程方法和程序之系統控 制器1 0 2。第2 A圖示出一實施例,其中一批式製程腔室 201係裝設在該傳送腔室110之位置114A處,並且三個單 一基材製程腔室202A—C係裝設在該傳送腔室110之位置 114B—D處。可將該批式製程腔室201安置在一或多個其 12 1335618 他位置上,例如位置1 1 4 B - D,以改善系統設計之硬體整 合面向或改善基材產能。在某些實施例中,並非所有的位 置1 1 4 A — D皆被佔據,以降低該系統之成本或複雜度。 第2B圖示出具有兩個批式腔室201之實施例,其 係裝設在該等位置114A— D之兩個上,而其他位置可含有 單一基材製程腔室。雖然第2B圖示出裝設在位置1 1 4A和 1 1 4 D處之兩個批式製程腔室2 0 1,但此配置並不意欲限制 本發明之範圍,因為批式製程腔室之位置或數量並不受限 於在此所述之本發明之各態樣,因此可將一或多個批式腔 室安置在該等位置114A—D之任一者上。 參見第2A和2B圖,示出位於選擇性的與一對負載 鎖定室1 0 6交流之選擇性的前端環境1 0 4 (在此也稱為工廠 介面或FI)。配置在該前端環境104之傳送區域104A中之 工廠介面機械臂1 0 8 A — B能夠線性、旋轉、以及垂直移動 以在該等負載鎖定室1 06 .和裝設在該前端環境1 04上之複 數個晶圓盒1 0 5間往返傳送基材。該前端環境1 04 —般係 用來將晶圓從位於複數個晶圓盒1 0 5中之晶圓匣(未示出) 透過一常壓清潔環境/封圍(enclosure)傳送至某些預期位 置,例如製程腔室(例如,負載鎖定室1 0 6、基材緩衝/冷 卻位置1 5 2、批式製程腔室2 0 1、及/或單一基材製程腔室 2 02)。該前端環境104之傳送區域104A中之清潔環境通 常是利用空氣過濾處理來提供,例如使空氣通過高效率微 粒空氣(HEPA)過濾器,例如。前端環境,或前端工廠介面, 可由加州聖塔克拉拉之應用材料公司購得。 13 1335618 負載鎖定室 1 〇 6在該前端環境 1 Ο 4和一傳送腔室 11 〇間提供一第一真空介面。在一實施例中,提供兩個負 載鎖定室106來增加產能,藉由輪流與該傳送腔室110和 該前端環境1 0 4交流的方式。因此,當一個負載鎖定室1 0 6 與該傳送腔室110交流時,第二負載鎖定室106可與該前 端環境1 0 4交流。在一實施例中,該負載鎖定室1 0 6係一 批式型負載鎖定室,其可從該工廠介面接收兩個或多個基 材,在該腔室密封時留置該等基材,然後排空至足夠低之 真草水準以將該等基材傳送至該傳送腔室 1 1 0。較佳地, 該批式負載鎖定室可同時留置25至50個基材。在一實施 例中,該負載鎖定室106Α— Β可適於在該集結式機台中處 理過後冷卻該等基材。在一實施例中,留置在該負載鎖定 室中之該等基材可利用從一氣體來源進氣口(未示出)至一 排氣口(未示出)之氣體流動所引起的對流來冷卻’·兩者皆 裝設在該負載鎖定室中。在另一實施例中,該負載鎖定室 可安裝有包含可以冷卻之複數個導熱隔板(未示出)之負载 鎖定室晶圓匣。該等隔板可嵌插在留置在該晶圓匣中之該 等基材間,而使一縫隙存在於該等隔板和該等基材間。在 此實施例中,該等隔板輻射冷卻該等基材,因此提供該等 基材均勻的加熱或冷卻,而避免該等基材之損傷或翹曲。 在另一實施例中,該等隔板接觸該基材表面以藉由將熱從 其表面傳開之方式冷卻基材。 在一實施例中,該集結式機台1 0 0係適於在常壓(例 如760托耳)或接近常壓之壓力下處理基材,因此,不需要 14 1335618 負載鎖定室1 〇 6 A — B做為該工廠介面和該傳送腔室1 1 0間 之中介腔室。在此實施例中,該工廠介面機械臂108Α— Β 會直接將晶圓’’ W”傳送至該機械臂1 1 3 (未示出),或者該工 廠介面機械臂108Α— Β可將該基材”W”傳送至一傳遞腔室 (pass-through chamber)(未示出),其取代該等負載鎖定室 1 0 6 A — B,因此該機械臂1 1 3和該工廠介面機械臂1 0 8 A — B可交換基材。可持續以惰性氣體清潔該傳送腔室1 1 0以 最小化氧氣、水、.及/或其他污染物在傳送腔室 110、裝 設在位置 114A— D 上之製程腔室和公設腔室(service chamber) 1 1 6A — B内之分壓。可用之惰性氣體包含,例如, 氬氣、氮氣、或氦氣。可在傳送腔室110、公設腔室116Α —Β、及/或裝設在位置114Α— D上之製程腔室上添加複 數個狹縫閥(未示出),以將每一個位置與其他位置隔離 開,因此每一個腔室可以個別排空以在製程程序期間執行 真空製程。 一機械臂1 1 3係經配置在該傳送腔室1 1 0中央,以 將基材從負載鎖定室10 6傳送至裝設在位置114Α— D上之 各個製程腔室和公設腔室1 1 6 A — Β之一内。該機械臂1 1 3 一般含有一葉片組件113A、手臂組件113B,其係與該檄 械臂驅動組件1 1 3 C連接。該機械臂1 1 3係適於利用該系 統控制器 1 0 2傳來之指令將基材’’ W ”傳送至各個製程腔 室。可適於從本發明受益之機械臂組件在共同讓渡之1994 年 8月 30號提出申請之標題為「雙軸磁性耦合機械臂 (Two-axis magnetically coupled robot)」之美國專利第 15 1335618 * 5,469,035號;1994年4月11號提出申請之標題為「機械 臂組件(Robot Assembly)」之美國專利第5,447,409號;以 及2000年4月14號提出申請之標題為「處理半導體基材 之機械臂(Robot For Handing Semiconductor Substrates)j 之美國專利第6,3 79,095號中揭示,其在此藉由引用其全 文的方式併入本文中。 參見第2A和2B圖,裝設在位置114A — D之一上 之該等製程腔室2 0 2 A — C可執行任何數量的製程,例如預 清潔、PVD、CVD、ALD、去耦合電漿氮化(DPN)、快速熱 回火(RTP)、量測技術(例如微粒量測等)和蝕刻,同時該等 公設腔室1 1 6 A — B係適於除氣、定位、冷卻及諸如此類者。 在一實施例中,該製程順序係適於形成高介電常數電容結 構,其中製程腔室2〇2可以是DPN腔室、能夠沉積多晶矽 之CVD腔室、及/或能夠沉積鈦、鎢、鈕、鉑、或釕之 MCVD腔室。
在本發明之一態樣中,一或多個單一基材製程腔室 202A— C可以是RTP腔室’其可用來在執行批式沉積步驟 之前或之後回火基材。可利用RTP腔室及可從加州聖塔克 拉拉之應用材料公司購得之相關製程硬體來執行RTP製 程。在本發明之另一態樣中,一或多個單一基材製程腔室 202A - C可以是CVD腔室。此類CVD製程腔室之實例包 含可從加州聖塔克拉拉之應用材料公司購得之 DXZ™腔 室、Ultima HDP-CVD™腔室和 PRECISION 5000®腔室。在 本發明之另一態樣中,一或多個單一基材製程腔室202A 16 1335618 —C可以是P V D腔室。此類P V D製程腔室之實例包含可 從加州聖塔克拉拉之應用材料公司購得之 Endura™ PVD 製程腔室。在本發明之另一態樣中,一或多個單一基材製 程腔室202A— C可以是DPN腔室。此類DPN製程腔室之 實例包含可從加州聖塔克拉拉之應用材料公司購得之DPN Centura™腔室。在本發明之另一態樣中,一或多個單一基 材製程腔室2 0 2 A — C可以是製程/基材量測腔室。在製程 /基材量測腔室内完成之製程可包含,但不限於,微粒量 測技術、殘餘氣體分析技術、XRF(X光螢光)技術、以及用 來測量膜厚及/或薄膜成份之技術,例如,橢圓測量技術 (ellipsometry techniques)。 第2 C圖示出一集結式機台1 0 0之一實施例之上視 圖,其含有一批式製程腔室 2 0 1和一單一基材製程腔室 2 0 2,其係經配製為直接與該前端環境1 0 4交流。在此配置 中,將第2A—2B圖所示之該中央傳送腔室110和機械臂 1 1 3從該集結式機台1 0 0移出以降低成本及/或系統複雜 度。在一實施例中,該集結式機台1 0 〇 —般會有批式腔室 201、前端環境104、與該批式腔室201和該前端環境104 交流之緩衝腔室 1 5 0 (見物件 1 5 0 A )、單一基材製程腔室 2 0 2、與該單一基材製程腔室2 0 2和該前端環境1 0 4交流之 緩衝腔室1 5 0 (見物件1 5 0 B )、以及系統控制器1 0 2。在一 實施例中,該前端環境1 0 4係與惰性氣體來源(未示出)交 流,以清潔和最小化該前端環境1 04之傳送區域1 04A中 某些污染物(例如氧氣、水等)之分壓。 17 1335618 該緩衝腔室(例如元件1 5 0 A、1 5 Ο B ) —般含有一基材 緩衝/冷卻位置1 5 2和一基材傳送機構1 5 4。在本發明之 另一態樣中,該缓衝腔室係與惰性氣體來源(未示出)έ ; 流,以清潔和最小化該緩衝腔室中某些污染物(例如氧氣、 水等)之分壓。在一實施例中,該缓衝腔室1 5 0在該前端環 境104和該缓衝腔室150間之介面處包含一狹缝閥156, 及/或在該缓衝腔室1 5 0和該單一基材或批式基材製程腔 室間之介面處包含一狹缝閥1 5 6,因此該緩衝腔.室1 5 0可 與該前端環境及/或該單一基材或批式基材製程腔室隔 離。適於與在此所述之實施例併用之狹缝閥在共同讓渡之 1992年4月10號提出申請之美國專利第5,226,632號;以 及19 87年4月20號提出申請之美國專利第4,785,962號 中揭示,兩者皆在此藉由引用其全文的方式併入本文中。 在本發明之一態樣中,該緩衝腔室1 5 0可進一步適於與一 真空幫浦交流(例如元件1 5 7 Α或1 5 7 Β ),以排空該缓衝腔 室1 5 0,因此最小化該缓衝腔室1 5 0中之某些污染物(例如 氧氣、水等)之濃度。該真空幫浦依照需要可以是渦輪幫 浦、粗抽幫浦(rough pump)、及/或鼓風機(Roots Blower™),以達到預期之腔室製程壓力。 在一實施例中,該緩衝/冷卻位置1 5 2包含一冷卻 盤1 5 3,其係用來主動冷卻在該單一基材或批式製程腔室 中處理後之基材,因此該工廠介面機械臂1 〇 8能夠確實處 理該等基材,並且最小化將熱基材暴露在環境污染下之不 利影響。在本發明之一態樣中,該緩衝/冷卻位置1 5 2也 18 1335618 可包含一舉升組件(未示出),其可將基材從該工廠介 械臂108,或者是該基材傳送機構154接收過來,並 升降該基材以.與該冷卻盤153接觸。該冷卻盤153可 溫度受到控制之熱交換流體或利用熱電元件主動冷卻 基材傳送機構1 5 4 —般係一習知的機械臂,其適於利 系統控制器1 〇 2傳來之指令將基材傳送往返該缓衝/ 位置1 5 2和連接之基材製程腔室。 第 2 D圖示出集結式機台1 0 0之一實施例之 圖,其含有如上在第 2C圖描述及示出之所有元#, 上一額外的單一基材製程腔室(例如元件2 0 2 B ),其係 置以直接與該前端環境1 04交流。在一態樣中,一緩 室1 5 0 C係經安置在該單一基材製程腔室2 0 2 B和該前 境1 04間,並且可以利用該真空幫浦1 5 7 C排空至真 力。一般來說,本發明之實施例預期到與該前端環境 直接交流之至少一或多個批式製程腔室2 0 1及一或多 一基材製程腔室2 0 2之配置。在另一實施例中,該集 機台 100可包含一成多個晶圓盒 105、工廠介面機 108、緩衝腔室150及批式製程腔室20卜在另一實施命 該集結式機台1 0 0可包含一或多個晶圓盒 1 0 5 (例如 105A—F)、工廠介面機械臂 108、以及一或多個批式 腔室201 。 第 2 E圖示出集結式機台1 0 0之一實施例之 圖,其含有兩或多個製程腔室(例如元件 2 0 1 ),其係 置以直接與該前端環境1 〇 4交流。在此配置中,該緩 面機 且可 利用 。該 用該 冷卻 上視 再加 經酉己 衝腔 端環 空壓 1 04 個單 結式 械臂 丨J中, 元件 製程 上視 經配 衝腔 19 1335618 該等基材傳送機構 1 5 4。在此配置中,該等基材係利用一 或多個工廠介面機械臂(例如1 0 8 A、I 0 8 B )在該等製程腔室 (元件201)、該等緩衝/冷卻位置(元件1 52A或1 52B)及該 等晶圓盒(元件1 〇 5 A — 1 0 5 D )間傳送。此配置在降低系統成 本、複雜度和集結式機台裝置面積(footprint)上是有用的。 第2 G圖係該集結式機台1 0 0之垂直剖面圖,其意 欲示出第 2 E圖所示之配置之一實施例。在此配置中,如 上所註,該集結式機台1 0 0 —般含有一或多個晶圓盒1 0 5、 前端環境1 04和適於與該前端環境1 04直接交流之一或多 個製程腔室(例如示出元件20 1 )。該前端環境104,如所示 般通常可含有一或多個工廠介面機械臂 1 〇 8 ' —或多個缓 衝/冷卻位置1 5 2、以及一或多個基材傳送機構1 5 4。在一 態樣中,該前端環境1 0 4也含有一過濾單元1 9 0,其可包 含過濾器1 91,例如HEPA過濾器,以及風扇單元1 92。該 風扇單元1 9 2係適於迫使空氣通過該過濾器1 9 1、該傳送 區域1 0 4 A並離開該前端環境1 0 4之基部1 9 3。該等工廠介 面機械臂1 08 —般可含有習知SCARA機械臂1 09A、習知 機械臂片1 0 9 B及習知機械臂垂直運動組件1 0 9 C,其係適 於將基材從一晶圓盒1 〇5傳送至位於該前端環境1 04内之 另一預期位置上。 在該前端環境1 0 4之·一實施例中,每一個緩衝/冷 卻位置1 5 2係適於利用批式製程元件1 5 3 A同時處理複數 個基材。在一態樣中,該等基材” W”係經設置在該批式製 程元件153A之一晶圓匣186中,其包含複數個導熱隔板 21 1335618 1 85(例如第2H圖示出9個),其可利用習知熱 知熱交換元件來加熱或冷卻,例如流體熱交換 板 1 8 5係嵌插在留置在該晶圓匣 1 8 6中之該 間,使得該等隔板和該等基材間留有缝隙,而 可以有效率地機械傳送往返該等隔板 1 8 5。該 一般係適於利用輻射、對流及/或傳導型熱傳 或冷卻該等基材,以避免製程基材之損傷或翹 樣中,該批式製程元件 1 5 3 A係適於同時加熱 知約10 0個間之基材,並且更佳地同時處理纪 個間之基材。
在該前端環境1 0 4之一實施例中,一或 送機構1 5 4係適於同時傳送複數個基材。在一 第2 G圖所示者,該等基材傳送機構1 5 4包含 162(例如 SCARA機械臂)、複數個機械臂片 個)、以及可適於在該缓衝/冷卻位置1 5 2和位 程腔室2 0 1之缓衝空間2 2 b (在下面討論)中之| 下面討論;見第6圖)間傳送位在每一個機械臂 一或多個基材之習知垂直運動組件 1 6 3。在此 基材傳送機構1 5 4因此係與該晶圓匣4 6和該缓 置1 5 2腔室交流,並且適於同時傳送多個基材 1 5 6,適於在製程期間真空隔離該批式製程腔室 空間 22b與該傳送區域 104A,可利用促動器 開,而使該基材傳送機構1 5 4可以進入形成在 22b内之該狹縫閥開口 3 6,以近接安置在該晶B 電元件或習 器。該等隔 等基材”W” 讓該等晶圓 等隔板 1 8 5 送均勻加熱 曲。在一態 或冷卻約 1 I 2和約 5 0 多個基材傳 態樣中,如 習知機械臂 1 6 1 (例如五 於該批式製 3圓匣46(在 片161上之 配置中,該 衝/冷卻位 。該狭缝閥 2 0 1之緩衝 (未示出)移 該緩衝空間 j匣46内之 22 1335618 參見第2 I圖,設置一選擇性的前端環境1 Ο 4,而使 其與一對負載鎖定室1 〇 6 (在上面描述)選擇性交流。該工 廠介面機械臂1 0 8,其係配置在該前端環境1 0 4中,能夠 線性、旋轉、和垂直移動以在該等負載鎖定室1 0 6和裝設 在該前端環境 1 0 4上之複數個晶圓盒 1 0 5間往返傳送基 材。一機械臂1 1 3係經配置在該傳送腔室1 1 0中央,以在 真空下將基材從該等負載鎖定室1 〇 6傳送至裝設在位置 1 1 4 A — C上之各個製程腔室之一内。該機械臂1 1 3通常含 有與該機械臂驅動组件1 1 3 C連接之葉片組件1 1 3 A、手臂 組件1 1 3 B。該機械臂1 1 3係適於利用該系統控制器1 0 2傳 來之指令將基材”W”傳送至各個製程腔室。 在一實施例中,第 21圖所示之該集結式機台 100 可適於在常壓(例如760托耳)或接近常壓之壓力下處理基 材,因此,不需要負載鎖定室106A— B做為該工廠介面和 該傳送腔室1 1 〇間之中介腔室。可持續以惰性氣體清潔該 傳送腔室1 1 0以最小化氧氣、水、及/或其他污染物在傳 送腔室110和裝設在位置114A-C上之批式製程腔室201 内之分壓。可在傳送腔室 1 1 〇上添加複數個狭縫閥(未示 出),以將每一個位置與其他位置隔離開,因此每一個腔室 可以個別排空以在製程程序期間執行真空製程。 該系統控制器1 〇 2 —般係經設計以促進整個系統之 控制及自動化,並且通常可包含中央處理單元(CPU)(未示 出)、記憶體(未示出)、以及支持電路(或1/ 〇)(未示出)。 該CPU可以是在工業設定中用來控制各種系統功能、腔室 24 1335618 製程和支持硬體(例如偵測器、機械臂、馬達、氣體來源硬 體等),並且監控該系統及腔室製程(例如腔室溫度、製程 程序產能、腔室製程時間,I / 〇訊號等)之任何類型之電 腦處理器之一種。該記憶體與該CPU連接,並且可以是一 或多種可輕易取得之記憶體,例如隨機存取記憶體 (RAM)、唯讀記憶體(ROM)、軟碟、硬碟、或任何其他類 型之數位儲存,原位或遠端的。軟體指令和資料可以編碼 並儲存在記憶體中以指揮該 CPU。該等支持電路也與該 CPU連接,以運用習知方式支持該處理器。該等支持電路 可包含快取、電源供應器、時脈電路、輸入/輸出電路、 子系統、及諸如此類者。可由該控制器1 0 2讀取之.程式(或 電腦指令)決定可在一基材上執行何種操作。較佳地,該程 式係可由該控制器1 02讀取之軟體,其包含程式碼以執行 與監控和執行該等製程程序操作和各種腔室製程配方步驟 相關之操作。 在一實施例中,該系統控制器1 0 2係適於監控及控 制在該集結式機台1 0 0中處理之基材之等候時間。最小化 基材在第一製程腔室中(例如單一基材製程腔室2 0 2 A或批 式製程腔室2 0 1 )處理之後,在其於下一個製程腔室中處理 前之等候時間可幫助控制並最小化暴露在污染來.源下對元 件效鸫之影響。此實施例在與第1 3 E — F中所示及描述之 各實施例共用時是特別有優勢的。在本發明之一態樣中, 該系統控制器係適於控制在該批式製程腔室2 0 1内處理之 批次數量(例如批量),以最小化該批之最後一個基材在其 25 1335618 可於下一個製程腔室中處理前必須等待的時間。在本發明 之另一態樣中,該控制器1 02控制製程配方步驟開始或結 束的時間,以最佳化系統產能並減少任何等候時間的議 題。例如,控制單一基材製程腔室2 0 2開始處理基材之時 間以最小化該基材在其製程已經結束至下一個製程腔室, 例如批式製程腔室2 0 1準備好接受該經處理之基材之必須 等待的時間。 批式腔室硬體 該批式製程腔室2 0 1,雖然在下方主要描述為ALD 或CVD腔室,也可適於執行批式電漿氧化製程,或益於同 時執行複數個基材以達到某些預期製程效果之其他半導體 製程。 在一實施例中,該批式製程腔室2 0 1係CVD腔室, 其係經配置以沉積金屬層、半導體層及/或介電材料層。 用來執行此類製程之硬體和方法之實例在1 9 9 7年8月1 1 號提出申請之標題為 「迷你批式製程腔室(Mini-batch Process Chamber)」之美國專利第6,352,593號,以及2002 年8月9號提出申請之標題為「在小批式反應器中於低壓 下之高速率沉積(High Rate Deposition At low Pressure In A Small Batch Reactor)」之美國專利申請案第10/216,079 號中進一步描述,其在此藉由引用其全文的方式併入本文 中。在另一實施例中,該批式製程腔室20 1係ALD腔室, 其係經配置以沉積金屬層、半導體層及/或介電材料層。 26 1335618 第3圖係一例示批式製程腔室2 01之側視圖。該批 式製程腔室.2 Ο 1包含具有製程空間2 2 a,或基材製程區域, 以及缓衝空間2 2 b,或基材缓衝區域,之真空腔室2 2。一 般來說,該緩衝空間2 2 b係用來將基材嵌入並移出批式製 程腔室2 Ο 1,並且製程空間2 2 a係用來做為製程腔室。製 程空間‘ 22a,或基材製程區域,以及緩衝空間22b,或基材 缓衝區域,係焊接在一起或拴在一起並利用密封結構 2 4 或其他習知方法真空密封。在一實施例中,該製程空間22a 和該缓衝空間2 2 b以及所有相關硬體之方位可以互換,而 使該緩衝空間22b設置在該製程空間22a上方,或與其垂 直相鄰(未示出)。垂直相鄰之方位,其中該製程空間 22a 設置在該緩衝空間2 2 b上方,或是該缓衝空間2 2b設置在 該製程空間2 2 a .上方,可以是有利的,’因為其降低該集結 式機台相對於水平相鄰方位之裝置面積,這常是半導體生 產機台的一個很重要的設計考量。在此所示並描述之該製 程空間2 2 a和該缓衝空間2 2 b之方位並不意欲限制本發明 之範圍。 第 4圖係第3圖所示之批式製程腔室 2 01之上視 圖。該製程空間2 2 a,如第4圖所示,具有四個側壁10 0 a 及四個側壁1 OOb,所有的側壁皆可透過再循環熱交換流體 來控制溫度。一氣體注入岐管組件2 00和一排氣岐管組件 3 0 0係連接在相對的側壁 1 〇 〇 b上,並且在下方更詳細討 論。一多區加熱結構4 0 0係連接在該四個側壁1 0 0 a之每一 個上。一由,例如,鋁製成之液體冷卻頂板3 2 (第3圖)係 27 1335618 透過一 0型環或其他方法(未示出)真空密封在ί 和1 OOb上。一多區加熱結構5 07係經設置在頂;} (第3圖)。 現在參見第3和5圖,緩衝空間22b包含 3 4。與這些側壁之一連接的是一狹缝閥開口 3 6, 11 3之手臂可以熟知方式透過其間嵌入(移出)一 (從)缓衝空間2 2 b。該狹縫閥開口 3 6係利用例如| 示出)之習知方式真空密封在該等側壁3 4之一上 閥開口 3 6係經設計而使其可以與該傳送腔室1 1 0 室裝設表面111A— D(見第2A圖)連接。通常,該 11 0容納在製程期間隔離裝設在該等位置1 1 4 A — 程腔室和該傳送腔室1 1 0之狭缝閥(未示出)。 一底板3 8係與該等側壁3 4之每一個連接 型環(未示出)與其真空密封。與加熱結構5 0 7類 個加熱結構5 5 0與底板3 8之外表面連接。從該等 5 5 0輸出之熱量係由該系統控制器1 0 2控制。設 3 8中央並且運用來自該系統控制器1 0 2之指令之 轉機構6 0 0能夠舉起並旋轉該晶圓匣4 6及其相B 一實施例中,將該加熱結構5 5 0零組件從該底板: 以降低成本和批式腔室複雜度。 現在參見第6圖,其示出處於載入/載出 批式製程腔室2 0 1。在此位置,該機械臂1 1 3可 入該晶圓E 4 6之複數個狹槽之一中。該機械臂1 一狭縫閥開口 3 6 (未在第6圖示出)近接該晶圓匣 壁 100a 支32上方 四個側壁 該機械臂 基材進入 )型環(未 。該狹縫 之任何腔 傳送腔室 D上之製 並利用0 似之複數 加熱結構 置在底板 舉升及旋 !部件。在 ;8上移除 情況下之 將基材載 3係透過 4 6。晶圓 28 1335618 t 匣4 6可由任何適合之高溫材料製成,例如石英、碳化矽、 或石墨,取決於預期製程特性=第6圖示出可容納多至九 個基材” W ”之晶圓匣,但晶圓匣4 6之其他實施例可適於容 納更多或更少的基材。較佳地該晶圓匣4 6可容納至少2 5 個基材。 一圓形密封盤6 0係緊鄰晶圓匣4 6下方設置,並且 意欲密封,或最小化在裝設於該晶圓匣 4 6之基材上執行 ALD或CVD製程時製程氣體從該批式製程腔室201之製 程空間2 2 a逸出至該緩衝空間2 2 b。該密封盤6 0係由適合 之高溫材料製成,例如石墨或碳化矽,並且在其上表面之 外側周邊之溝槽中嵌套一石英環6 1。密封盤6 0係由三個 舉升桿6 6及其相關之舉升機構支撐,並且係由適合之高溫 材料製成(為了簡明,只示出一個舉升桿6 6)。現在參見第 6和7圖,舉升機構7 0 0利用封缝劑5 4 (例如橡膠封縫劑、 鐵磁性流體封縫劑)與該底板3 8真空密封,並且適於容許 該密封盤6 0獨立於該晶圓匣4 6移動。該舉升機構7 0 0, 其外降該密封盤60,可由水壓、氣動或電動馬達/引導螺 桿機械促動器來促動,所有的這些在技術中皆是熟知的。 在每一個基材” W ”皆載入晶圓匣4 6之狹槽後,該葉 片組件1 1 3 A(第2 A圖)縮回並且利用系绋控制器1 0 2將晶 圓匣4 6升起至預定距離,以使該機械臂1 1 3之葉片組件 113A可以載入下一個基材至晶圓匣46之下一個狹槽中。 重覆此製程直到預期數量之基材’’W”已載入晶圓匣46為 止。載入該晶圓匣内之基材數量可以受到控制或隨著基材 29 1335618 批次數量改變而改變,或者是其可以改變以平衡系 能,以使在該批式製程腔室内處理之最後一批基材不 置一段超過可接受之等待時間之時間。該系統控制器 係用來基於程式化之製程程序資訊、基於實際或先前 之產能資訊所計算出之時間、或其他使用者或系統 判定最佳批次數量以最小化等待時間並平衡系統產能 狹縫閥開口 3 6關閉後,晶圓匣4 6和基材” W ”即從該 空間22b升起至製程空間22a内之製程位置,如第7 示。 當晶圓匣4 6由該舉升及旋轉機構6 0 0升起至製 間2 2 a内之後,密封盤6 0之石英環6 1利用該舉升機糸 移動而與密封結構24之内唇緊密接觸,因此使密封盤 7圖所示位置處停止。當石英環61與密封結構2 4緊 觸時,密封盤6 0提供腔室2 2之製程空間2 2 a和緩衝 2 2b部分間幾乎完全的密封,此時製程空間22a變為 應腔室 2 0之製程區域,在其中適合之材料層可形成 材’’W”上。藉由注入相對小流量之惰性氣體,例如氬 氦氣,進入該缓衝空間2 2 b,在被排入製程空間2 2 a 程中此惰性氣體必須穿過密封盤6 0内之孔洞和支桿 之小缝隙。此惰性氣體流之作用在於大幅度減少可從 程空間2 2 a進入該緩衝空間2 2 b之反應氣體量,因此 消除過量及不想要之在緩衝區域22b之加熱部件上之 沉積。此外,將通常昂貴的反應氣體限制在該製程或 空間2 2 a使這些氣體能夠更有效地被利用。此外,此 統產 會閒 102 實驗 出來 。在 緩衝 圊所 程空 | 700 在第 密接 空間 該反 在基 氣或 之過 48間 該製 有效 氣相 製程 限制 30 1335618 造成該反應腔室空間之有效縮減,因此減少反應氣體之滯 留時間(氣體分子從注入點行進至其在該腔室另一側被消 耗掉所花費之平均時間)。對於許多典型的ALD和CVD製 程來說,過長的滯留時間會導致不想要的化學反應,其可 能產生能夠併入成長中之ALD或CVD膜内之子物種。密 封盤6 0提供製程空間2 2 a和緩衝空間2 2 b間之有效的熱隔 離。此外,密封盤6 0也作用為從熱結構5 5 0放射出之熱能 之熱分佈器,並且,以此方式,作用為基材”W”之中介熱 來源。另外,密封盤6 0可提供有效的限制以改善維修活動 期間在該批式製程腔室2 0 1内完成之任何原位電漿清潔製 程。 在本發明之一態樣中,如第6 — 7圖所示者,該多區 加熱結構5 0 7含有一鹵素燈4 0 2陣列,其朝著裝設在一晶 圓匣4 6内之基材輻射能量。在另一實施例中,該多區加熱 結構5 0 7含有一或多個阻抗加熱元件(未示出),其取代鹵 素燈420,以傳送熱至留置於該晶圓匣46内之該等基材。 在批式製程腔室2 01之一實施例中,在執行預期的 腔室製程前先利用一真空幫浦系統1 7 1 (第2 G _ 2 Η圖)來排 空該緩衝空間2 2 b及/或製程空間2 2 a。在一態樣中,當 該批式製程腔室2 0 1與一傳送腔室1 1 0可傳送的交流時, 傳送腔室.110通常是維持在真空壓力下,該缓衝空間22b 和製程空間2 2 a —般總是會保持在真空壓力下以使該等基 材可以快速傳送至該(等)批式製程腔室2 0 1内。應注意到 在本發明之一態樣中,當該批式製程腔室2 0 1與常壓之前 31 1335618 端環境1 04可傳送的交流時,在製程前會需要利 幫浦系統1 7 1先將該缓衝空間2 2 b柚真空,然後 利用習知方法破真空(vent),以使該等基材可以 製程腔室2 0 1和該前端環境]0 4間傳送,反之亦 空幫浦系統1 7 1可與該集結式機台1 0 0内之單一 室或多個製程腔室連接。該真空幫浦系統1 7 1可 多個真空幫浦,例如渦輪幫浦、粗抽幫浦、及/ (R ο 〇 t s B 1 〇 w e r ™ ),其係經使用以達至1J預期之腔室 (例如〜5 0毫托耳一〜1 0托耳)。 參見第2 Η圖,在批式製程腔室2 0 1之一賓 使用一擋板組件1 8 0來隔離該緩衝空間2 2 b和該 2 2 a,以使該製程空間2 2 a可以維持在真空狀態下 該緩衝空間2 2 b破真空以使基材可以載入或從該 移出,或者可在該緩衝空間2 2 b零組件上執行其 動。該擋板組件1 8 0 —般含有擋板1 8 1、擋板儲存I 裝設在該擋板1 8 1上之密封構件1 8 3 (例如Ο型ί 擋板促動器(未示出)。該擋板促動器適於將該擋; 位在該密封結構 24 内之開口上,以隔離該緩衝 和該製程空間22a,因此該製程空間22a可以利 幫浦系統1 7 1維持在真空壓力下,而該缓衝空間 真空至常壓。該擋板促動器一般也適於在製程前 匣4 6置入該製程空間2 2 a期間移動及定位該擋板 該晶圓匣46之路徑,並進入該擋板儲存區域1 82 參見第8和8A圖,一加熱結構400係經 用該真空 在製裎後 在該批式 然。該真 個製程腔 含有一或 或鼓風機 製程壓力 1施例中, 製程空間 ,同時將 晶圓匣46 他維修活 區域182、 篆)、以及 & 181 定 空間 2 2b 用該真空 2 2b則破 在將晶圓 1 8 1離開 〇 裝設在每 32 1335618 在一實施例中,設置與該等側壁 1 〇〇a和 1 00b、該 頂板3 2及/或該底板3 8交流之一或多個熱交換元件,以 控制該批式腔室之側壁溫度。該一或多個熱交換元件可用 來控制該批式腔室之側壁溫度,以限制製程期間不想要的 沉積材料之凝結量及/或沉稍製程副產物量,及/或也保 護該石英窗4 0 1不會因為製程期間產生之熱梯度而破裂。 在一實施例中,如第8和8 Λ圖所示,該熱交換元件係由 形.成在側壁1 00a — b和夾钳406内之銑削(milled)通道442 和446組成,其係利用持續流經該等研磨通道442和446 之熱交換流體來控制溫度。一流體溫度控制器(未示出)係 適於控制該熱交換流體,因此該等側壁1 0 0 a — b和失鉗4 0 6 之溫度。該熱交換流體可以是,例如,全氟聚醚 (perfluoropolyether)(例如Galden®),其係經加熱至介於約 3 0 °C和約 3 0 0 °C間之溫度•,該熱交換流體也可以是在介於 約1 5 °C至9 5 °C間之預期溫度下傳送之冷水。該熱交換流體 也可以是一種溫度控制氣體,例如氬氣或氮氣。 為了在所有於該製程空間22a中處理之基材”W”上 達到均勻且令人滿意之製程結果,需要批次中所有基 材’’ W ’’上之每一點達到僅只比相同之設定點溫度多或少一 度之溫度。該溫度設定點和均勻度係利用設置來測量該晶 圓匣之各區域之溫度之一或多個熱感應器(例如亮度式光 學溫度計(optical pyrometers)、熱偶溫度計等)、分組成為 多個區域之兩或多個鹵素燈402(第7圖)' 以及監控該等 溫度且控制並調整傳至每一區之功率之系統控制器1 02監 34 1335618 控並控制,以延著該晶圓匣4 6之全長達到均勻的溫度。在 一實施例中,可利用該系統控制器1 〇 2來控制一列鹵素燈 402或多列鹵素燈402,以確保晶圓匣46内之每一個基材 上的溫度均勻。在一實施例中,該等ifi素燈係按照區域來 分組,其中一列(水平)中之一或多個鹵素燈和一行(垂直) 中之一或多個鹵素燈係一起控制,以調整製程空間2 2 a之 區域内之溫度變異性。鹵素燈4 0 2之多區控制和加熱結構 4 0 0之實施例在2 0 0 2年8月9號提出申請之標題為「在小 批式反應器中於低壓下之高速率沉積(High Rate Deposition At Low Pressure \ n A Small Batch Reactor)」之 美國專利申請案第10/216,079號中進一步描述,其在此 藉由引用的方式併入本文中。 _ 在一實施例中,如第 9 — 1 0圖所示,該晶圓匣 46 含有承座6 2和支桿6 4,其支撐該基材。在此實施例中, 每一個基材”W”皆可直接擱置在承座62上,或者基材可以 嵌套在承座62内之一凹處中(未示出),或者其可懸浮在兩 個承座6 2間(未示出),例如在與承座62表面連接之三或 多個捎上。在此實施例中,該等承座62係按尺寸訂製而使 其大於該基材” W”之直徑,因此其可吸收從該加熱結構 4 0 0(未在第9或10圖中示出)傳來之輻射熱,並且有助於 預熱該製程氣體,在其抵達該基材邊緣之前。 在一實施例中,籍由改變從該等加熱結構4 0 0傳送 至該等基材之能量總量來在製程配方之不同階段改變裝設 在該晶圓匣46内之該等基材之製程溫度。在此配置中,可 3.5 1335618 能需要最小化晶圓匣 46之熱質量以使基材溫度可以在製 程期間快速調整。因此,在本發明之一態樣中,該等承座 6 2和支桿6 4之質量和尺寸可以最小化,以使製程溫度可 以快速調整,並且達到基材之熱均勻性。 該加熱結構4 0 0硬體之實施例在1 9 9 7年8月11號 提出申請之標題為「迷你批式製程腔室(Mini-batch Process Chamber)」之美國專利第6,352,593號,以及2002年8月 9號提出申請之標題為1在小批式反應器中於低壓下之高 速率沉積(High Rate Deposition At Low Pressure In A Small Batch Reactor)」之美國專利申請案第10/216,079 號中進一步描述,其在此藉由引用的方式併入本文中。 氣體輸送系統 現在參見第9 一 10和12圖,欲用來▲基材’’W”上沉 積層之製程氣體係經提供至一氣體注入岐管組件 2 0 0,其 通常可包含氣體輸送模組5 0 ()、一或多個進氣導管2 0 3、混 合腔室 2 0 4及注入盤2 1 0 »在一實施例中,該注入盤2 1 0 係利用0型環(未示出)真空密封在側壁1 0 〇b之一上。在該 等製程氣體在混合腔室2 04内混合在一起後,將該等氣體 供應至形成在注入盤2 1 0内之埠2 0 8,然後該等製程氣體 流經該等埠2 0 8並進入該製程空間2 2 a。在一實施例令, 該等埠2 0 8係經形成得使其可限制並且均勻地再分配進入 的氣體(例如噴頭),因此流入該批式製程腔室2 0 1之製程 空間2 2 a之氣體是均勻的(見第1 2圖)。在一實施例中,如 30 1335618 第9圖所示,在該混合腔室2 Ο 4和該等埠2 Ο 8間添加一或 多個氣流控制元件 2 0 6,以提供對於供應進入該批式製程 腔室2 Ο 1之製程空間2 2 a内之製程氣體流量之精確控制。 在一實施例中,該氣流控制元件2 0 6可以是一機械式蝶形 閥(butterfly valve)或針閥,或是可控制製程氣流之其他等 效元件。在本發明之另一態樣中,該注入盤2 1 0係利用流 經注入盤2 1 0内之銑削通道(未示出)之溫度受到控制之熱 交換流體或利用嵌入在該注入器外罩内之阻抗加熱元件來 控制該注入盤2 1 0之溫度。雖然第9、1 0和12圖示出與兩 個或多個製程氣體來源5 Ο 1和該製程空間2 2 a交流之單一 個混合腔室2 0 4和注入盤2 1 0,但該注入岐管組件2 0 0之 實施例可包含兩或多個隔離之混合腔室 204 和注入盤 2 1 0,其中每一個注入各種製程氣體(例如前趨物、含氧氣 體、載氣等)進入該製程空間 2 2 a中。在本發明之一態樣 中,該兩或多個隔離之混合腔室2 0 4和注入盤2 1 0係彼此 相鄰,並且皆裝設在相同的側壁1 0 () b上。例如,在一配置 中,該注入岐管組件2 0 0可包含三個不同的混合腔室2 0 4 和注入盤2 1 0,其係意欲分開輸送铪氣(例如TDΜΑΗ) '載 氣(例如氬氣)、及含氧氣體進入該製程空間2 2 a,以形成氧 化铪膜。此配置因此最小化不相容製程氣體之相互反應, 並且可減少製程期間通入第一製程氣體後清潔該注入岐管 組件2 0 0和該製程空間2 2 a之需要。 該氣體輸送模組500 —般含有惰性氣體來源502和 一或多個製程氣體來源50 1,其可輸送完成ALD、CVD、 37 1335618 或其他基材製程步驟所必須之各種製程氣體。第9圊示出 含有兩個製程氣體來源5 0 1 A B之實施例。惰性氣體來源 5 02也可用來清潔該進氣線5 0 5 A — B,並且在某些實施例 中可作用為載氣以輸送來自該等氣體來源 501A—B之製 程氣體。在一實施例中,該氣體來源5 0 2輸送含氧氣體至 該等基材。在另一實施例中,該氣體來源5 02係可輸送至 該等基材之臭氧產生來源。 對於在該批式製程腔室2 0 1中處理之基材”W”上形 成均勻的層來說,該等基材表面上之氣流分佈是極其重要 的,尤其是由物質傳送限制(m a s s t r a n s p o r t 1 i m i t e d )之反應 主導之高速率 CVD製程和為反應速率受限之沉積需要快, 速表面飽和之ALD製程。ALD或在此所用之「循環沉積」 表示連續通入一或多種反應性化合物以在基材表面上沉積 一層材料。該等反應性化合物也可輪流通入製程腔室之製 程區域中。通常,每一種反應性化合物之注入該製程區域 係由一時間延遲隔開,以使每一種化合物可以附著在該基 材表面上及/或與其反應。 第1 1圖示出一先前技術垂直擴散爐1 3 (或V D F)之 剖面圖。一般來說,一垂直擴散爐13會含有腔室壁10、 加熱來源1 1、容納該等基材” W ”之基材支撐1 2、氣體入口 1 3和氣體出口 1 4。在該等基材’’ W ”上執行製程步驟之前, 每一個基材皆利用機械質(未示出)透過近接埠(未示出)載 入該基材支撐1 2中,並且該腔室係經排空或以惰性氣體清 潔。製程期間,將製程氣體注入該氣體入口 13 (見物 1335618 件”A”),其接著在該基材支撐12(見物件’ 並流出該氣體出口 1 4 (見物件” C ”)。在此 物從該基材邊緣擴散朝向該基材中央(見与 直擴散爐 1 3沉積製程因此取決於製程氣 之擴散,或移動,以達到均勻的沉積覆蓋 散型製程來形成具有令人滿意的性質之薄 因而產生問題。第一個問題來自於基材邊 較高之製程氣體濃度中’這會導致沉積膜 之差異,因為未反應之過量前趨物在基材 面上之存在。第二,沉積會有空間上的改 的函數而改變,因為擴散製程是一種取決 之製程,.並且也是一種取決於時間的製程 撐中之每一個位置上改變。 因此,為了克服先前技術之缺陷, 將該(等)製程氣體注入該製程空間 2 2 a内 上,其係一對流型製程,因為對流型製程 擴散之製程有關之問題。對流型製程是有 控制製程氣體和基材表面之相互反應而不 並非基於難·以控制之因素。第1 2圖示出一 製程氣體係透過注入盤2 1 0内之埠2 0 8注 基材’’W ”上,接著通過該排氣盤3 5 2内冬為 離開排氣幫浦(未示出)和製程尾氣處理設 示出)。在本發明之一態樣中,如第1 2圖 氣體係以通常與該基材之製程表面平行的 ’B〆’)周圍流動, 配置中,該前趨 勿件”B 2”)。該垂 體在基材表面上 。然而,依賴擴 膜會因為兩個原 緣比中央暴露在 厚度及/或污染 邊緣處沉積膜表 變或是做為時間 於製程氣體溫度 ,其會在基材支 本發明之實施例 及該等基材’’W” 不會遭受與依賴 優勢的,因為可 需要碰運氣,或 實施例,其中該 入,在該複數個 t氣埠3 5 4,然後 備(scrubber)(未 所示者,該製程 I方向注入(例如 39 1335618 含有半導體元件冬表面)。平行的製程氣體流使 (等)製程表面可以快速飽和,因此縮短製程時間 明之另一態樣中,該製程氣體流係利用流量分 210平均分佈在留置在該晶圓匣46内之所有基材 在本發明之另一態樣中,該排氣岐管組件 基本上相對於該注入 '岐管組件2 0 0之方位設置。 中,氣流路徑,因此該基材對於注入製程氣體之 勻地分佈,因為製程氣體之氣流路徑基本上保持 表面平行。在一實施例中,有兩對或更多對相對 管組件3 0 0和注入岐管組件2 0 0,其係圍繞該晶B 示出)在周邊處互相隔開,其中每一對可以分開使 它對一起使用。 在本發明之其他態樣中,含有方位不與該 組件2 0 0相對之一或多個排氣岐管組件3 0 0,或 與一或多個排氣岐管組件3 0 0相對之一或多個注 件2 00可以是有優勢的。一般來說,在非相對之 該注入盤2 1 0之埠2 0 8具有相應之位於該排氣盤 排氣埠 3 5 4,其基本上係與彼此位於相同平面上 通過該基材表面上之基本上平行的製程氣體之氣 從一較高壓之製程氣體來源5 0 1將製程氣 製程空間2 2 a中之過程給予該製程氣體一速度, 流型物質傳.送至該基材表面·•製程氣體速度和注 總質量只是可以改變以影響沉積膜性質之一些製 每一個基材” W ”上之氣體速度取決於該基材” W ” 基材之該 。在本發 佈注入盤 上。 3 00係以 在此配置 暴露係均 與該基材 之排氣岐 ]匣46(未 用或與其 注入岐管 是方位不 入岐管組 配置中, 3 52内之 ,以容許 流路徑。 體注入該 其促進對 入氣體之 程變因。 和該等承 1335618 座6 2 (基材上下各一)間之縫隙,以及該等承座 6 2之外緣 和該阻熱板4 2 2間之縫隙(第8和8 B圖)。每一個不同的縫 隙皆可影響沉積膜之再現性和均勾度,因為其會直接影響 流過該基材表面上之氣流。一般來說,基材”W”和其相應 的上承座6 2間之缝隙較佳地係在约0.2至約1 . 5英吋範圍 内。該等承座6 2和阻熱板4 2 2間之缝隙、該等承座6 2和 該注入組件2 0 0間之缝隙、及/或該等承座6 2和該排氣岐 管組件3 0 0間之缝隙較佳地係小於或等於兩個接連承座6 2 間之縫隙。較佳地該阻熱板和該承座6 2間之缝隙係介於約 0.0 5和約1 . 0英吋間。最小化該阻熱板4 2 2和承座6 2間之 距離可改善至該等承座之熱傳送。在製程空間2 2 a之一實 施例中,一承座6 2和一阻熱板4 2 2間之缝隙可利用半圓 形,因此包覆該等承座6 2周圍的阻熱板來縮小。第8 B圖 示出具有半圓形阻熱板4 2 2之製程空間2 2 a之一實施例之 一實例。 如上所註,該等基材上之氣體速度可做為輸送至該 製程空間2 2 a内之製程氣體之壓力降之函數而改變。因此 氣體速度可藉由改變製程氣體來源 5 0 1之輸送壓力(例如 氣瓶5 4 3壓力(在下面討論))' 藉由控制製程氣體流速、及 /或製程空間2 2 a之製程壓力來控制。例如,可將氣瓶5 4 3 壓力維持在5托耳,而在製程氣體注入該製程空間2 2 a之 前將製程空間2 2 a抽真空至< 5 0毫托耳’因此兩個空間之 間會有很大的壓力差。在一贫施例中,藉由控制製程氣體 流速及/或排氣流速在製程配方步驟期間改變製程空間 41 1335618 基材製程腔室皆有不同的缺陷,這使其無法如在此所述之 本發明實施例般最小化前趨物的浪費。一批次基材,例如 2 5個基材,與單一基材製程腔室執行多次製程(即2 5次) 相比,其前趨物用量是較少的,因為批式腔室之腔室壁表 面積的增加,前趨物會沉積在其上,與塗佈多次之單一基 材製程腔室之表面積相比算是小的。先前技術垂直擴散爐 設計也是更浪費前趨物氣體的,因為大量的前趨物氣流係 圍繞在該基材支撐12周邊.,並排出該氣體出口 14,而非 直接將前趨物通入該基材表面上方,因此需要配送更多前 趨物以成長相同量的薄膜。因此,在批式基材上使用對流 式前趨物氣流可大幅度減少前趨物的浪費,因而縮減製程 程序及系統C 0 0。 在一實施例中,最小化批式製程腔室體積以藉由減 少製程腔室製程週期時間來減少浪費的前趨物量並增加腔 室產能。ALD製程之一重要的態樣在於基材表面上充滿前 趨物氣體所需之時間。在傳統批式垂直擴散爐腔室t,其 中製程空間和腔室表面積趨向大型,其可需要非常多的時 間來確保所有的基材和腔室表面皆充滿前趨物氣體。因 此,確保製程空間盡可能小是很重要的,以減少前趨物浪 費並縮減確保所有表面皆充滿前趨物氣體所需之時間。多 種實施例能夠實現前趨物浪費和批式製程時間之減少。例 如,製程區域體積並沒有受到需要讓製程區域延伸遠超過 基材支撐的長度,以試圖解決製程腔室末端之熱流失問題 之限制,如在先前技術垂直擴散爐(VDF)製程腔室中者。 45 1335618 一實施例適於改善先前技術缺點,藉由利用裝設在該製程 空間2 2 a側邊及末端之熱產生元件(例如鹵素燈、阻抗加熱 器)、溫度感應器(未示出)、以及系統控制器1 0 2,其係適 於確保晶圓£ 4 6内之所有基材之所有區域的溫度皆處於 均勻溫度下,而主動控制留置在晶圓匣4 6内之基材溫度。 在一實施例中,最小化批式製程腔室之製程空間2 2 a在製 程期間之體積至介於約每個晶圓 0.5升和每個晶圓約1.5 升之間的體積。 在前趨物浪費和批式製程時間如何能減少而優於先 前技術配置之另一實施例中,關鍵係在於最小化基材製程 區域,或製程空間22a之直徑及長度之能力,因為其一般 不受限於在該基材支撐周邊均勻流通製程氣體,如先前技 術VDF所要求者,以確保每一個基材都有數量一致之製程 氣體之需要。 在前趨物浪費和批式製程時間如何能減少以優於先 前技術配置之另一實施例中,係因為增加的批式製程腔室 之產能係由製程氣體基本上平行的注入而增加製程氣體能 夠充滿基材表面之速度來提高。加快之前趨物能夠充滿基 材表面之速度也降低因為前趨物氣體之氣相分解、因為前 趨物在表面飽和前與熱的腔室壁反應所發生之微粒問題的 機會。來自基本上平行注入製裎氣體之產能增益可以實 現,因為沒有浪費時間在等待確認批次中之所有基材皆已 暴露在製程氣體中足夠長的時間以飽和該基材表面。這個 問題在先前技術V D F製程腔室中常會有,如第1 1圖所示, 46 1335618 號提出申請之標題為「產生P D M AT前趨物之方法及設備 (Method and Apparatus of Generating PD MAT Precursor)」 之美國專利申請案第1 0/4 4 7,2 5 5號中揭示,並在此藉由引 用的方式併入本文中。該氣瓶和前趨物係保持在從約2 5 °C 至約6 0 0 °C之溫度範圍内,較佳地在從約5 0 °C至約1 5 0 °C 之溫度範圍内。 第 1 0圖示出用來輪送製程氣體至該製程空間 2 2 a 之液體輸送型氣體來源5 (Η A之一實施例之簡圖。該氣體 來源5 0 1 A,在此實施例中,一般包含如下零組件:一安瓶 氣體來源5 12 '含有前趨物”A”之安瓶5 20、計量幫浦525、 蒸發器5 3 0、隔離閥5 3 5、集氣瓶組件5 40以及終線閥(final pump)5 03 A。在一實施例中,該終線閥5 0 3 A係經設計而具 有快速反應時間和線性製程氣流控制,以更佳地控制執行 ALD製程時注入該製程空間22a内之質量,最小化注入之 製程氣體之爆烈、並且最小化注入過量製程氣體。該集氣 瓶組件5 4 0 —般包含如下零組件:進氣管5 4 6、出氣管5 4 8、 氣瓶5 4 3、圍繞該氣瓶5 4 3之阻抗加熱元件5 4 1、加熱器控 制器542和感應器544。在一實施例中,該感應器544包 含兩個感應器,一個溫度及一個壓力感應器,例如,連接 至該氣瓶5 4 3以測量該氣瓶5 4 3内含之該(等)製程氣體之 性質。在一實施例中,可使用阻抗加熱元件 5 4 1,一或多 個感應器544、加熱器控制器542和系統控制器1 02來控 制停留在該氣瓶5 4 3内之氣體或蒸氣之溫度,以確保氣體 或蒸氣在其透過該氣體注八岐管組件2 0 0輸送至該製程空 48 1335618 體之質量及狀態會改變。為避免此問題,名 可能需要丟棄(或拋棄)任何過量的前趨物 5 4 3已收集到預期質量。此過程可利用監 程氣體的溫度和壓力,然後利用系統控制 5 3 7控制清除之過量氣體量來完成,該清 如習知’’製程尾氣處理設備”之廢棄物收集 引發之議題在於前趨物通常是昂貴的,因 至廢棄物收集系統變得非常昂貴且痕費。 一態樣使用該系統控制器】〇 2來控制蒸發 蒸發器5 3 0之液態前趨物之流量,取決於 氣體量和輸送劑量至該腔室之時間點。該 因此利用製程程序資料、基於實際或先前 計算出之時間點、或其他使用者或系統輸 製程配方步驟所需之氣體預計輸送時間及 此特徵因此係一預測功能,其以時間之函 趨物流至該蒸發器5 3 0之流速,以確保氣 在其輸送至製程腔室時是一致的。 前趨物再循環系統 參見第1 Ο Λ圖,在一實施例中,一 統5 6 0係經添加至該氣體來源5 0 1,以減 續流動該液態前趨物通過該蒸發器5 3 0期 前趨物氣體之需要。該前趨物再循環系統 統控制器1 02、進氣線5 6 2、再循環進氣閥 某些實施例中, 氣體,一旦氣瓶 控氣瓶543内製 器1 0 2及清除閥 除閥5 3 7係與例 系統連接。一個 此拋棄過量材料 因此,本發明之 速率,或通過該 所需要之預計之 系統控制器 102 實驗產能資料所 入來計畫下一個 數量(或劑量)。 數改變計量之前 體量和氣體狀態 前趨物再旋環系 少或消除清除持 間所產生之過量 5 6 0 —般含有系 5 6 7、再循環排 51 1335618 其上之冷凝。流速控制元件 2 Ο 6,其在一實施例中可以 機械式蝶閥或針閥,以及排氣流量控制元件3 5 3可以獨 調整,以容許該製程空間2 2 a内有最佳製程氣流形態或 量流。在本發明之另一態樣中,利用溫度受控制之熱交 流體來控制該排氣盤3 5 2之溫度,其流經排氣盤3 5 2内 銑削通道(未示出)。 批式沉積製程之熱控制 在形成具有令人滿意的薄膜性質(例如良好的階 覆蓋、最小量微粒、結晶或非晶結構、應力等)之均勻薄 之嘗試中,控制批式製程腔室内各種零組件之溫度是很 要的。通常需要控溫之批式製程腔室之四個區域是利用 熱結構4 0 0、5 0 7和5 5 0之基材溫度,利用一或多個熱交 元件之腔室壁溫度、利用一或多個熱交換元件之注入岐 組件2 0 0内零組件之溫度、以及利用一或多個熱交換元 之排氣岐管組件3 0 0内零組件之溫度。如上所註,該等 材之溫度控制會對沉積膜之薄膜性質有影響,因此是批 ALD或批式CVD製程一個t要的部分。.因此,該晶圓 46内之該等基材之一致性和設定點溫度之控制是批式 積製程之重要態樣。 批式製程腔室之第二個控溫區域是批式製程腔室 製程空間側壁(例如惻壁 1 〇 〇 a - b、頂板 3 2、圓形密封 60等)。如上所註,側壁溫度之控制可利用側壁内之銑 通道或與批式腔室側壁交流之熱產生元件完成。批式腔 是 立 劑 換 之 梯 膜 重 加 換 管 件 基 式 匣 沉 之 盤 削 室 55 丄奶618 組件零組件表面上,並「堵塞」 — -,〜讲氧緣 在本發明之-態樣中,例如,—氧化給。 利用⑽AH前趨物完成,其中基材溫度 =程係 咖和約3CHTC間之溫度下,側壁溫度係維持在=於約 C和約loot間之溫度 、,-’勺8〇 、,皿度卜,左入岐官200之溫度 介於約8 0 °c和約1 η π pq ,、 ’、’隹持在 〇 c間 < 溫度下’而排氣岐管3〇〇 度係維持在介於約8(rc和約10(rc間之溫度下。之恤 也本發明 之一態樣中,基材溫度係維持在比腔室壁(例如側壁1 〇〇a b、頂板等)高之溫度下,腔室壁之溫度係維持在比排氣 岐營組件3 00之溫度高之溫度下,該排氣岐管組件3〇〇之 溫度則比該注入岐管组件2 〇 〇之溫度高。
電漿輔助ALD 在一實施例中,該批式製程腔室含有電容或感應耗 σ之R F來源(未示出),以在沉積製程在該批式製程腔室内 完成之前、期間或之後提供電漿轟擊。用來在製程空間2 2 a 中產生電漿之典型的RF頻率是介於約〇·3 MHz(百萬赫茲) 至大於1 0GHz(十億赫茲)間》該薄膜之電漿轟擊會影響沉 積膜之性質(例如膜應力、階梯覆蓋等)。在批式製程腔室 中產生電容偶合電漿之例示設備及方法在1 9 9 9年1月1 2 號提出申請之標題為「垂直電漿輔助製程設備及方法 (Vertical Plasma Enhanced Process Apparatus and M etho d)」之美國專利第6,3 2 1, 8 0號中進一步描述’其在 此藉由引用至不與在此所主張之態樣及揭示不一致的程度 57 1335618 下併入本文中。在一實施例中,一感應線圈係裝設在該製 程空間2 2 a之内側(或外側)(未示出),以在該等基材上產生 並控制電聚。在一實施例中,環形電衆來源(t 〇 r o i d a 1 p 1 a s m a s o u r c e)係適於批式製程腔室使用,以在該等基材表面上產 生電漿。例示環形來源組件在2 0 0 0年8月1 1號提出申請 之標題為「利用外部激發環形電漿來源處理工作件之方法 (Method of Processing A Workpiece Using An Externally Excited Torroidal Plasma Source)」之美國專利第 6,410,449 號中進一步描述,其在此籍由引用至不與在此所主張之態 樣及揭示不一致的程度下併入本文中。在此實施例中,一 或多個環形來源導管(未示出),電漿在其中產生,連接至 批式腔室壁1 0 〇 b之一上,而該導管之另一端則連接至相對 之側壁1 0 0 b上。因此,可產生電漿電流,其從一個導管跨 越該等基材表面流至該導管之另一端。 在一實施例中,複數個偏壓電極(未示出)可嵌入在 承座62内,以偏壓基材而促進沉積製程不同階段期間基材 表面之電漿轟擊。該偏壓電極可以利用第二RF來源(未示 出)RF偏壓,或是可以接地,以試圖促進基材表面之轟擊。 提高系統產能 如上面強調者,本發明之一態樣是與一或多個單一 基材製程腔室一起使用批式腔室以增加系統產能。使用— 或多個批式腔室之優勢可在使用批式腔室來完成一或多個 製程程序中特別冗長之製程步驟時實際瞭解到,因為特別 58 1335618 冗長的製程步驟只需要在批次中所有基材上完成一次即 "5J" 〇 第I 3 A — C圖簡要示出基材製程程序期間該機械臂 1 1 3和工廠介面機械臂 1 0 8八-B經由來自該系統控制器 1 02之指令用來傳送基材之多種基材傳送路徑。一傳送路 徑一般是基材在其從一個位置移至另一個位置時,因此多 種製程配方步驟可在該基材上執行,之行進路徑之圖式表 示。配合傳送路徑中相關位置之相關製程配方步驟在第 1 ί A — F圖示出,並且在下方描述。該機械臂1 1 3及其相關 零組件並沒有在第1 3 A — F圖示出以求清楚,因而可更清 楚示出基材之傳送路徑。第1 3 F圖所示之傳送路徑示 出在Centura RTM系統中可能之傳送路徑,其可由應用材 料公司取得,但這並不意欲限制本發明之範圍,因為集結 式機台之形狀或製程站之數量並不受限於在此所述之本發 明之各態樣。例如,在一實施例中,一或多個單一基材製 程腔室與批式腔室結合之用法可在一 En dura RTM系統中 使用,也可由應用材料公.司取得。雖然第1 3 A — C圖之每 一個皆示出基材” W”從設置在位置 1 05 A上之晶圓盒,或 FOUP(前開式晶圓盒),傳出,但是此配置並沒有限制意 味,因為晶圓盒可設置在任何一個晶圓盒位置1 〇 5 A — D 上,並且任何一個工廠介面機械臂1 〇 S A — 13皆可傳送該基 材至負載鎖定室1 〇A或I 0 6 B ‘ ·在另一實施例中,不使用 工廠介面,並且基材係直接由使用者設置在該等負載鎖定 室106A—B之一内- 59 1335618 第 1 3 A 圖示出一製、呈程序之一實施例 材”W”係沿著基材傳送路徑八1 - A6傳送通過該 台1 0 0。第1 3 A圖所示之製程程序之相關製程配 第1 4 A圖進一步示出。在此實施例中,該基材係 位置1 0 5 A上之晶圓盒移出,並且沿著傳送路徑 至負載鎖定室1 06A。在一實施例中,其中該負 1 0 6 A係一批式負載鎖定室,該工廠介面機械臂 會載入裝設在該負載鎖定室.1 〇 6 A中之負載鎖定, 示出),直到其滿載為止,然後經由來自該系統控 之指令,該負載鎖定室1 〇 6八會關閉並排空至一預 因此基材可以傳送進入該傳送腔室U 〇内’其已 真空排空狀態下。一旦該負載鎖定室1 0 6 Α已經 基材即可選擇性地沿著該傳送路徑 A1 從該負 1 0 6 A傳送至該公設腔室 Π 6 Λ 中,在其中一. 3 02 (在第14Α圖示出)在該基材上完成。在另一實 該製程程序可跳過該傳送路徑 Α1 及相關之; 3 0 2。該準備步驟3 0 2可包含一或多種準備步驟, 限於,基材中心定位、基材定向、除氣、回火、基 沉積及/或蝕刻。在完成製程配方步驟3 0 2後, 材傳送至在位置1 1 4 Α上之製程腔室,如第1 3 A 沿著該傳送路徑A 2。在一實施例中,如第1 3 A 該第一製程腔室係一批式製程腔室 2 0 1。在此例 統控制器會在該批式製程腔室2 0 1中載入兩個或 材,每一個基材皆依照先前之製程程序步驟,例 ,其中基 集結式機 方步驟在 從設置在 FI1傳送 載鎖定室 1 08 A - B P曰圓匣(未 制器102 丨期低壓, 經是處於 排空,該 載鎖定室 準備步驟 施例中, 莽備步驟 包含但不 材檢查、 接著將基 圖所示, 圖所示, 中,該系 更多個基 如,依循 ()〇 1335618 第1 3 A圖所示之A 1和Λ 2傳送路徑,以及其相關之製程配 方步驟處理過,例如,準備步驟3 0 2,如第1 4 Α圖所描述 者。在該批式製程腔室2 0 1内執行該製程配方步驟3 04後, 該等基材相繼在單一基材製程腔室202A至202C中處理, 依循該傳送路徑 A3 — A5及其各自之製程配方步驟3 06 — 3 1 0,如第1 3 A和1 4 A圖所示者。在一實施例中,製程配 方步驟 304 係氧化铪(HfOx)沉積步驟及/或氧化鋁 (A1203)ALD沉積步驟。在一實施例中,製程配方步驟306 至3 10可選自下歹|J製程之一:RTP、I)PN、PVD、CVD(例 如CVD多晶矽、TKOS(四乙氧基矽烷)等)、或量測製程步 驟。 ' 參見第1 3 A圖和第1 4 A圖,在最後一個製程配方步 驟3 1 0已經在基材上完成後,該等基材會沿著傳送路徑A6 載入該批式負載鎖定室内。載入該批式負載鎖定室之製程 相繼完成,直到所有的基材皆已處理過並回到該負載鎖定 室1 0 6 A為止。一旦所有基材都回到該負載鎖定室,其會 破真空至常壓,並且該等基材會藉由該等工廠介面機械臂 1 0 8 A — B之一沿著該傳送路徑]Ί 1傳送至該晶圓盒。第1 3 A 和1 4 A圖所示之製程程序之其他實施例也包含該批式製程 腔室可能是製程程序中第二個或第三個製程腔室的情況, 在此情況中先前的製程程序步驟會在基材進入該批式製程 腔室2 0 1前先在其上執行。在另一實施例中,在該批式製 程步驟之後只有兩個製程步驟在基材上完成,因此該傳送 路徑A5會將基材傳送至該負載鎖定室106 A。在又另一實 61 1335618 施例中,在該批式製程步驟之後只有一個製程步驟在基材 上完成,因此該傳送路徑Λ 4會將基材傳送至該負載鎖定 室 1 0 6 Α。 第 13B 圖示出一製程程序之一實施例,其中基 材” W”係沿著基材傳送路徑 B 1 - B 7傳送通過該集結式機 台1 0 0。第1 3 B圖所示之製程程序之相關製程配方步驟在 第1 4B圖進一步示出。在此實施例中,該基材係從設置在 位置1 0 5 A上之晶圓盒移出.並且沿著傳送路徑F 11傳送 至負載鎖定室106A。在一情況中,其中負載鎖定室106A 係一批式負載鎖定室,該系統控制器1 〇 2會載入該負載鎖 定室1 0 6 A中之負載鎖定晶圓匣(未示出),然後將該負載鎖 定室排空,因此基材可以傳送進入該主架構1 1 0内。一旦 該負載鎖定室1 〇 6 A已經排空,該基材即可選擇性地沿著 傳送路徑B 1從該負載鎖定室]0 6 A傳送至公設腔室1 1 6 A 中,在其中一準備步驟3 0 2在該基材上完成。在完成準備 步驟3 0 2後,接著將基材傳送至裝設在位置1 1 4 A — D上之 製程腔室。在一實施例中,該基材係經傳送至在位置1 1 4 A 上之製程腔室,如第1 3 B圖所示,沿著該傳送路徑B 2。在 一實施例中,如第]3 B圖所示,該第一製程腔室係一紅式 製程腔室2 0 1。在此例中,該系統控制器1 0 2會依循第1 3 B 圖所示之B 1和;B 2傳送路徑及其相關之配方步驟3 02,如 第14B圖所示者,在該批式製程腔室2 0 1中載入兩個或更 多個基材。在該批式製程腔室2 U 1内完成製程配方步驟3 04 後,該等基材一個一個地傳回該負載鎖定室 1 〇 6,沿著該 62 1335618
傳送路徑B 3,直到該批式製程腔室2 Ο 1變成空的為止。接 著容納在負載鎖定室1 0 6 Α内之基材相繼在該等單一基材 製程腔室202A至202C中處理,依循該等傳送路徑B4 — B6以及製程配方步驟306- 308及310,如分別在第13B 和1 4 B圖中所示者。在一實施例中,製程配方步驟3 0 4係 氧化铪(HfOx)沉積步驟及/或氧化鋁(A12〇3)ALD沉積步 驟。在一實施例中,製程配方步驟3 0 8至3 1 0可選自下列 製程之一:RTP、I)PN、P VD、CV D(例如 CVD 多晶矽、TEOS 等)、或量測製程步驟。 參見第13B和14B圖,在最後一個製程步驟已經在 每一個基材上完成後,該等基材會沿著傳送路徑B 7載入 該批式負載鎖定室内。一旦所有基材皆回到該負載鎖定室 1 06 A,該負載鎖定室會破真空至常壓,並且該等基材會藉 由該等工廠介面機械臂1 0 8 Λ — B之一沿著該傳送路徑FI 1 傳送至該晶圓盒。第1 3 Β圖所示之製程程序與第1 3 Α圖所 示之製程程序不同,因為該製程程序載出該批式製程腔室 2 0 1之動作釋出該批式製程腔室2 (H,因此從裝設在該等位 置 105B— D之一上的另一個晶圓盒載入於該負載鎖定室 1 0 6 B中之晶圓可以載入該批式製程腔室2 0 1中,並在隨後 製程2 0 2 A — C在原先載入於負載鎖定室1 〇 6 A内之基材上 完成時處理。在其他實施例中,該等製程程序可具有比第 1 3B和1 4B圖所示者少的製程程序步驟。 第 13C 圖示出一製程程序之一實施例,其中基 材”W”係沿著基材傳送路徑 C 1 C4傳送通過該集結式機 63 1335618 台1 Ο 0。第1 3 C圖所示之製程程序之相關製程步驟在第1 4 C 圖進一步示出。在此實施例中,該基材係從設置在位置 1 0 5 A上之晶圓盒移出,並且沿著傳送路徑F11傳送至負載 鎖定室106A。在一情況中,其中負載鎖定室106A係一批 式負載鎖定室,該等工廠介面機械臂1 〇 8 A -- B會載入裝設 在該負載鎖定室1 0 6 A中之|載鎖定晶圓匣(未示出),直到 其滿載為止,然後將其排空》—旦該負載鎖定室1 0 6 A已 經排空,該基材即可選擇性地沿著該傳送路徑 C1從該負 載鎖定室1 06A傳送至公設腔室1 1 6A或11 6B中,在其中 一或多個準備步驟3 2 2在該基材上.完成。在製程後,接著 沿著傳送路徑C2將該基材傳送至裝設在位置1 1 4C或11 4D 上之製程腔室。在一實施例中,如第13 C圖所示,該第一 製程腔室係一單一基材製程腔室2 0 2 A或2 0 2 B,在其中一 基材製程步驟3 2 4可在該基材上執行。在一實施例中,該 基材製程步驟3 2 4可包含一或多種製程配方步驟,包含但 不限於,基材除氣、回火、預清潔、量測或基材檢查、沉 積及/或蝕刻。一預清潔腔室,例如可從加州聖塔克拉拉 之應用材料公司取得之預清潔Π腔室(Pre-Clean Π Chamber™ ),藉由除去不想要的氧化層來清潔該等基材。 在該等製程腔室202A或202B之一内處理過後,該基材接 著沿著傳送路徑 C 3傳送至該批式製程腔室 2 0 1。在此例 中,該系統控制器會在該批式製程腔室2 0 1中載入已經依 循如第1 3 C圖所示之傳送路徑C 1和C 2,以及如第1 4 C圖 所描述之配方步驟 3 2 2和 3 2 4處理過之兩個或更多個基 (Λ 1335618 材。該製程配方步驟3 2 6接著在該批式製程腔室2 Ο 1中在 該等基材上完成。在一實施例中,製程配方步驟3 2 6係氧 化铪(HfOx)沉積步驟及/或氧化鋁(A1 203)ALD沉積步驟。 在第 1 3 C及 1 4 C圖所示之該製程程序之一實施例 中,該.第一基材製程,在該單一基材製程腔室202A或202B 内執行,係一預熱製程,其中基材在其安置於該批式製程 腔室2 0 1内前先預熱至一預期溫度。使用此製程程序可最 小化在該批式製程腔室2 0]中開始批式晶圓製程前穩定基 材溫度之所需時間,因此可增加製程程序產能。此製裎程 序在批式製程意欲在低於約 3 5 0 °C之溫度下執行之情況中 是重要的,因為利用輻射熱傳送法傳送熱至該晶圓之能力 在這些低製程溫度下.是無效的。一例示預熱製程可以是, 例如,在該批式製程腔室内约 250t之溫度下處理該等基 材前先將該等基材預熱至約 2 5 0 °C之溫度。在本發明之一 態樣中,該單一基材製程腔室係由一批式基材預熱腔室(未 示出)取代,其適於同時預熱兩個或多個基材至預期預熱溫 度。 在一實施例中,該預熱製程係在該等基材被安置在 該批式製程腔室2 0 1内之前在該批式負載鎖定室1 0 6中執 行。在本發明之一態樣中,該等基材可在腔室已經排空之 後利用賴射熱傳送法(例如燈、阻抗加熱器等)或藉由通入 加熱之清潔氣體(例如氬氣等)至留置在一批式負載鎖定晶 圓匣内之基材表面上在該批弍負載鎖定室中預熱。在本發 明之另一態樣中,該批式負載鎖定室可安裝含有複數個導 65 1335618 熱隔板之負載鎖定晶圓匣,該等導熱隔板適於預熱 其中之晶圓。在一實施例中,在該批式負載鎖定室 預熱後,該基材在其安置於該批式製程腔室2 0 1内 或多個單一基材製程腔室202A中處理。 在該集結式機台1 0 0之一實施例中,一預熱 預熱腔室(未示出)係經設置在一傳送腔室1 1 〇和該 程腔室2 0 1間。在該集結式機台1 0 0之另一實施例 預熱位置或預熱腔室係經設置在前'端環境104和該 程腔室 2 01間。例如,如第 2 C圖所示,該緩衝/ 置1 5 2上之冷卻盤1 5 3係適於在置放該基材於該批 腔室2 01中之前預熱該等基材。在一實施例中,該 冷卻位置1 5 2係適於在置放該基材於該批式製程腔 中之前預熱該等基材,並且也適於在該批式製程腔 内處理後冷卻該等基材。在此配置中,該缓衝/冷 1 5 2可使用熱電元件或溫度受控制之流體熱交換體 及/或冷卻該等基材。 參見第1 3 C和1 4 C圖,該等基材然後沿著該 徑C4傳送回到該負載鎖定室1 06A,直到該批式製 2 01變成空的為止。一但所有的基材皆返回,該負 室會破真空至常壓,並且該荨基材會沿著該傳送路 一個一個被傳送至該晶圓盒 在一實施例中,一製程步驟32 8係經添加至 圖所示之該製程程序中,其進一步在第1 3 D和1 4 D 出。在此實施例中,該基材在該批式製程腔室2 0 1 f.6 留置在 1 06内 前於一 位置或 批式製 中 ϊ 批式製 冷卻位 式製程 緩衝/ 室20 1 室 201 卻位置 來加熱 傳送路 程腔室 載鎖定 徑 FI1 第13C 圖令示 内處理 1335618 後沿著傳送路徑 C 4 ’傳送至該後批式製程腔室。在製程配 方步驟3 2 8於該製程腔室2 0 2 D内完成後,沿著傳送路徑 C5’將該等基材傳送至該負載鎖定室106A。 第1 3 E和1 3 F圖示出兩個不同的製程程序,其可與 第2C圖所示之集結式機台!00合併使用。第13E圖示出 一製程程序之一實施例,其中基材”W”係沿著基材傳送路 徑E 1 — E 4和F11 _ F13傳送通過該集結式機台1 0 0。第1 3 E 圖所示之製程程序之相關製程步驟在第 1 4Ε圖進一步示. 出。在此實施例中,該基材係沿著傳送路徑FII從設置在 位置1 0 5 Α上之晶圓盒移出,並且安置在與該批式基材製 程腔室2 0 1連接之腔室1 5 Ο Λ之缓衝/冷卻位置1 5 2 A上。 在該基材停置在該緩衝/冷卻位置1 5 2 A上之後,該基材 傳送機構1 5 4 A沿著傳送路徑E 1將該基材傳送進入連接之 批式製程腔室2 0 1内。該系統控制器L 0 2可沿著苐1 3 E圖 所示之傳送路徑F11和E 1在該批式製程腔室2 0 1中載入兩 個或多個基材。在該批式製程步驟3 0 4已經在該批式製程 腔室201内完成後,接下來沿著該傳送路徑E2將該基材 傳送至該緩衝/冷卻位置1 5 2 A,在此可以冷卻該基材,因 此其可被傳送至下一個製程步驟。該基材然後沿著傳送路 徑F12從該缓衝/冷卻位置1 5 2 A傳送至該緩衝/冷卻位 置 1 5 2 B。在該基材停置在該缓衝/冷卻位置 1 5 2 B上之 後,該基材傳送機構1 54 B沿著傳送路徑E 3將該基材傳送 進入連接之單一基材製程腔芏2 0 2 A。在該單一基材製程步 驟306已經在該單一基材製程腔室202A内完成後,接著 "7 1335618 沿著傳送路徑 E4 將該基材傳送至該緩衝/冷卻位置 1 5 2 B,在此可以冷卻該基材,因此其可沿著傳送路徑 F13 傳送至晶圓盒。 第1 3 F圖示出該基材進入單一基材製程腔室 2 0 2 A 之傳送。第13 F圖示出一製程程序之一實施例,其中基 材”W”係沿著基材傳送路徑— F4和FI1 _ FI3傳送通過 該集結式機台1 0 0。第1 3 F圖所示之製程程序之相關製程 步驟在第1 4F圖進一步示出’在此實施例中’該基材係沿 著傳送路徑F11從設置在位f 1 0 5 B上之晶圓盒移出,並 且安置在與該單一基材製程腔室202A連接之腔室1 50B之 缓衝/冷卻位置1 5 2 B上。在該基材停置在該缓衝/冷卻 位置1 5 2B上之後,該基材傳送機構1 5 4B將該基材傳送進 入連接之單一基材製程腔室2〇2八内。在該單一基材製程 步驟304已經在該單一基材製程腔室202A内完成後,接 下來沿著該傳送路徑 F 2將該基材傳送至該缓衝/冷卻位 置1 5 2B,在此可以冷卻該基纣,因此其可被傳送至下一個 製程步驟。該基材然後沿著傅送路徑F12從該缓衝/冷卻 位置152B傳送至該缓衝/冷卻位置152A。在該基材停置 在該缓衝/冷卻位置152A上之後,該基材傳送機構154A 沿著傳送路徑 F 3將該基材傳送進入連接之批式製程腔室 2 0 1。該系統控制器1 0 2可沿著第]3 F圖所示之傳送路徑 FI1、F1 — F2、FI2、和F 3在該批式製程腔室2 0 1中載入兩 個或多個基材。在該製程步驟306已經在該批式製程腔室 20 1内完成後,接著沿著傳送路徑F4將該基材傳送至該緩 1335618 衝/冷卻位置1 5 2 A,在此可以冷卻該基材,因此其可沿著 傳送路徑FI3傳送至晶圓盒。 在本發明之一態樣中·如第2 C — E和1 3 E — F圖所 示者,該系統控制器1 0 2係適於監控該等基材暴露在環境 中之後之等待時間,在其已經在一第一製程腔室(例如單一 基材製程腔室2 0 2 A或批式製程腔室2 0 1 )内處理過後以及 在下一個製程配方步驟中處理之前。例如,第1 3 E圖所示 之實施例,該系統控制器1 〇 2可在該基材被置放在該缓衝 /冷卻位置1 5 2 A上時開始計算其暴露時間,直到該基材 被置放在該單一基材製程腔室2 0 2 A内為止(例如傳送路徑 步驟E2、FI2和E3),因此不會在該單一基材製程腔室202A 已經準備好接受一基材之前將該基材置放在該缓衝/冷卻 位置 1 5 2 A上。以此方式,最小化該基材在兩個製程配方 步驟之間(例如製程步驟3 0 4和製程步驟3 0 6 )暴露在污染 物下之時間。 製程配方程序 氧化铪/氧化鋁電容堆整範例 第1 5 A和1 5 B圖示出可利用使用本發明之態樣之製 程程序6來製造之電容結構5之剖面圖。在一實施例中, 用來製造該電容結構5之製程程序,如下面所討論者,可 在與第2 B圖所示之配置類似之集結式機台1 0 0中依循第 1 5 D圖所示之傳送路徑完成該電容結構5 —般含有基材 1 '下導電層2、介電層3以及上導電層4。在一實施例中, !>9 1335618 在製程之前,利用習知微影和蝕刻技術在該基材中形成一 溝槽1A,而使溝槽1 A形成在該基材〗表面上。在溝槽1 A 已經形成在該等基材之一或多個上之後,將其移至該集結 式機台100中,以使該等層2—4可依循第15C所示之製 程程序並依循第 1 5 D圖所示之傳送路徑(元件 G 1 _ G 8)形 成在該基材表面上。先將該基材定位在該公設腔室 1 1 6A 内(或1 1 6 B,未示出),並利用裝設在該公設腔室11 6 A内 之IR燈除氣。在本發明之一態樣中,可在該公設腔韋11 6A 内於該基材上完成預清潔製程步驟3 0 2,以除去任何表面 污染。 該製程程序6内之第二製程配方步驟3 04是在該基 材1表面上以及在該溝槽1 A中沉積該下導電層2。該製程 配方步驟304可在單一基材製程腔室202A中完成,此時 利用CVD、PVD或ALD沉積製程沉積1 000埃的金屬,例 如组、氮化组、鎢、欽、始、It化鈦、換雜之多.晶梦或釕。 在執行該製程配方步驟3 0 4之前’從該公設腔室1 1 6 A沿 著傳送路徑G2將該基材傳送至該單一基材製程腔室202A 中ο .. 實施下一個製程配方步驟 3 0 6 (即 3 0 6. A — D)以沉積 一或多種介電材料之一或多個層,以輔助形成該電容結構 5之介電層3。第1 5 A和1 5 B圖示出本發明之一態樣,其 中三個介電層(即3 Λ - C)被沉積在該下導電層2上方,並 且在最後的介電層 3C之最上層上執行最後的表面處理製 程3 D。沉積在基材表面上之沉積層之數量和厚度可根據需 70 1335618 要改變以符合元件效能要求,因此在此所述之製程程序之 說明或例示並不意欲限制本發明之範圍。 該第三製程配方步驟3 06A,利用CVD或ALD製程 技術在該下導電層2上沉積第一介電層3A。例如,該第一 介電層3 A係利用 AL D型製程沉積之厚度3 0埃之氧化铪 或石夕酸給氧化合物(h a'f n i u m s i 1 i c a t e)(即氧化給石夕)層。因為 氧化給或碎酸給氧化合物之沉積速率很慢,例如,沉積3 Ο 埃會需要200分鐘左右的時間,故此特別冗長之製程步驟 在該批式製程腔室 2 0 1 Α内完成。因此,為了達到最大的 集結式機台產能,在開始該批式製程步驟 3 0 6 A之前,將 該批式製程腔室 2 ◦ 1 A載入已經完成該第一和第二製程配 方步驟302和3 04之兩個或更多個基材。形成ALD氧化铪 或矽酸铪氧化合物薄膜之一例示方法之實例在 2 004年 5 月1 2號提出申請之標題為1含銓之高介電常數材料之原子 層沉積」之美國專利臨時申請案第60/ 5 7 0,1 73號[APPΜ 8 527L]中進一步描述,其在此藉由引用至不與在此所主張 之態樣及揭示不一致的程度下併入本文中。在執行該製程 配方步驟3 06Α之前,從該單一基材製程腔室202Α沿著傳 送路徑G 3將基材傳送至該第一批式製程腔室2 0 1 Α中。 該第四製程配方步驟3 06B,利用CVD或ALD製程 技術在該第一介電層3 A上沉積第二介電層3 B。例如,該 第二介電層3 B係利用Λ LD型製程沉積之厚度3 0埃之氧 化鋁層。雖然第1 5 C和1 5 D圓示出將該等基材從該第一批 式腔式2 0 1 Α傳送至該第二批式腔室2 0 1 Β之程序,以最小 71 1335618 化任何製程交互反應或污染的顧慮。但在一實施例中,兩 個沉積製程(例如3 0 6 A和3 0 6 B )係在相同的批式製程腔室 中完成。因為該ALD氧化鋁製程之沉積速率很慢,例如, 沉積3 0埃會需要2 0 _ 4 5分鐘左右的時間,故此特別冗長 之製程步驟在該批式製程腔室 201B内完成。因此,為了 達到最大的集結式機台產能,在開始該批式製程步驟3 0 6 B 之前,將該批式製程腔室 2 0 1 B載入已經完成該第一、第 二和第三製程配方步驟3 0 2、3 0 4和3 0 6八之兩個或更多個 基材。形成ALD氧化鋁薄膜之一例示方法之實例在2002 年1 1月21號提出申請之標題為「氧化鋁腔室及製程」之 美國專利申請案第 10/302,773號[A PPM 6198]中進一步 描述,其在此藉由引用至不與在此所主張之態樣及揭示不 一致的程度下併入本文中。在執行該製程配方步驟 3 0 6 B 之前,從該第一批式製程腔室2 0 1 A沿著傳送路徑G4將基 材傳送至該第二批式製程腔室2 0 1 B中。 該第五製程配方步驟3 06C ’利用CVD或ALD製程 技術在該第二介電層3 B上沉積第三介電層3 C。例如,該 第三介電層3 C係利用ALD型製程沉積之厚度3 0埃之氧 化給或梦酸給氧化合物層。因為氧化給或·®夕酸給氧化合物 之沉積速率很慢,為避免該批式製程腔室 2 0 1 B之任何交 叉汙染,故此特別冗長之製程步驟在該批式製程腔室2 0 1 A 内完成。因此,為了達到最大的集結式機台產能,在開始 該批式製程步驟3 0 6 C之前,將該批式製程腔室2 0 1 A載入 已經完成該第一、第二 '第三和第四製程配方步驟 3 0 2、 72 1335618 304、306A和306B之兩個或更多個基材。在執行該製 配方步驟3 0 6 C之前,從該第二批式製程腔室2 0 1 B沿著 送路徑G5將基材傳送至該第一批式製程腔室2 0 1 A中。 該第六製程配方步驟3 06D,係在單一基材製程腔 20 2B中完成之電漿氮化製程步驟,其係經配置以在該第 介電層3C表面上繼續執行DPN製程技術。例如,該基 係經傳送至一 D P N腔室中,例如可從位於加州聖塔克拉 之應用材料公司取得之CENTURA™ DPN腔室。在DPN 程期間,以共流氮氣和例如氬氣之鈍氣電漿形成之原子 轟擊該介電層3C。除了氮氣,也可用其他含氮氣體來形 該氮氣電漿,例如氨氣、聯胺類(hydrazines)(例如N2H4 MeN2H3)、胺(amines)(例如 Me3N、Me2NH 或 MeNH2)' 胺(anilines)(例如 C 6 I-I5 NH2)、和疊氮化物(az i des)(例 MeN3或 Me3SiN3)。可在電漿製程中使用之其它鈍氣包 氦氣、氖氣和氙氣。氮化製程之長度可介於約 1 〇秒和 120秒間。該氮化製程一般係在從約900瓦至約2,700 之電漿功率設定以及約1 〇毫托耳至約1 〇 〇毫托耳之製程 力下執行。氮氣流速從約〇 . 1 s 1 m至約1 . 0 s 1 m,同時鈍 流速從約0.1 s 1 m至約1 . 0 s 1 m。在一較佳實施例中,該 化製程係一 DPN製程,並且包含藉由共流氬氣和氮氣而 之電漿。在執行該製程配方步驟306D之前’從該第一 式製程腔室20 1 B沿著傳送路徑G6將基材傳送至該第二 一基材製程腔室2〇2B中。 該製程程序6内之第七,及最终的,製程配方步 程 傳 室 材 拉 製 氮 成 或 苯 如 含 約 瓦 壓 氣 氮 生 批 單 驟 73 1335618 3 Ο 7是在該介電層3表面上沉積該上導電層4以填充剩 的溝槽 1Α。該製程配方步驟307可在單一基材製程腔 202Α内完成,此時利用CVI)、PVD或ALD沉積製程沉 上導電層 4,例如纽、氛化纽 '鎢、銘、欽、氮化欽、 雜之多晶矽或釕。在執行該製程配方步驟3 0 7之前,從 第二單一基材製程腔室202Β沿著傳送路徑G7將基材傳 至該單一基材製程腔室202 Α中。然後將該(等)基材從該 一基材製程腔室202A沿著傳送路徑—G8和FI1傳送至晶 盒 105A 。 雖然前述係針對本發明之實施例,但本發明之其 及進一步實施例可在不背離其基本範圍下設計出,並且 範圍係由下.述申請專利範圍決定.。 【圖式簡單說明】 因此可以詳細瞭解上述本發明之特徵的方式,即 本發明更明確的描述,簡短地在前面概述過,可以藉由 考實施例來得到,其中某些在附圖中示出。但是需要注 的是,附圖僅示出本發明之一般實施例’因此不應被認 係對其範圍之限制,因為本發明可允許其他等效實施例 第1圖係半導體製程用之典型先前技術製程系統 平面圖,其中可使用本發明而受益。 第2A圖係含有適於半導體製程用之一批式製程 室和一單一製程腔室之典型製程系統之平面圖,其中可 用本發明而受益。 餘 室 積 摻 該 送 單 圓 他 其 對 參 意 為 〇 之 腔 使 74 1335618 第 2 B圖係含有適於半導體製程用之兩個批式製程 腔室和一單一製程腔室之典型製程系統之平面圖,其中可 使用本發明而受益。 第 2 C圖係含有適於半導體製程用之一批式製程腔 室和一單一製程腔室之典型常壓傳送製程系統之平面圖, 其中可使用本發明而受益。 第2D圖係含有適於半導體製程用之一批式製程腔 室和兩個單一製程腔室之典型常壓傳送製程系統之平面 圖,其中可使用本發明而受益。 第 2E圖係含有適於半導體製程用之兩個批式製程 腔室之典型常壓傳送製程系統之平面圖,其中可使用本發 明而受益。 第 2F圖係含有適於半導體製程用之兩個批式製程 腔室之典型常壓傳送製程系統之平面圖,其中可使用本發 明而受益。 第 2 G圖係含有可適於半導體製程用之一批式製程 腔室之典型常壓傳送製程系統之側剖面圖,其中可使用本 發明而受益。 第2.H圖係含有可適於半導體製程用之一批式製程 腔室之典型常壓傳送製程系統之側剖面圖,其中可使用本 發明而受益。 第 21圖係含有適於半導體製程用之一批式製程腔 室之典型製程系統之平面圖,其中可使用本發明而受益。 第3圖係根據本發明之批式製程腔室之側面圖。 75 1335618 益。 第1 3 B圖係一典型製程系統之平面圖,其簡要示出 一基材製程程序之基材傳送路徑,其中可使用本發明而受 益。 第1 3 C圖係一典型製程系統之平面圖,其簡要示出 一基材製程程序之基材傳送路徑,其中可使用本發明而受 益。 第1 3 D圖係一典型製裎系統之平面圖,其簡要示出 一基材製程程序之基材傳送路徑,其中可使用本發明而受 益。 第1 3 E圖係一典型製程系統之平面圖,在第2 C圖 中示出,其簡要示出一基材·製程程序之基材傳送路徑,其 中可使用本發明而受益。 第1 3F圖係一典型製程系統之平面圖,在第2 C圖 中示出,其簡要示出一基材製程程序之基材傳送路徑,其 中可使用本發明而受益。 第1 4 A圖示出在第1 3八圆所示之基材製程程序中使 用之製程配方步驟。 第1 4B圖示出在第1 3 B圖所示之基材製程程序中使 用之製程配方步驟。 第14C圖示出在第]3C圖所示之基材製程程序中使 用之另一組製程配方步驟。 第14D圖示出在第13 [)圖所示之基材製程程序中使 用之另一組製程配方步驟。 77 1335618 第14E圖示出在第]3 l·:圖所示之基材製程程序中使 用之另一組製程配方步驟。 第1 4F圊示出在第1 3 F圖所示之基材製程程序中使 用之另一組製程配方步驟。 第1 5 A圊係可利用本發明實施例形成之電容結構之 剖面圖。 第1 5 B圖係第1 5 A圖所示之電容結構之一個區域之 放大圖。. 第15C圖示出用來形成第15A圖所示之電容結構之 一組製程配方,並且係依照第〗5 D圖所示之製程程序。 第1 5 D圖係一典型製程系統之平面圖,其簡要示出 一基材製程程序之基材傳送路徑,其中可使用本發明而受 益。 【主要元件符號說明】 1 基材 2 下導電層 4 上導電層 6 製程程序 11 加熱來源 13 氣體入口 20 反應腔室 22a 製程空間 24 密封結構 1Λ 溝槽 3、3A-C 介電層 5 電容結構 10 腔室壁 12 基材支樓 14 氣體出口 22 真空腔室 22b 緩衝空間 32 頂板
7S 1335618 34 、 100a 、 100b 側壁 36 : 狹缝閥開口 3 8 底板 46、: 186 晶圓匣 48 ' 64 支桿 54 封縫劑 60 密封盤 6 1 . 石英環 62 承座 6 6 : 舉升桿 100 集結式機台 102 系統控制器 104 前端環境 1 04A 傳送區域 1 05 A —F 晶圓盒 1 06 A -B 負載鎖定室 1 08A -B 工廠介面機械臂 1 09A S CARA機械臂 109B ' 161 機械臂片 109C ' 163 機械臂垂直運動 組件 110 中央傳送腔室 1 1 1 A -C 腔室裝設表面 113' 162 機械臂 1 1 3 A 葉片組件 1 1 3B 手臂組件 1 13C 機械臂驅動組件 114A —D 位置 1 1 6A —B 公設腔室 1 50A —C 缓衝腔室 1 52A -B 缓衝/冷卻位置 153 冷卻盤 1 5 3 A 批式製程元件 1 54A —B 基材傳·送機構 156 狹缝閥 1 57A 一 C 真空幫浦 17 1 真空幫浦系統 18 1 擋板 182 擋板儲存區域 183 密封構件 18 5 導熱隔板 190 過濾單元 l 9 1 過滤器 192 風扇單元 193 基部 1335618 200 202A 氣體注入岐管組件 -C 單一基材製程腔室 201 批式製程腔室 203 進氣導管 204 混合腔室 206 氣流控制元件 208 埠 2 10 注入盤 300 排氣岐管組件 35 1 排氣室 352 排氣盤 353 排氣流量控制元件 354 排氣埠 355 排氣線 3 56 閘閥 357 節流閥 400 ' 507 ' 5 50 力口熱結 401 石英窗 402 鹵素燈 410、 411 墊片 412 墊條 420 石英條 422 阻熱板 424 爽鉗 425 螺拴 426A —B 墊圈 442、 446 通道 500 氣體輸送模組 501 A 一 B 製程氣體來源 502 惰性氣體來源 5 03 A 終線閥 505A 一 B、5 6 2 進氣線. 5 12 安瓶氣體來源 520 安瓶 525 幫浦 530 蒸發器 535 隔離閥 537 清除閥 540 集氣瓶組件 541 阻抗加熱元件 542 加熱器控制器 543 氣瓶 544、 570 感應器 546 進氣管 548 出氣管 560 再循環系統 561 再循環集氣瓶 80 1335618 562 再 循 環 進 氣 線 563 溫 度 控 制 器 564 再 循 環 排 氣 線 565 氣 體 來 源 566 再 循 環 排 氣 閥 567 再 循 環 進 氣 閥 568 加 熱 / 冷 卻 元件 569 安 瓶 隔 離 閥 572 熱 控 制 系 統 600 舉 生 及 旋 轉 機構 601 旋 轉 馬 達 700 舉 升 機 構 A1 — A6、Bl— B7、Cl— C4、El — E4、FI— F4、Gl — G8、 FI1 - FI3 傳送路徑 81

Claims (1)

1335618 一緩衝腔室,包含: 一冷卻盤,其係適於加熱及/或冷卻一基材 及 一傳送機械臂,其係適於在該冷卻盤和該製程晶 間傳送一或多個基材。 7. 如申請專利範圍第6項所述之設備,其中上述之基 程區域位於該基材缓衝區域上方。 8. 如申請專利範圍第6項所述之設備,進一步包含: 一晶圓盒,其係適於容納兩個或多個基材; 一第二機械臂,其係適於在該冷卻盤和該晶圓盒 送位於該晶圓盒内之該兩個或多個基材之一,其中該 機械臂位於該工廠界面之傳送區域中。 9. 如申請專利範圍第6項所述之設備,進一步包含: 一狹縫閥,其係可密封地設置在該傳送區域和該 緩衝區域之内部緩衝空間之間,並且適於流體地隔離 部緩衝空間和該傳送區域;以及 一真空幫浦,其係與該緩衝區域流體交流,其中 空幫浦係適於將該基材缓衝區域内之壓力降至低於常 壓力。 ;以 圓匣 材製 間傳 第二 基材 該内 該真 壓之 84 1335618 10. 如申請專利範圍第6項所述之設備,進一步包含一氣 體輸送系統,其係與該可批式處理之基材製程腔室組件之 内部製程空間流體交流,其中該氣體輸送系統係適於輸送 含有前趨物之氣體至該内部製程空間中,因此可在位於其 内之一或多個基材上執行化學氣相沉積(CVD)或原子層沉 積(ALD)製程。 11. 如申請專利範圍第6項所述之設備,其中上述之傳送 機械臂具有複數個機械臂片,其係適於在該冷卻盤和該製 程晶圓匣間同時傳送多個基材。 1 2.如申請專利範圍第6項所述之設備,其中上述之可批 式處理之基材製程腔室組件進一步包含位於該基材製程區 域和該基材緩衝區域間之擋板,其中該擋板係適於可密封 地設置以隔離該内部製程空間和該内部緩衝空間。 13. —種基材製程設備,其至少包含: 一工廠介面,具有通常維持在常壓下之傳送區域; 一晶圓盒,其係適於容納兩個或多個基材,其中該晶 圓盒係與該工廠介面之傳送區域交流; 一第一可批式處理之基材製程腔室組件,其係與該工 廠介面之傳送區域交流,其中該第一可批式處理之基材製 程腔室組件包含: 85 1335618 一第一基材製程區域,具有形成一第一内部製程 空間之一或多個側壁; 一第一傳送區域,具有形成一第一内部缓衝空間 之一或多個側壁,其中該第一傳送區域與該第一基材製程 區域相鄰;以及 一第一製程晶圓匣,其係適於支撐兩個或多個基 材,其中可利用一舉升機構在該第一内部緩衝空間和該第 一内部製程空間之間傳送該第一製程晶圓匣; 一第一緩衝腔室,包含: 一第一冷卻盤,其係適於加熱及/或冷卻一基 材;以及 一第一機械臂,其係適於在該第一冷卻盤和該第 一製程晶圓匣間傳送一或多個基材; 一第二可批式處理之基材製程腔室組件,其係與該工 廠介面之傳送區域交流,其中該第二可批式處理之基材製 程腔室組件包含: 一第二基材製程區域,具有形成一第二内部製程 空間之一或多個側壁; 一第二傳送區域,具有形成一第二内部緩衝空間 之一或多個側壁,其中該第二傳送區域與該第二基材製程 區域相鄰;以及 一第二製程晶圓匣,其係適於支撐兩個或多個基 材,其中可利用一舉升機構在該第二内部緩衝空間和該第 86 1335618 二内部製程空間之間傳送該第二製程晶圓匣; 一第二緩衝腔室,包含: 一第二冷卻盤,其係適於加熱及/或冷卻一基 材;以及 一第二機械臂,其係適於在該第二冷卻盤和該第 二製程晶圓匣間傳送一或多個基材; 一真空幫浦,其係適於降低選自該第一内部製程空 間、該第二内部製程空間、該第一内部緩衝空間、以及該 第二内部缓衝空間之至少一個區域中之壓力;以及 一傳送機械臂,位於該傳送區域内,其係適於在該晶 圓盒和該第一製程晶圓匣或第二製程晶圓匣間傳送一或多 個基材。 14. 如申請專利範圍第13項所述之設備,進一步包含複數 個氣體輸送系統,其中至少一氣體輸送系統係與該第一和 第二可批式處理之基材製程腔室組件之内部製程空間流體 交流,其中每一個氣體輸送系統係適於輸送含有前趨物之 氣體至該内部製程空間中,因此可在位於其内之一或多個 基材上執行化學氣相沉積(CVD)或原子層沉積(ALD)製程。 15. 如申請專利範圍第13項所述之設備,其中上述之工廠 介面進一步包含一過濾單元,其係適於提供經過濾之空氣 至該傳送區域。 87 1335618 16. 如申請專利範圍第13項所述之設備,其中上述之 可批式處理之基材製程腔室組件及第二可批式處理之 製程腔室組件兩者皆進一步包含位於該基材製程區域 傳送區域間之擋板,其中該擋板係適於可密封地設置 離該内部製程空間和該内部緩衝空間。 17. 如申請專利範圍第13項所述之設備,其中上述之 製程區域位於該傳送區域上方。 18. —種基材製程設備,其至少包含: 一工廠介面,具有通常維持在常壓下之傳送區域 兩個或多個可批式處理之基材製程腔室,每一個 該傳送區域交流,其中該兩個或多個可批式處理之基 程腔室之每一個包含·_ 一基材製程區域,具有形成一内部製程空間 或多個側壁; 一基材缓衝區域,具有形成一内部缓衝空間 或多個側壁,其中該基材缓衝區域與該基材製程區域 相鄰, 一製程晶圓匣,其係適於支撐兩個或多個基 其中可利用一舉升機構在該内部缓衝空間和該内部製 間之間傳送該製程晶圓匣;以及 第一 基材 和該 以隔 基材 皆與 材製 之一 之一 垂直 材, 程空 88 1335618 一擋板,位於該基材製程區域和該基材缓衝區域 間,其中該擋板係適於可密封地設置以隔離該内部製程空 間和該内部緩衝空間;以及 一缓衝腔室,包含: 一冷卻盤,其係適於加熱及/或冷卻一基 材;以及 一機械臂,其係適於在該冷卻盤和該製程晶 圓匣間傳送基材。 1 9.如申請專利範圍第1 8項所述之設備,進一步包含複數 個氣體輸送系統,其中至少一氣體輸送系統係與該兩個或 多個可批式處理之基材製程腔室之每一個之内部製程空間 流體交流,其中每一個氣體輸送系統係適於輸送含有前趨 物之氣體至該内部製程空間中,因此可在位於其内之一或 多個基材上執行化學氣相沉積(CVD)或原子層沉積(ALD) 製程。 20. 如申請專利範圍第18項所述之設備,其中上述之工廠 介面進一步包含一過濾單元,其係適於提供經過濾之空氣 至該傳送區域。 21. —種基材製程設備,其至少包含: 一工廠介面,具有通常維持在常壓下之傳送區域; 89 1335618 一晶圓盒,其係適於容納兩個或多個基材,其中該晶 圓盒係與該工廠介面之傳送區域交流; 一可批式處理之基材製程腔室組件,其係與該工廠介 面之傳送區域交流,其中該可批式處理之基材製程腔室組 件包含: 一基材製程區域,具有形成一内部製程空間之一 或多個側壁; 一基材緩衝區域,具有形成一内部緩衝空間之一 或多個側壁,其中該基材缓衝區域與該基材製程區域相鄰; 一製程晶圓匣,其係適於支撐兩個或多個基材; 以及 一舉升機構,其係適於在該内部緩衝空間和該内 部製程空間之間傳送該製程晶圓匣; 一第一緩衝腔室,包含: 一第一冷卻盤,其係適於加熱及/或冷卻一基 材;以及 一第一機械臂,其係適於在該第一冷卻盤和該製 程晶圓匣間傳送一或多個基材; 一單一基材製程腔室,其係與該傳送區域交流,其中 該單一基材製程腔室具有形成一單一基材内部製程空間之 一或多個側壁; 一第二緩衝腔室,包含: 一第二冷卻盤,其係適於加熱及/或冷卻一基 90 1335618 材;以及 一第二機械臂,其係適於在該第二冷卻盤和該 一基材製程腔室間傳送一或多個基材;以及 一第三機械臂,位於該傳送區域内,並且適於在該 一緩衝腔室、該第二缓衝腔室、和該晶圓盒間傳送一或 個基材。 22. 如申請專利範圍第2 1項所述之設備,其中上述之單 基材製程腔室係去耦合電漿氮化(DPN)、快速熱製 (RTP)、化學氣相沉積(CVD)、原子層沉積(ALD)、物理 相沉積(PVD)、或量測腔室。 23. 如申請專利範圍第21項所述之設備,進一步包含一 體輸送系統,其係與該可批式處理之基材製程腔室組件 内部製程空間流體交流,其中該氣體輸送系統係適於輸 含有前趨物之氣體至該内部製程空間中,因此可在位於 内之一或多個基材上執行化學氣相沉積(CVD)或原子層 積(ALD)製程。 24.如申請專利範圍第21項所述之設備,其中上述之工 介面進一步包含一過濾單元,其係適於提供經過濾之空 至該傳送區域。 單 第 多 程 氣 氣 之 送 其 沉 廠 氣 91 1335618 25.如申請專利範圍第1項所述之設備,其中上述之冷卻 盤係藉由使用一溫度受到控制之熱交換流體或藉由使用一 熱電元件來主動冷卻。 26.如申請專利範圍第6項所述之設備,其中上述之冷卻 盤係藉由使用一溫度受到控制之熱交換流體或藉由使用一 熱電元件來主動冷卻。 27. 如申請專利範圍第13項所述之設備,其中上述之第一 和第二冷卻盤係藉由使用一溫度受到控制之熱交換流體或 藉由使用一熱電元件來主動冷卻。 28. 如申請專利範圍第21項所述之設備,其中上述之冷卻 盤係藉由使用一溫度受到控制之熱交換流體或藉由使用一 熱電元件來主動冷卻。 92
TW095100798A 2004-11-22 2006-01-09 Substrate processing apparatus using a batch processing chamber TWI335618B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US63050104P 2004-11-22 2004-11-22
US64287705P 2005-01-10 2005-01-10

Publications (2)

Publication Number Publication Date
TW200710948A TW200710948A (en) 2007-03-16
TWI335618B true TWI335618B (en) 2011-01-01

Family

ID=36407893

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095100798A TWI335618B (en) 2004-11-22 2006-01-09 Substrate processing apparatus using a batch processing chamber

Country Status (7)

Country Link
US (3) US20060156979A1 (zh)
EP (1) EP1824960A2 (zh)
JP (1) JP2008521261A (zh)
KR (1) KR20070089197A (zh)
CN (1) CN101061253B (zh)
TW (1) TWI335618B (zh)
WO (1) WO2006055984A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI775275B (zh) * 2020-01-17 2022-08-21 台灣積體電路製造股份有限公司 半導體處理裝置、方法與系統
TWI830013B (zh) * 2020-03-27 2024-01-21 日商國際電氣股份有限公司 基板處理裝置、半導體裝置之製造方法及基板處理方法

Families Citing this family (383)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7462011B2 (en) * 2004-08-12 2008-12-09 Tokyo Electron Limited Substrate processing system, substrate processing method, sealed container storing apparatus, program for implementing the substrate processing method, and storage medium storing the program
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US7351656B2 (en) * 2005-01-21 2008-04-01 Kabushiki Kaihsa Toshiba Semiconductor device having oxidized metal film and manufacture method of the same
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
KR100628887B1 (ko) * 2005-02-01 2006-09-26 삼성전자주식회사 마이크로웨이브 에너지를 이용하여 기판 상에 막을형성하는 방법 및 이를 수행하기 위한 장치
US20090209095A1 (en) * 2005-06-22 2009-08-20 Sadayoshi Horii Manufacturing Method for Semiconductor Devices and Substrate Processing Apparatus
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
GB2432590B (en) * 2005-11-24 2010-11-03 Boc Group Plc Chemical vapour deposition apparatus
KR100779118B1 (ko) * 2005-12-09 2007-11-27 주식회사 테라세미콘 평판표시장치 제조시스템
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US7522968B2 (en) * 2006-07-10 2009-04-21 Applied Materials, Inc. Scheduling method for processing equipment
US20080051930A1 (en) * 2006-07-10 2008-02-28 Oh Hilario L Scheduling method for processing equipment
WO2008008727A2 (en) * 2006-07-10 2008-01-17 Applied Materials, Inc. Scheduling method for processing equipment
JP2008034746A (ja) * 2006-07-31 2008-02-14 Tokyo Electron Ltd 塗布、現像装置、その方法及び記憶媒体
US7989366B2 (en) * 2006-08-31 2011-08-02 Applied Materials, Inc. Dopant activation in doped semiconductor substrates
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
EP2073253A1 (en) * 2006-10-13 2009-06-24 Omron Corporation Method for manufacturing electronic device using plasma reactor processing system
DE102006053941B3 (de) * 2006-11-15 2008-01-31 Siltronic Ag Verfahren zum Prüfen der mechanischen Bruchfestigkeit einer Halbleiterscheibe
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
KR20080057080A (ko) * 2006-12-19 2008-06-24 삼성전자주식회사 증착장치 및 증착방법
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080199995A1 (en) * 2007-02-15 2008-08-21 Debra Susan Woolsey Integrated Hydrogen Anneal and Gate Oxidation for Improved Gate Oxide Integrity
US8950998B2 (en) * 2007-02-27 2015-02-10 Brooks Automation, Inc. Batch substrate handling
US20080220150A1 (en) * 2007-03-05 2008-09-11 Applied Materials, Inc. Microbatch deposition chamber with radiant heating
US20080276867A1 (en) 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
WO2008141106A1 (en) * 2007-05-09 2008-11-20 Applied Materials, Inc. Transfer chamber with vacuum extension for shutter disks
US20090004405A1 (en) * 2007-06-29 2009-01-01 Applied Materials, Inc. Thermal Batch Reactor with Removable Susceptors
US7790628B2 (en) * 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
JP5253511B2 (ja) * 2007-10-24 2013-07-31 オーツェー・エリコン・バルザース・アーゲー ワークピース製造方法及び装置
US7964515B2 (en) * 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
KR101043211B1 (ko) * 2008-02-12 2011-06-22 신웅철 배치형 원자층 증착 장치
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP4961381B2 (ja) * 2008-04-14 2012-06-27 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US10041169B2 (en) * 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
US8282334B2 (en) 2008-08-01 2012-10-09 Picosun Oy Atomic layer deposition apparatus and loading methods
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
JP5511273B2 (ja) * 2008-09-12 2014-06-04 株式会社日立国際電気 基板処理装置及び基板処理方法
US20100117309A1 (en) * 2008-11-13 2010-05-13 Applied Materials, Inc. Sealing apparatus for a process chamber
CN102246290B (zh) * 2008-12-12 2014-03-05 芝浦机械电子株式会社 衬底冷却装置及衬底处理系统
TWI465599B (zh) 2008-12-29 2014-12-21 K C Tech Co Ltd 原子層沉積裝置
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
JP2010171344A (ja) * 2009-01-26 2010-08-05 Tokyo Electron Ltd 真空処理装置
US8318269B2 (en) * 2009-02-17 2012-11-27 Mcalister Technologies, Llc Induction for thermochemical processes, and associated systems and methods
JPWO2011114858A1 (ja) * 2010-03-15 2013-06-27 住友電気工業株式会社 半導体薄膜の製造方法、半導体薄膜の製造装置、サセプター、およびサセプター保持具
JP2011195863A (ja) * 2010-03-18 2011-10-06 Mitsui Eng & Shipbuild Co Ltd 原子層堆積装置及び原子層堆積方法
KR101139892B1 (ko) * 2010-05-14 2012-05-11 동우옵트론 주식회사 인시츄 가스분석기 교정시스템
US8642448B2 (en) 2010-06-22 2014-02-04 Applied Materials, Inc. Wafer dicing using femtosecond-based laser and plasma etch
CN102212877B (zh) * 2010-07-09 2012-08-22 江苏中晟半导体设备有限公司 具有多个外延反应腔的mocvd系统及其操作方法
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
JP5885404B2 (ja) * 2010-08-04 2016-03-15 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
TWM413957U (en) * 2010-10-27 2011-10-11 Tangteck Equipment Inc Diffusion furnace apparatus
US8906163B2 (en) * 2010-12-07 2014-12-09 Lam Research Corporation Methods and apparatus for integrating and controlling a plasma processing system
WO2012098871A1 (ja) * 2011-01-20 2012-07-26 東京エレクトロン株式会社 真空処理装置
NO332311B1 (no) * 2011-02-09 2012-08-27 Blue Logic As Anordning ved en ventil
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
TWI461566B (zh) 2011-07-01 2014-11-21 Ind Tech Res Inst 鍍膜用噴灑頭以及鍍膜裝置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101271248B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
KR101271246B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
KR101271247B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
DE102011113293A1 (de) * 2011-09-05 2013-03-07 Schmid Vacuum Technology Gmbh Vakuumbeschichtungsvorrichtung
KR101380240B1 (ko) * 2011-11-17 2014-04-03 주식회사 유진테크 열차단플레이트를 포함하는 기판 처리 장치
KR101408084B1 (ko) * 2011-11-17 2014-07-04 주식회사 유진테크 보조가스공급포트를 포함하는 기판 처리 장치
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
WO2013102139A1 (en) * 2011-12-30 2013-07-04 Clearsign Combustion Corporation Method and apparatus for enhancing flame radiation
US8691706B2 (en) * 2012-01-12 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing substrate warpage in semiconductor processing
CN102534556A (zh) * 2012-02-20 2012-07-04 姜谦 一种常压多腔原子层沉积设备
WO2013124535A1 (en) * 2012-02-22 2013-08-29 Beneq Oy Apparatus for processing substrates
CN102560428A (zh) * 2012-03-09 2012-07-11 上海宏力半导体制造有限公司 化学气相沉积机台
US20150253762A1 (en) * 2012-09-26 2015-09-10 Hitachi Kokusai Electric Inc. Integrated management system, management device, method of displaying information for substrate processing apparatus, and recording medium
US9695509B2 (en) * 2012-10-23 2017-07-04 Hitachi Kokusai Electric Inc. Substrate processing apparatus, purging apparatus, method of manufacturing semiconductor device, and recording medium
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6094256B2 (ja) * 2013-02-22 2017-03-15 日新イオン機器株式会社 イオンビーム照射装置
US10784075B2 (en) 2013-02-22 2020-09-22 Nissin Ion Equipment Co., Ltd. Ion beam irradiation apparatus
US9236257B2 (en) * 2013-03-13 2016-01-12 Varian Semiconductor Equipment Associates, Inc. Techniques to mitigate straggle damage to sensitive structures
CN105103283B (zh) * 2013-03-15 2019-05-31 应用材料公司 用于小批量基板传送系统的温度控制系统与方法
KR101507557B1 (ko) * 2013-04-25 2015-04-07 주식회사 엔씨디 대면적 기판용 수평형 원자층 증착장치
CN104167377B (zh) * 2013-05-20 2017-07-04 北京北方微电子基地设备工艺研究中心有限责任公司 托盘冷却装置、方法、装载腔和半导体设备
CN104233226B (zh) * 2013-06-09 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 一种原子层沉积设备
JP6186000B2 (ja) * 2013-08-27 2017-08-23 株式会社日立国際電気 基板処理装置のメンテナンス方法、半導体装置の製造方法、基板処理装置、及び基板処理装置のメンテナンスプログラム
JP6334880B2 (ja) * 2013-10-03 2018-05-30 Jswアフティ株式会社 原子層堆積装置および原子層堆積方法
KR102173047B1 (ko) * 2013-10-10 2020-11-03 삼성디스플레이 주식회사 기상 증착 장치
CN105814677B (zh) * 2013-10-18 2019-06-18 布鲁克斯自动化公司 处理设备
US9514933B2 (en) 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10113236B2 (en) 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
JP6549765B2 (ja) * 2014-06-16 2019-07-24 東京エレクトロン株式会社 処理方法
JP6363408B2 (ja) * 2014-06-23 2018-07-25 東京エレクトロン株式会社 成膜装置および成膜方法
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10566226B2 (en) * 2014-11-11 2020-02-18 Applied Materials, Inc. Multi-cassette carrying case
US10490429B2 (en) 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
US9934992B2 (en) 2014-12-11 2018-04-03 Evatec Ag Chamber for degassing substrates
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016172003A1 (en) 2015-04-20 2016-10-27 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9935005B2 (en) * 2015-11-13 2018-04-03 Applied Materials, Inc. Techniques for filling a structure using selective surface modification
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
CN108292589B (zh) * 2015-11-23 2023-05-16 应用材料公司 在处理工具中的板载计量(obm)设计与影响
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017139483A1 (en) * 2016-02-12 2017-08-17 Tokyo Electron Limited Method and apparatus for multi-film deposition and etching in a batch processing system
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6800237B2 (ja) 2016-03-08 2020-12-16 エヴァテック・アーゲー 基板を脱ガスするためのチャンバ
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US20170298503A1 (en) * 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10204782B2 (en) * 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
KR102570269B1 (ko) 2016-07-22 2023-08-25 삼성전자주식회사 전세정 장치 및 기판 처리 시스템
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN109689930B (zh) * 2016-09-16 2022-07-29 皮考逊公司 用于原子层沉积的设备和方法
US20190116294A1 (en) * 2016-10-18 2019-04-18 Interdigital Vc Holdings, Inc. Method for detection of saturated pixels in an image
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
TWI742201B (zh) * 2016-12-02 2021-10-11 美商應用材料股份有限公司 整合式原子層沉積工具
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
FR3064283B1 (fr) 2017-03-22 2022-04-29 Kobus Sas Procede et dispositif reacteur pour la realisation de couches minces mettant en œuvre une succession d'etapes de depots, et applications de ce procede
US20180272390A1 (en) * 2017-03-24 2018-09-27 Applied Materials, Inc. Batch processing load lock chamber
KR101879123B1 (ko) * 2017-03-28 2018-07-16 에스케이실트론 주식회사 웨이퍼 연마 장치
WO2018184023A1 (en) 2017-03-31 2018-10-04 Duralar Technologies, Llc Systems and methods for coating surfaces
US11339464B2 (en) 2017-03-31 2022-05-24 Agm Container Controls, Inc. Plasma nitriding with PECVD coatings using hollow cathode ion immersion technology
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
JP7235678B2 (ja) * 2017-05-01 2023-03-08 アプライド マテリアルズ インコーポレイテッド 真空分離及び前処理環境を伴う高圧アニールチャンバ
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP7183187B2 (ja) 2017-05-16 2022-12-05 エーエスエム アイピー ホールディング ビー.ブイ. 誘電体上の酸化物の選択的peald
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR101856609B1 (ko) 2017-06-01 2018-05-14 세메스 주식회사 기판 처리 장치의 검사 방법
WO2018222771A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
US10043693B1 (en) * 2017-06-06 2018-08-07 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20190070639A1 (en) * 2017-09-07 2019-03-07 Applied Materials, Inc. Automatic cleaning machine for cleaning process kits
WO2019055415A1 (en) 2017-09-12 2019-03-21 Applied Materials, Inc. APPARATUS AND METHODS FOR MANUFACTURING SEMICONDUCTOR STRUCTURES USING A PROTECTIVE BARRIER LAYER
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10167558B1 (en) 2017-10-13 2019-01-01 International Business Machines Corporation Phase shifted gas delivery for high throughput and cost effectiveness associated with atomic layer etching and atomic layer deposition
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
WO2019094481A1 (en) 2017-11-11 2019-05-16 Micromaterials Llc Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10763134B2 (en) 2018-02-27 2020-09-01 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN111902929A (zh) 2018-03-09 2020-11-06 应用材料公司 用于含金属材料的高压退火处理
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
CN112088227B (zh) * 2018-05-12 2022-09-30 应用材料公司 具有整合遮件库的预清洁腔室
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20200000638A (ko) * 2018-06-25 2020-01-03 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN109518165B (zh) * 2018-07-02 2021-06-04 南京原磊纳米材料有限公司 一种原子层沉积批量生产设备
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN110724937A (zh) * 2018-07-16 2020-01-24 江苏迈纳德微纳技术有限公司 用于高纯薄膜沉积的原子层沉积系统
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020046567A1 (en) 2018-08-29 2020-03-05 Applied Materials, Inc. Chamber injector
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11177183B2 (en) * 2018-09-19 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Thickness measurement system and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
CN111190393B (zh) * 2018-11-14 2021-07-23 长鑫存储技术有限公司 半导体制程自动化控制方法及装置
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
SG11202108920SA (en) * 2019-02-19 2021-09-29 Veeco Instr Inc Automated batch production thin film deposition systems and methods of using the same
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11430672B2 (en) * 2019-03-04 2022-08-30 Applied Materials, Inc. Drying environments for reducing substrate defects
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11543296B2 (en) * 2019-05-31 2023-01-03 Applied Materials, Inc. Method and apparatus for calibration of substrate temperature using pyrometer
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
FI129628B (en) * 2019-09-25 2022-05-31 Beneq Oy Method and apparatus for processing a substrate surface
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
WO2021126697A1 (en) * 2019-12-20 2021-06-24 Applied Materials, Inc. Bake devices for handling and uniform baking of substrates
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11939666B2 (en) 2020-06-01 2024-03-26 Applied Materials, Inc. Methods and apparatus for precleaning and treating wafer surfaces
US20210398824A1 (en) * 2020-06-19 2021-12-23 Applied Materials, Inc. Batch wafer degas chamber and integration into factory interface and vacuum-based mainframe
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11854848B2 (en) * 2020-11-03 2023-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Air processing system for semiconductor container
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112813422B (zh) * 2020-12-30 2022-02-15 无锡邑文电子科技有限公司 一种基于腔体互联的沉积方法和沉积设备
US11935771B2 (en) 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers
US11935770B2 (en) 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers
CN113097105B (zh) * 2021-03-25 2023-11-21 浙江焜腾红外科技有限公司 二类超晶格制冷红外芯片干法刻蚀装置及刻蚀方法
JP7311553B2 (ja) * 2021-03-29 2023-07-19 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113881931A (zh) * 2021-10-11 2022-01-04 湘潭大学 一种cvd装置及其分散进气方法
US20230154766A1 (en) * 2021-11-18 2023-05-18 Applied Materials, Inc. Pre-clean chamber assembly architecture for improved serviceability
JP7375069B2 (ja) 2022-03-07 2023-11-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4657621A (en) * 1984-10-22 1987-04-14 Texas Instruments Incorporated Low particulate vacuum chamber input/output valve
NL8900544A (nl) * 1989-03-06 1990-10-01 Asm Europ Behandelingsstelsel, behandelingsvat en werkwijze voor het behandelen van een substraat.
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5447409A (en) * 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
EP0423608B1 (en) * 1989-10-20 1996-06-05 Applied Materials, Inc. Two-axis magnetically coupled robot
IL99823A0 (en) * 1990-11-16 1992-08-18 Orbot Instr Ltd Optical inspection method and apparatus
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
JP3255469B2 (ja) * 1992-11-30 2002-02-12 三菱電機株式会社 レーザ薄膜形成装置
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6395563B1 (en) * 1998-12-28 2002-05-28 Matsushita Electric Industrial Co., Ltd. Device for manufacturing semiconductor device and method of manufacturing the same
US6608689B1 (en) * 1998-08-31 2003-08-19 Therma-Wave, Inc. Combination thin-film stress and thickness measurement device
JP2000150618A (ja) * 1998-11-17 2000-05-30 Tokyo Electron Ltd 真空処理システム
US6539106B1 (en) * 1999-01-08 2003-03-25 Applied Materials, Inc. Feature-based defect detection
JP2000277237A (ja) * 1999-03-24 2000-10-06 Komatsu Ltd 基板温度制御プレート及びそれを備える基板温度制御装置
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6693708B1 (en) * 1999-09-07 2004-02-17 Applied Materials, Inc. Method and apparatus for substrate surface inspection using spectral profiling techniques
US6721045B1 (en) * 1999-09-07 2004-04-13 Applied Materials, Inc. Method and apparatus to provide embedded substrate process monitoring through consolidation of multiple process inspection techniques
US7012684B1 (en) * 1999-09-07 2006-03-14 Applied Materials, Inc. Method and apparatus to provide for automated process verification and hierarchical substrate examination
US6882416B1 (en) * 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
US6707545B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Optical signal routing method and apparatus providing multiple inspection collection points on semiconductor manufacturing systems
US6630995B1 (en) * 1999-09-07 2003-10-07 Applied Materials, Inc. Method and apparatus for embedded substrate and system status monitoring
US6813032B1 (en) * 1999-09-07 2004-11-02 Applied Materials, Inc. Method and apparatus for enhanced embedded substrate inspection through process data collection and substrate imaging techniques
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6364762B1 (en) * 1999-09-30 2002-04-02 Lam Research Corporation Wafer atmospheric transport module having a controlled mini-environment
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6306780B1 (en) * 2000-02-07 2001-10-23 Agere Systems Guardian Corp. Method for making a photoresist layer having increased resistance to blistering, peeling, lifting, or reticulation
US6488778B1 (en) * 2000-03-16 2002-12-03 International Business Machines Corporation Apparatus and method for controlling wafer environment between thermal clean and thermal processing
US6379095B1 (en) * 2000-04-14 2002-04-30 Applied Materials, Inc. Robot for handling semiconductor wafers
US7451774B2 (en) * 2000-06-26 2008-11-18 Applied Materials, Inc. Method and apparatus for wafer cleaning
JP4411751B2 (ja) * 2000-06-28 2010-02-10 アイシン精機株式会社 ギヤ部分付き平板状部材
US6744266B2 (en) * 2000-10-02 2004-06-01 Applied Materials, Inc. Defect knowledge library
JP3943828B2 (ja) * 2000-12-08 2007-07-11 東京エレクトロン株式会社 塗布、現像装置及びパターン形成方法
KR100375984B1 (ko) * 2001-03-06 2003-03-15 삼성전자주식회사 플레이트 어셈블리 및 이를 갖는 가공 장치
US6654698B2 (en) * 2001-06-12 2003-11-25 Applied Materials, Inc. Systems and methods for calibrating integrated inspection tools
US7082345B2 (en) * 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US6805137B2 (en) * 2001-08-27 2004-10-19 Applied Materials, Inc. Method for removing contamination particles from substrates
US6779226B2 (en) * 2001-08-27 2004-08-24 Applied Materials, Inc. Factory interface particle removal platform
US6725564B2 (en) * 2001-08-27 2004-04-27 Applied Materials, Inc. Processing platform with integrated particle removal system
US6684523B2 (en) * 2001-08-27 2004-02-03 Applied Materials, Inc. Particle removal apparatus
US6878636B2 (en) * 2001-08-27 2005-04-12 Applied Materials, Inc. Method for enhancing substrate processing
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP2003077974A (ja) * 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2003092329A (ja) * 2001-09-18 2003-03-28 Hitachi Kokusai Electric Inc 基板処理装置
JP2003203963A (ja) * 2002-01-08 2003-07-18 Tokyo Electron Ltd 搬送機構、処理システム及び搬送方法
US7006888B2 (en) * 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
JP2003264214A (ja) * 2002-03-07 2003-09-19 Hitachi High-Technologies Corp 真空処理装置及び真空処理方法
US20030192570A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
US20030192577A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US6803998B2 (en) * 2002-08-20 2004-10-12 Applied Materials, Inc. Ultra low cost position and status monitoring using fiber optic delay lines
US7163018B2 (en) * 2002-12-16 2007-01-16 Applied Materials, Inc. Single wafer cleaning method to reduce particle defects on a wafer surface
US7067818B2 (en) * 2003-01-16 2006-06-27 Metrosol, Inc. Vacuum ultraviolet reflectometer system and method
US7026626B2 (en) * 2003-01-16 2006-04-11 Metrosol, Inc. Semiconductor processing techniques utilizing vacuum ultraviolet reflectometer
JP2004241428A (ja) * 2003-02-03 2004-08-26 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US7179754B2 (en) * 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
KR100703833B1 (ko) * 2003-06-30 2007-04-05 주식회사 하이닉스반도체 이중 유전막을 구비한 캐패시터의 제조 방법
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI775275B (zh) * 2020-01-17 2022-08-21 台灣積體電路製造股份有限公司 半導體處理裝置、方法與系統
US11443966B2 (en) 2020-01-17 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing flow field control apparatus and method
TWI830013B (zh) * 2020-03-27 2024-01-21 日商國際電氣股份有限公司 基板處理裝置、半導體裝置之製造方法及基板處理方法

Also Published As

Publication number Publication date
KR20070089197A (ko) 2007-08-30
WO2006055984A2 (en) 2006-05-26
EP1824960A2 (en) 2007-08-29
TW200710948A (en) 2007-03-16
CN101061253B (zh) 2010-12-22
JP2008521261A (ja) 2008-06-19
WO2006055984A3 (en) 2006-08-24
CN101061253A (zh) 2007-10-24
US20120210937A1 (en) 2012-08-23
US20060156979A1 (en) 2006-07-20
US20100173495A1 (en) 2010-07-08

Similar Documents

Publication Publication Date Title
TWI335618B (en) Substrate processing apparatus using a batch processing chamber
KR101058326B1 (ko) 원자층 증착 및 화학기상 증착을 위한 배치 프로세싱 플랫폼
TWI529775B (zh) 負載閉鎖批式臭氧硬化
US5855465A (en) Semiconductor wafer processing carousel
US20070020890A1 (en) Method and apparatus for semiconductor processing
KR20200035186A (ko) 통합 에피택시 시스템 고온 오염물 제거
JP2002541657A (ja) 垂直にスタックされた処理チャンバーおよび単一軸二重ウエハー搬送システムを備えた半導体ウエハー処理システム
JP2006344949A (ja) 処理チャンバ及びウエハアニーリングシステム並びに半導体ウエハの処理方法
WO2006137287A1 (ja) 半導体装置の製造方法および基板処理装置
JP2010272875A (ja) 大領域ガラス基板のコーティング及びアニーリング方法
JP2006057162A (ja) バリア膜の形成方法
TW201246359A (en) Dry chemical cleaning for gate stack preparation
WO2019032457A1 (en) METHODS AND APPARATUSES FOR DEPOSITING LOW DIELECTRIC CONSTANT FILMS
JP2014518452A (ja) 気相成長システム用のプロセスガスディフューザ組立体
US20090212014A1 (en) Method and system for performing multiple treatments in a dual-chamber batch processing system
TWI827871B (zh) 基板處理裝置、半導體裝置之製造方法、基板處理程式及記錄媒體
WO2007132884A1 (ja) 半導体装置の製造方法および基板処理装置
JP2009049316A (ja) 半導体装置の製造方法および基板処理装置
JP5286565B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
US20180272390A1 (en) Batch processing load lock chamber
TW578215B (en) Method to produce components or its inter-products, vacuum-processing equipment and ultra-high-vacuum CVD-reactor
TW201426874A (zh) 基板處理裝置及半導體裝置的製造方法
KR20220157468A (ko) 뱃치 열 프로세스 챔버
JP2004055880A (ja) 基板処理装置
JPH09104983A (ja) 基板処理装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees