CN101061253A - 使用批式制程腔室的基材处理装置 - Google Patents

使用批式制程腔室的基材处理装置 Download PDF

Info

Publication number
CN101061253A
CN101061253A CNA2005800398499A CN200580039849A CN101061253A CN 101061253 A CN101061253 A CN 101061253A CN A2005800398499 A CNA2005800398499 A CN A2005800398499A CN 200580039849 A CN200580039849 A CN 200580039849A CN 101061253 A CN101061253 A CN 101061253A
Authority
CN
China
Prior art keywords
base material
chamber
processing procedure
substrate process
formula
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800398499A
Other languages
English (en)
Other versions
CN101061253B (zh
Inventor
兰多赫·撒库尔
史缔文·G·查那耶
约瑟夫·尤多夫斯基
阿朗·韦伯
阿达姆·A·布莱劳弗
尼尔·曼瑞
斐内·K·沙阿
安德列斯·G·贺格妲斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101061253A publication Critical patent/CN101061253A/zh
Application granted granted Critical
Publication of CN101061253B publication Critical patent/CN101061253B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45593Recirculation of reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers

Abstract

本发明的态样包含一种利用适于在一或多个批式及/或单一基材制程腔室中处理基材以增加该系统产能的多腔室制程系统(例如集结式机台)处理基材的方法及设备。在一实施例中,一系统是经配置以执行一基材制程程序,其仅含有批式制程腔室,或批式及单一基材制程腔室,以最佳化产能并最小化制程缺陷。在一实施例中,使用批式制程腔室来增加系统产能,通过在其中执行与该基材制程程序中其他制程配方步骤相比特别冗长的制程配方步骤。本发明的态样也包含一种传送前驱物至制程腔室的设备及方法,因此可重复执行ALD(原子层沉积)或CVD(化学气相沉积)沉积制程。

Description

使用批式制程腔室的基材处理装置
技术领域
本发明的实施例大体来说是有关于一种经配置以执行制程程序的整合式制程系统,其包含单一基材和批式沉积制程模组两者。
背景技术
形成半导体元件的制程一般是在多腔室制程系统中完成(例如集结式机台),其能够在受控制的制程环境中处理基材(例如半导体晶圆)。典型受控制的制程环境包含具有一主架构的真空系统,其容纳在与该主架构连接的负载锁定室和多个真空制程腔室间传送基材的基材传送自动控制系统。受控制的制程环境有许多益处,包含最小化传送期间和完成多种基材制程步骤期间基材表面的污染。因此在受控制的环境下执行制程会减少所产生的缺陷数量并改善元件良率。
基材生产制程的效力通常由两个相关且重要的因素权衡,即元件良率和持有成本(COO)。这些因素是重要的,因为其直接影响制造电子元件的成本,因而影响元件制造商在市场上的竞争力。虽然会受许多因素影响,但COO主要受系统和腔室产能影响,简单的说就是使用预期制程程序下每小时所处理的基材数量。一般制程程序是定义为在集结式机台中一或多个制程腔式内完成的元件制造步骤,或制程配方步骤的程序。一制程程序通常含有许多基材(或晶圆)生产制程步骤。若集结式机台中的基材产能不受限于机械臂,则最冗长的制程配方步骤就会限制到该制程程序的产能,增加COO,并且可能使预期的制程程序无法实施。
习知集结式机台制程程序使用适于执行预期半导体元件生产制程的数个单一基材制程腔室。用于习知生产制程的典型系统的产能,例如PVD(物理气相沉积)或CVD(化学气相沉积)设备,执行典型沉积制程通常是介于每小时30至60个基材间。对于两个或四个制程腔室系统来说,具有所有的典型前及后制程步骤代表着约1至2分钟的最大制程时间。可允许的最大制程步骤时间会依据同步制程数量或该系统中包含的多余腔室而改变。
业界对于缩小半导体元件尺寸以改善元件处理速度并降低元件产生的热的推动,导致业界对于制程变异性的容忍度降低。为符合这些较严格的制程要求,业界研发出一种符合较严格制程窗口(process window)要求的新式制程主机,但这些制程通常需要较长的时间来完成。例如某些ALD制程可能会需要从约10至约200分钟的腔室制程时间来在基材表面上沉积高品质沉积层,这会使基材制程程序产能成为每小时约0.3至约6个基材。在迫于元件效能要求而需选择此类制程的同时,在习知单一基材制程腔室中制造元件的成本会因为基材产能的低落而增加。此外,虽然可以在晶圆厂内增加更多机台以符合每周预期晶圆数量(wafer starts per week)(或每周基材数量),但是在不显著扩大晶圆厂大小及增加操作机台员工下增加制程腔室或机台数量通常是不切实际的,因为这些常是基材生产制程中最昂贵的支出。
由于半导体元件日益缩小的尺寸以及始终不断增加的对于元件效能的要求,对于元件生产制程一致性和再现性的可容忍变异量大幅度缩减。能够影响元件效能变异性和再现性的一个因素称为「等候时间(queue time)」。等候时间通常界定为在第一制程已经在基材上完成之后,必须在该基材上完成第二制程以避免某些不利因素影响所制造的元件效能之前,基材可暴露在环境或其他污染物下的时间。若基材暴露在环境或其他污染源下一段接近或超过可容许等待时间的时间,元件效能可能会被该第一和第二层间的接口污染所影响。因此,对于包含将基材暴露在环境或其他污染源中的制程程序而言,必须控制或最小化基材暴露在这些污染源下的时间,以避免元件效能变异。因此,有用的电子元件生产制程必须呈现均匀且再现的制程结果,最小化污染的影响,并且也符合预期产能要求,以列入在基材制程程序中使用的考虑。
因此,业界有对于一种能够处理基材而使其符合所要求的元件效能目标并增加系统产能,因而降低制程程序COO的系统、方法及设备仍有高度需求。
发明内容
本发明大体来说提供一种基材制程设备,其包含一工厂接口,其具有通常维持在常压下的传送区域,一冷却盘,适于加热及/或冷却一基材,一可批式处理的基材制程腔室,其是与该工厂接口的传送区域交流,以及一传送机械臂,位于该传送区域内,其是适于在该冷却盘和该可批式处理的基材制程腔室间传送一或多个基材。
本发明的实施例进一步提供一种基材制程设备,其包含一工厂接口,其具有通常维持在大气压力下的传送区域,一冷却盘,适于加热及/或冷却一基材,一可批式处理的基材制程腔室组件,其是与该工厂接口的传送区域交流,其中该可批式处理的基材制程腔室组件包含一基材制程区域,具有形成一内部制程空间的一或多个侧壁,一基材缓冲区域,具有形成一内部缓冲空间的一或多个侧壁,其中该基材缓冲区域与该基材制程区域相邻,以及一制程晶圆匣(process cassette),其是适于支撑两或多个基材,其中可利用一举升机构在该内部缓冲空间和该内部制程空间之间传送该制程晶圆匣,以及一传送机械臂,位于该传送区域内,其是适于在该冷却盘和该制程晶圆匣间传送一或多个基材。
本发明的实施例进一步提供一基材制程设备,其包含一晶圆盒(pod),其是适于容纳两或多个基材,一工厂接口,其具有通常维持在常压下的传送区域,一第一可批式处理的基材制程腔室组件,其是与该工厂接口的传送区域交流,其中该第一可批式处理的基材制程腔室组件包含一第一基材制程区域,具有形成一第一内部制程空间的一或多个侧壁,一第一传送区域,具有形成一第一内部缓冲空间的一或多个侧壁,其中该第一传送区域与该第一基材制程区域垂直相邻,以及一第一制程晶圆匣,其是适于支撑两或多个基材,其中可利用一举升机构在该第一内部缓冲空间和该第一内部制程空间之间传送该第一制程晶圆匣,一第二可批式处理的基材制程腔室组件,其是与该工厂接口的传送区域交流,其中该第二可批式处理的基材制程腔室组件包含一第二基材制程区域,具有形成一第二内部制程空间的一或多个侧壁,一第二传送区域,具有形成一第二内部缓冲空间的一或多个侧壁,其中该第二传送区域与该第二基材制程区域垂直相邻,以及一第二制程晶圆匣,其是适于支撑两或多个基材,其中可利用一举升机构在该第二内部缓冲空间和该第二内部制程空间之间传送该第二制程晶圆匣,一真空泵,其是适于降低是选自该第一内部制程空间、该第二内部制程空间、该第一内部缓冲空间、以及该第二内部缓冲空间的至少一个区域中的压力,以及一传送机械臂,位于该传送区域内,其是适于在该晶圆盒和该第一制程晶圆匣或第二制程晶圆匣间传送一或多个基材。
本发明的实施例进一步提供一基材制程设备,其包含一工厂接口,其具有通常维持在常压下的传送区域,两或多个可批式处理的基材制程腔室,每一个皆与该传送区域交流,其中该两或多个可批式处理的基材制程腔室包含一基材制程区域,具有形成一内部制程空间的一或多个侧壁,一基材缓冲区域,具有形成一内部缓冲空间的一或多个侧壁,其中该基材缓冲区域与该基材制程区域垂直相邻,一制程晶圆匣,其是适于支撑两或多个基材,其中可利用一举升机构在该内部缓冲空间和该内部制程空间之间传送该制程晶圆匣,以及一挡板,位于该基材制程区域和该基材缓冲区域间,其是适于可密封地设置以隔离该内部制程空间和该内部缓冲空间,一冷却盘,其是位于该工厂接口的该传送区域中,以及一机械臂,装设在该传送腔室中,其是适于在该冷却盘和该两或多个可批式处理的基材制程腔式间传送基材。
本发明的实施例进一步提供一种基材制程设备,其包含一晶圆盒,其是适于容纳两或多个基材,一工厂接口,其具有通常维持在常压下的传送区域,一可批式处理的基材制程腔室组件,其是与该工厂接口的传送区域交流,其中该可批式处理的基材制程腔室组件包含一基材制程区域,具有形成一内部制程空间的一或多个侧壁,一基材缓冲区域,具有形成一内部缓冲空间的一或多个侧壁,其中该基材缓冲区域与该基材制程区域垂直相邻,一制程晶圆匣,其是适于支撑两或多个基材,以及一举升机构,其是适于在该内部缓冲空间和该内部制程空间之间传送该制程晶圆匣,一第一腔室,包含一第一冷却盘,其是适于加热及/或冷却一基材,以及一第一机械臂,其是适于在该第一冷却盘和该制程晶圆匣间传送一或多个基材,一单一基材制程腔室,其是与该传送区域交流,其中该单一基材制程腔室具有形成一单一基材内部制程空间的一或多个侧壁,一第二腔室,包含一第二冷却盘,其是适于加热及/或冷却一基材,以及一第二机械臂,其是适于在该第二冷却盘和该单一基材制程腔室间传送一或多个基材,以及一第三机械臂,位于该传送区域内,并且适于在该第一腔室、该第二腔室、和该晶圆盒间传送一或多个基材。
附图说明
因此可以详细了解上述本发明的特征的方式,即对本发明更明确的描述,简短地在前面概述过,可以通过参考实施例来得到,其中某些在附图中示出。但是需要注意的是,附图仅示出本发明的一般实施例,因此不应被认为是对其范围的限制,因为本发明可允许其他等效实施例。
图1是半导体制程用的典型先前技术制程系统的平面图,其中可使用本发明而受益。
图2A是含有适于半导体制程用的一批式制程腔室和一单一制程腔室的典型制程系统的平面图,其中可使用本发明而受益。
图2B是含有适于半导体制程用的两个批式制程腔室和一单一制程腔室的典型制程系统的平面图,其中可使用本发明而受益。
图2C是含有适于半导体制程用的一批式制程腔室和一单一制程腔室的典型常压传送制程系统的平面图,其中可使用本发明而受益。
图2D是含有适于半导体制程用的一批式制程腔室和两个单一制程腔室的典型常压传送制程系统的平面图,其中可使用本发明而受益。
图2E是含有适于半导体制程用的两个批式制程腔室的典型常压传送制程系统的平面图,其中可使用本发明而受益。
图2F是含有适于半导体制程用的两个批式制程腔室的典型常压传送制程系统的平面图,其中可使用本发明而受益。
图2G是含有可适于半导体制程用的一批式制程腔室的典型常压传送制程系统的侧剖面图,其中可使用本发明而受益。
图2H是含有可适于半导体制程用的一批式制程腔室的典型常压传送制程系统的侧剖面图,其中可使用本发明而受益。
图2I是含有适于半导体制程用的一批式制程腔室的典型制程系统的平面图,其中可使用本发明而受益。
图3是根据本发明的批式制程腔室的侧面图。
图4是图3的批式制程腔室的上视图。
图5是图3的批式制程腔室的底视图。
图6是晶圆匣在载入/载出位置上的图3的批式制程腔室的剖面图(未示出底部加热器)。
图7是晶圆匣在制程位置上的图3的批式制程腔室的剖面图(未示出底部加热器)。
图8是图3的批式制程腔室的腔室上半部分的剖面上视图。
图8A是图8的批式制程腔室的腔室上半部分的一侧壁的剖面上视图。
图8B是具有半圆形热遮罩(heat shields)的图3的批式制程腔室的腔室上半部分的剖面上视图。
图9是图3的批式制程腔室的腔室的气体输送和排气岐管部分的简要图示。
图10是用来将制程气体输送至图3的批式制程腔室中的前驱物输送系统的简要图示。
图10A是用来将制程气体输送至图3的批式制程腔室中的前驱物输送系统的简要图示。
图11是一先前技术批式制程垂直扩散炉腔室的剖面图。
图12是对流型前区物气体流经图3的批式制程腔室的简要图示。
图13A是一典型制程系统的平面图,其简要示出一基材制程程序的基材传送路径,其中可使用本发明而受益。
图13B是一典型制程系统的平面图,其简要示出一基材制程程序的基材传送路径,其中可使用本发明而受益。
图13C是一典型制程系统的平面图,其简要示出一基材制程程序的基材传送路径,其中可使用本发明而受益。
图13D是一典型制程系统的平面图,其简要示出一基材制程程序的基材传送路径,其中可使用本发明而受益。
图13E是一典型制程系统的平面图,在图2C中示出,其简要示出一基材制程程序的基材传送路径,其中可使用本发明而受益。
图13F是一典型制程系统的平面图,在图2C中示出,其简要示出一基材制程程序的基材传送路径,其中可使用本发明而受益。
图14A示出在图13A所示的基材制程程序中使用的制程配方步骤。
图14B示出在图13B所示的基材制程程序中使用的制程配方步骤。
图14C示出在图13C所示的基材制程程序中使用的另一组制程配方步骤。
图14D示出在图13D所示的基材制程程序中使用的另一组制程配方步骤。
图14E示出在图13E所示的基材制程程序中使用的另一组制程配方步骤。
图14F示出在图13F所示的基材制程程序中使用的另一组制程配方步骤。
图15A是可利用本发明实施例形成的电容结构的剖面图。
图15B是图15A所示的电容结构的一个区域的放大图。
图15C示出用来形成图15A所示的电容结构的一组制程配方,并且是依照图15D所示的制程程序。
图15D是一典型制程系统的平面图,其简要示出一基材制程程序的基材传送路径,其中可使用本发明而受益。
主要元件符号说明
1基材                        1A沟槽
2下导电层                    3、3A-C介电层
4上导电层                    5电容结构
6制程程序                    10腔室壁
11加热来源                   12基材支撑
13气体入口                   14气体出口
20反应腔室                           22真空腔室
22a制程空间                          22b缓冲空间
24密封结构                           32顶板
34、100a、100b侧壁                   36狭缝阀开口
38底板                               46、186晶圆匣
48、64支杆                           54封缝剂
60密封盘                             61石英环
62承座                               66举升杆
100集结式机台                        102系统控制器
104前端环境                          104A传送区域
105A-F晶圆盒                         106A-B负载锁定室
108A-B工厂接口机械臂
109A SCARA机械臂                     109B、161机械臂片
109C、163机械臂垂直运动组件
110中央传送腔室                      111A-C腔室装设表面
113、162机械臂                       113A叶片组件
113B手臂组件
113C机械臂驱动组件
114A-D位置                           116A-B公设腔室
150A-C缓冲腔室                       152A-B缓冲/冷却位置
153冷却盘                            153A批式制程元件
154A-B基材传送机构                   156狭缝阀
157A-C真空泵                         171真空泵系统
181挡板                              182挡板储存区域
183密封构件                          185导热隔板
190过滤单元                          191过滤器
192风扇单元                          193基部
200气体注入岐管组件                  201批式制程腔室
202A-C单一基材制程腔室
203进气导管                         204混合腔室
206气流控制元件                     208端口
210注入盘                           300排气岐管组件
351排气室                           352排气盘
353排气流量控制元件                 354排气端口
355排气线                           356闸阀
357节流阀                           400、507、550加热结构
401石英窗                           402卤素灯
410、411垫片                        412垫条
420石英条                           422阻热板
424夹钳                             425螺栓
426A-B垫圈                          442、446通道
500气体输送模组                     501A-B制程气体来源
502惰性气体来源                     503A终线阀
505A-B、562进气线                   512安瓶气体来源
520安瓶                             525泵
530蒸发器                           535隔离阀
537清除阀                           540集气瓶组件
541阻抗加热元件                     542加热器控制器
543气瓶                             544、570感应器
546进气管                           548出气管
560再循环系统                       561再循环集气瓶
562再循环进气线                     563温度控制器
564再循环排气线                     565气体来源
566再循环排气阀                     567再循环进气阀
568加热/冷却元件                    569安瓶隔离阀
572热控制系统                       600举生及旋转机构
601旋转马达                         700举升机构
A1-A6、B1-B7、C1-C4、E1-E4、F1-F4、G1-G8、FI1-FI3
传送路径
具体实施方式
本发明大体来说提供一种利用适于在一或多个批式及单一基材制程腔室中处理基材以增加该系统产能的多腔室制程系统(例如集结式机台)处理基材的设备及方法。批式制程腔室一词,或可批式处理的制程腔室,意欲概括描述可同时处理两个或更多个基材的腔室。在一实施例中,使用一批式制程腔室来增加系统产能,通过在其中执行与在该集结式机台中执行的该基材制程程序中其他制程配方步骤相比特别冗长的制程配方步骤。在另一实施例中,使用两个或多个批式腔室来处理使用一制程程序中一或多个特别冗长的制程步骤的多个基材。在本发明的一态样中,利用一系统控制器来控制在该批式制程腔室中处理的基材数量(或批量(lot size)),以最佳化制程程序系统产能,同时最小化基材在该批式制程腔室处理后,在下一个制程腔室中处理前的闲置时间。一般来说,下一个制程腔室可以是另一个批式制程腔室或是一单一基材制程腔室。本发明在下面参考可从加州圣塔克拉拉的应用材料公司的一部门,FEP取得的Centura RTM机台例示地描述。
本发明的实施例在能够在单一基材制程腔室和批式型制程腔室中处理基材的集结式机台中特别有优势。集结式机台是含有多个在电子元件生产制程中执行各种功能的腔室的模组系统。如图1所示,多个腔室是经装设在一中央传送腔室110上,其容纳适于在该等腔室间往返传送晶圆的机械臂113。通常该传送腔室110是维持在真空条件下,并且提供往返传送的晶圆从一腔室至另一个及/或至位于该集结式机台前端的负载锁定室间的中介阶段。
图1是电子元件制程用的一典型集结式机台100的平面图,其中可使用本发明而受益。两个此类平台是Centura RTM和Endura RTM,两者皆可从加州圣塔克拉拉的应用材料公司取得。一此类分段式真空基材制程系统的细节在1993年2月16号核准的Tepman等的标题为「分段式真空基材制程系统和方法(Staged-Vacuum Substrate Processing System andMethod)」的美国专利第5,186,718号中揭示。腔室的确切配置和组合可为了执行生产制程的特定步骤而改变。
根据本发明的态样,该集结式机台100一般含有数个腔室及机械臂,并且较佳地配备有经程式化以控制并执行该集结式机台100中的各种制程方法和程序的系统控制器102。图2A示出一实施例,其中一批式制程腔室201是装设在该传送腔室110的位置114A处,并且三个单一基材制程腔室202A-C是装设在该传送腔室110的位置114B-D处。可将该批式制程腔室201安置在一或多个其他位置上,例如位置114B-D,以改善系统设计的硬件整合面向或改善基材产能。在某些实施例中,并非所有的位置114A-D皆被占据,以降低该系统的成本或复杂度。
图2B示出具有两个批式腔室201的实施例,其是装设在该等位置114A-D的两个上,而其他位置可含有单一基材制程腔室。虽然图2B示出装设在位置114A和114D处的两个批式制程腔室201,但此配置并不意欲限制本发明的范围,因为批式制程腔室的位置或数量并不受限于在此所述的本发明的各态样,因此可将一或多个批式腔室安置在该等位置114A-D的任一者上。
参见第2A和2B图,示出位于选择性的与一对负载锁定室106交流的选择性的前端环境104(在此也称为工厂接口或FI)。配置在该前端环境104的传送区域104A中的工厂接口机械臂108A-B能够线性、旋转、以及垂直移动以在该等负载锁定室106和装设在该前端环境104上的数个晶圆盒105间往返传送基材。该前端环境104一般是用来将晶圆从位于数个晶圆盒105中的晶圆匣(未示出)通过一常压清洁环境/封围(enclosure)传送至某些预期位置,例如制程腔室(例如,负载锁定室106、基材缓冲/冷却位置152、批式制程腔室201、及/或单一基材制程腔室202)。该前端环境104的传送区域104A中的清洁环境通常是利用空气过滤处理来提供,例如使空气通过高效率微粒空气(HEPA)过滤器,例如。前端环境,或前端工厂接口,可由加州圣塔克拉拉的应用材料公司购得。
负载锁定室106在该前端环境104和一传送腔室110间提供一第一真空接口。在一实施例中,提供两个负载锁定室106来增加产能,通过轮流与该传送腔室110和该前端环境104交流的方式。因此,当一个负载锁定室106与该传送腔室110交流时,第二负载锁定室106可与该前端环境104交流。在一实施例中,该负载锁定室106是一批式型负载锁定室,其可从该工厂接口接收两个或多个基材,在该腔室密封时留置该等基材,然后排空至足够低的真空水准以将该等基材传送至该传送腔室110。较佳地,该批式负载锁定室可同时留置25至50个基材。在一实施例中,该负载锁定室106A-B可适于在该集结式机台中处理过后冷却该等基材。在一实施例中,留置在该负载锁定室中的该等基材可利用从一气体来源进气口(未示出)至一排气口(未示出)的气体流动所引起的对流来冷却,两者皆装设在该负载锁定室中。在另一实施例中,该负载锁定室可安装有包含可以冷却的数个导热隔板(未示出)的负载锁定室晶圆匣。该等隔板可嵌插在留置在该晶圆匣中的该等基材间,而使一缝隙存在于该等隔板和该等基材间。在此实施例中,该等隔板辐射冷却该等基材,因此提供该等基材均匀的加热或冷却,而避免该等基材的损伤或翘曲。在另一实施例中,该等隔板接触该基材表面以通过将热从其表面传开的方式冷却基材。
在一实施例中,该集结式机台100是适于在常压(例如760托耳)或接近常压的压力下处理基材,因此,不需要负载锁定室106A-B做为该工厂接口和该传送腔室110间的中介腔室。在此实施例中,该工厂接口机械臂108A-B会直接将晶圆”W”传送至该机械臂113(未示出),或者该工厂接口机械臂108A-B可将该基材”W”传送至一传递腔室(pass-throughchamber)(未示出),其取代该等负载锁定室106A-B,因此该机械臂113和该工厂接口机械臂108A-B可交换基材。可持续以惰性气体清洁该传送腔室110以最小化氧气、水、及/或其他污染物在传送腔室110、装设在位置114A-D上的制程腔室和公设腔室(service chamber)116A-B内的分压。可用的惰性气体包含,例如,氩气、氮气、或氦气。可在传送腔室110、公设腔室116A-B、及/或装设在位置114A-D上的制程腔室上添加数个狭缝阀(未示出),以将每一个位置与其他位置隔离开,因此每一个腔室可以个别排空以在制程程序期间执行真空制程。
一机械臂113是经配置在该传送腔室110中央,以将基材从负载锁定室106传送至装设在位置114A-D上的各个制程腔室和公设腔室116A-B之内。该机械臂113一般含有一叶片组件113A、手臂组件113B,其是与该机械臂驱动组件113C连接。该机械臂113是适于利用该系统控制器102传来的指令将基材”W”传送至各个制程腔室。可适于从本发明受益的机械臂组件在共同让渡的1994年8月30号提出申请的标题为「双轴磁性耦合机械臂(Two-axis magnetically coupled robot)」的美国专利第5,469,035号;1994年4月11号提出申请的标题为「机械臂组件(Robot Assembly)」的美国专利第5,447,409号;以及2000年4月14号提出申请的标题为「处理半导体基材的机械臂(Robot For Handing Semiconductor Substrates)」的美国专利第6,379,095号中揭示,其在此通过引用其全文的方式并入本文中。
参见第2A和2B图,装设在位置114A-D之上的该等制程腔室202A-C可执行任何数量的制程,例如预清洁、PVD、CVD、ALD、去耦合等离子体氮化(DPN)、快速热回火(RTP)、量测技术(例如微粒量测等)和蚀刻,同时该等公设腔室116A-B是适于除气、定位、冷却及诸如此类者。在一实施例中,该制程顺序是适于形成高介电常数电容结构,其中制程腔室202可以是DPN腔室、能够沉积多晶硅的CVD腔室、及/或能够沉积钛、钨、钽、铂、或钌的MCVD腔室。
在本发明的一态样中,一或多个单一基材制程腔室202A-C可以是RTP腔室,其可用来在执行批式沉积步骤之前或之后回火基材。可利用RTP腔室及可从加州圣塔克拉拉的应用材料公司购得的相关制程硬件来执行RTP制程。在本发明的另一态样中,一或多个单一基材制程腔室202A-C可以是CVD腔室。此类CVD制程腔室的实例包含可从加州圣塔克拉拉的应用材料公司购得的DXZTM腔室、Ultima HDP-CVDTM腔室和PRECISION 5000腔室。在本发明的另一态样中,一或多个单一基材制程腔室202A-C可以是PVD腔室。此类PVD制程腔室的实例包含可从加州圣塔克拉拉的应用材料公司购得的EnduraTM PVD制程腔室。在本发明的另一态样中,一或多个单一基材制程腔室202A-C可以是DPN腔室。此类DPN制程腔室的实例包含可从加州圣塔克拉拉的应用材料公司购得的DPN CenturaTM腔室。在本发明的另一态样中,一或多个单一基材制程腔室202A-C可以是制程/基材量测腔室。在制程/基材量测腔室内完成的制程可包含,但不限于,微粒量测技术、残余气体分析技术、XRF(X光萤光)技术、以及用来测量膜厚及/或薄膜成份的技术,例如,椭圆测量技术(ellipsometry techniques)。
图2C示出一集结式机台100的一实施例的上视图,其含有一批式制程腔室201和一单一基材制程腔室202,其是经配制为直接与该前端环境104交流。在此配置中,将第2A-2B图所示的该中央传送腔室110和机械臂113从该集结式机台100移出以降低成本及/或系统复杂度。在一实施例中,该集结式机台100一般会有批式腔室201、前端环境104、与该批式腔室201和该前端环境104交流的缓冲腔室150(见物件150A)、单一基材制程腔室202、与该单一基材制程腔室202和该前端环境104交流的缓冲腔室150(见物件150B)、以及系统控制器102。在一实施例中,该前端环境104是与惰性气体来源(未示出)交流,以清洁和最小化该前端环境104的传送区域104A中某些污染物(例如氧气、水等)的分压。
该缓冲腔室(例如元件150A、150B)一般含有一基材缓冲/冷却位置152和一基材传送机构154。在本发明的另一态样中,该缓冲腔室是与惰性气体来源(未示出)交流,以清洁和最小化该缓冲腔室中某些污染物(例如氧气、水等)的分压。在一实施例中,该缓冲腔室150在该前端环境104和该缓冲腔室150间的接口处包含一狭缝阀156,及/或在该缓冲腔室150和该单一基材或批式基材制程腔室间的接口处包含一狭缝阀156,因此该缓冲腔室150可与该前端环境及/或该单一基材或批式基材制程腔室隔离。适于与在此所述的实施例并用的狭缝阀在共同让渡的1992年4月10号提出申请的美国专利第5,226,632号;以及1987年4月20号提出申请的美国专利第4,785,962号中揭示,两者皆在此通过引用其全文的方式并入本文中。在本发明的一态样中,该缓冲腔室150可进一步适于与一真空泵交流(例如元件157A或157B),以排空该缓冲腔室150,因此最小化该缓冲腔室150中的某些污染物(例如氧气、水等)的浓度。该真空泵依照需要可以是涡轮泵、粗抽泵(rough pump)、及/或鼓风机(Roots BlowerTM),以达到预期的腔室制程压力。
在一实施例中,该缓冲/冷却位置152包含一冷却盘153,其是用来主动冷却在该单一基材或批式制程腔室中处理后的基材,因此该工厂接口机械臂108能够确实处理该等基材,并且最小化将热基材暴露在环境污染下的不利影响。在本发明的一态样中,该缓冲/冷却位置152也可包含一举升组件(未示出),其可将基材从该工厂接口机械臂108,或者是该基材传送机构154接收过来,并且可升降该基材以与该冷却盘153接触。该冷却盘153可利用温度受到控制的热交换流体或利用热电元件主动冷却。该基材传送机构154一般是一习知的机械臂,其适于利用该系统控制器102传来的指令将基材传送往返该缓冲/冷却位置152和连接的基材制程腔室。
图2D示出集结式机台100的一实施例的上视图,其含有如上在图2C描述及示出的所有元件,再加上一额外的单一基材制程腔室(例如元件202B),其是经配置以直接与该前端环境104交流。在一态样中,一缓冲腔室150C是经安置在该单一基材制程腔室202B和该前端环境104间,并且可以利用该真空泵157C排空至真空压力。一般来说,本发明的实施例预期到与该前端环境104直接交流的至少一或多个批式制程腔室201及一或多个单一基材制程腔室202的配置。在另一实施例中,该集结式机台100可包含一或多个晶圆盒105、工厂接口机械臂108、缓冲腔室150及批式制程腔室201。在另一实施例中,该集结式机台100可包含一或多个晶圆盒105(例如元件105A-F)、工厂接口机械臂108、以及一或多个批式制程腔室201。
图2E示出集结式机台100的一实施例的上视图,其含有两或多个制程腔室(例如元件201),其是经配置以直接与该前端环境104交流。在此配置中,该缓冲腔室(元件150)是该传送区域104A的一部分。因此,如图2E所示,该前端环境104含有该缓冲/冷却位置152及该基材传送机构154。虽然图2E示出两个批式制程腔室201,但此配置并不欲限制本发明的范围。在一实施例中,该集结式机台100一般含有前端环境104、系统控制器102、和与该前端环境104的传送区域104A交流的两个批式腔室201。在一态样中,一狭缝阀156可可密封地设置在一或多个批式制程腔室201的缓冲空间22b(图3)和该传送区域104A间,以隔离该等批式制程腔室201内部空间中的零组件和该前端环境104。
在该机结式机台100的一态样中,如图2E所示,该缓冲/冷却位置152上的冷却盘153及该基材传送机构154是设置在该传送区域104A中,以改善可服务性并降低该集结式机台100的成本及复杂度。一般来说,在此配置中,该工厂接口机械臂(元件108A和108B)是适于在该等晶圆盒(元件105A-105D)的一和该缓冲/冷却位置(元件152A或152B)之间传送基材,而该基材传送机构(元件154A或154B)则适于在其各自的缓冲/冷却位置(元件152A或152B)和其相关的批式制程腔室201间传送一或多个基材。在一态样中,只使用一个基材传送机构(未示出)来在该缓冲/冷却位置(元件152A或152B)和任一个批式制程腔室201间传送基材。
图2F示出一实施例的上视图,其中该集结式机台100含有如上在图2E描述及示出的所有元件,减去该等基材传送机构154。在此配置中,该等基材是利用一或多个工厂接口机械臂(例如108A、108B)在该等制程腔室(元件201)、该等缓冲/冷却位置(元件152A或152B)及该等晶圆盒(元件105A-105D)间传送。此配置在降低系统成本、复杂度和集结式机台装置面积(footprint)上是有用的。
图2G是该集结式机台100的垂直剖面图,其意欲示出图2E所示的配置的一实施例。在此配置中,如上所注,该集结式机台100一般含有一或多个晶圆盒105、前端环境104和适于与该前端环境104直接交流的一或多个制程腔室(例如示出元件201)。该前端环境104,如所示般通常可含有一或多个工厂接口机械臂108、一或多个缓冲/冷却位置152、以及一或多个基材传送机构154。在一态样中,该前端环境104也含有一过滤单元190,其可包含过滤器191,例如HEPA过滤器,以及风扇单元192。该风扇单元192是适于迫使空气通过该过滤器191、该传送区域104A并离开该前端环境104的基部193。该等工厂接口机械臂108一般可含有习知SCARA机械臂109A、习知机械臂片109B及习知机械臂垂直运动组件109C,其是适于将基材从一晶圆盒105传送至位于该前端环境104内的另一预期位置上。
在该前端环境104的一实施例中,每一个缓冲/冷却位置152是适于利用批式制程元件153A同时处理数个基材。在一态样中,该等基材”W”是经设置在该批式制程元件153A的一晶圆匣186中,其包含数个导热隔板185(例如图2H示出9个),其可利用习知热电元件或习知热交换元件来加热或冷却,例如流体热交换器。该等隔板185是嵌插在留置在该晶圆匣186中的该等基材”W”间,使得该等隔板和该等基材间留有缝隙,而让该等晶圆可以有效率地机械传送往返该等隔板185。该等隔板185一般是适于利用辐射、对流及/或传导型热传送均匀加热或冷却该等基材,以避免制程基材的损伤或翘曲。在一态样中,该批式制程元件153A是适于同时加热或冷却约1和约100个间的基材,并且更佳地同时处理约2和约50个间的基材。
在该前端环境104的一实施例中,一或多个基材传送机构154是适于同时传送数个基材。在一态样中,如图2G所示者,该等基材传送机构154包含习知机械臂162(例如SCARA机械臂)、数个机械臂片161(例如五个)、以及可适于在该缓冲/冷却位置152和位于该批式制程腔室201的缓冲空间22b(在下面讨论)中的晶圆匣46(在下面讨论;见图6)间传送位在每一个机械臂片161上的一或多个基材的习知垂直运动组件163。在此配置中,该基材传送机构154因此是与该晶圆匣46和该缓冲/冷却位置152腔室交流,并且适于同时传送多个基材。该狭缝阀156,适于在制程期间真空隔离该批式制程腔室201的缓冲空间22b与该传送区域104A,可利用促动器(未示出)移开,而使该基材传送机构154可以进入形成在该缓冲空间22b内的该狭缝阀开口36,以近接安置在该晶圆匣46内的数个基材。
在一实施例中,该集结式机台100仅含有与各个自动化零组件交流的批示制程腔室,因此使用者界定的制程程序可利用该些批示制程腔室执行。图2I示出集结式机台100的一实施例,其含有三个与一传送腔室110连接的批式制程腔室。在一态样中,该传送腔室110是利用真空泵(未示出)维持在真空条件下。此配置可具有许多优势,包含最小化传送期间基材表面的污染,并且也通过群集能够执行预期制程程序的多个批式制程腔室来增加系统产能。在受控制的环境下处理因此降低所产生的缺陷数量,并改善元件良率。
图2I,示出含有传送腔室110(例如三个腔室装设表面111A-C)、机械臂113、三个批式制程腔室201、前端环境104以及两个晶圆盒105的集结式机台100的一实施例。在此配置中,该批式制程腔室是装设在该传送腔室110的位置114A-C上。虽然图2I示出三个装设在位置114A-C上的批式制程腔室201,但此配置并不意欲限制本发明的范围,因为该传送腔室上的位置的数量和地点或是批式制程腔室的数量并不意欲限制在此所述的本发明的各个态样。此配置可以预期地改善系统设计的硬件整合面向、降低系统复杂度及/或降低系统成本。装设在该等位置114A-C上的该等批式制程腔室201可适于执行任何数量的制程,例如,ALD、CVD、快速热制程(RTP)、蚀刻及/或冷却。
参见图2I,设置一选择性的前端环境104,而使其与一对负载锁定室106(在上面描述)选择性交流。该工厂接口机械臂108,其是配置在该前端环境104中,能够线性、旋转、和垂直移动以在该等负载锁定室106和装设在该前端环境104上的数个晶圆盒105间往返传送基材。一机械臂113是经配置在该传送腔室110中央,以在真空下将基材从该等负载锁定室106传送至装设在位置114A-C上的各个制程腔室之内。该机械臂113通常含有与该机械臂驱动组件113C连接的叶片组件113A、手臂组件113B。该机械臂113是适于利用该系统控制器102传来的指令将基材”W”传送至各个制程腔室。
在一实施例中,图2I所示的该集结式机台100可适于在常压(例如760托耳)或接近常压的压力下处理基材,因此,不需要负载锁定室106A-B做为该工厂接口和该传送腔室110间的中介腔室。可持续以惰性气体清洁该传送腔室110以最小化氧气、水、及/或其他污染物在传送腔室110和装设在位置114A-C上的批式制程腔室201内的分压。可在传送腔室110上添加数个狭缝阀(未示出),以将每一个位置与其他位置隔离开,因此每一个腔室可以个别排空以在制程程序期间执行真空制程。
该系统控制器102一般是经设计以促进整个系统的控制及自动化,并且通常可包含中央处理单元(CPU)(未示出)、存储器(未示出)、以及支持电路(或I/O)(未示出)。该CPU可以是在工业设定中用来控制各种系统功能、腔室制程和支持硬件(例如侦测器、机械臂、马达、气体来源硬件等),并且监控该系统及腔室制程(例如腔室温度、制程程序产能、腔室制程时间,I/O信号等)的任何类型的电脑处理器的一种。该存储器与该CPU连接,并且可以是一或多种可轻易取得的存储器,例如随机存取存储器(RAM)、只读存储器(ROM)、软碟、硬碟、或任何其他类型的数位储存,原位或远端的。软体指令和数据可以编码并储存在存储器中以指挥该CPU。该等支持电路也与该CPU连接,以运用习知方式支持该处理器。该等支持电路可包含快取、电源供应器、时脉电路、输入/输出电路、子系统、及诸如此类者。可由该控制器102读取的程式(或电脑指令)决定可在一基材上执行何种操作。较佳地,该程式是可由该控制器102读取的软体,其包含程式码以执行与监控和执行该等制程程序操作和各种腔室制程配方步骤相关的操作。
在一实施例中,该系统控制器102是适于监控及控制在该集结式机台100中处理的基材的等候时间。最小化基材在第一制程腔室中(例如单一基材制程腔室202A或批式制程腔室201)处理之后,在其于下一个制程腔室中处理前的等候时间可帮助控制并最小化暴露在污染来源下对元件效能的影响。此实施例在与第13E-F中所示及描述的各实施例共用时是特别有优势的。在本发明的一态样中,该系统控制器是适于控制在该批式制程腔室201内处理的批次数量(例如批量),以最小化该批的最后一个基材在其可于下一个制程腔室中处理前必须等待的时间。在本发明的另一态样中,该控制器102控制制程配方步骤开始或结束的时间,以最佳化系统产能并减少任何等候时间的议题。例如,控制单一基材制程腔室202开始处理基材的时间以最小化该基材在其制程已经结束至下一个制程腔室,例如批式制程腔室201准备好接受该经处理的基材的必须等待的时间。
批式腔室硬件
该批式制程腔室201,虽然在下方主要描述为ALD或CVD腔室,也可适于执行批式等离子体氧化制程,或益于同时执行数个基材以达到某些预期制程效果的其他半导体制程。
在一实施例中,该批式制程腔室201是CVD腔室,其是经配置以沉积金属层、半导体层及/或介电材料层。用来执行此类制程的硬件和方法的实例在1997年8月11号提出申请的标题为「迷你批式制程腔室(Mini-batch Process Chamber)」的美国专利第6,352,593号,以及2002年8月9号提出申请的标题为「在小批式反应器中于低压下的高速率沉积(High Rate Deposition At low Pressure In A Small Batch Reactor)」的美国专利申请案第10/216,079号中进一步描述,其在此通过引用其全文的方式并入本文中。在另一实施例中,该批式制程腔室201是ALD腔室,其是经配置以沉积金属层、半导体层及/或介电材料层。
图3是一例示批式制程腔室201的侧视图。该批式制程腔室201包含具有制程空间22a,或基材制程区域,以及缓冲空间22b,或基材缓冲区域,的真空腔室22。一般来说,该缓冲空间22b是用来将基材嵌入并移出批式制程腔室201,并且制程空间22a是用来做为制程腔室。制程空间22a,或基材制程区域,以及缓冲空间22b,或基材缓冲区域,是焊接在一起或拴在一起并利用密封结构24或其他习知方法真空密封。在一实施例中,该制程空间22a和该缓冲空间22b以及所有相关硬件的方位可以互换,而使该缓冲空间22b设置在该制程空间22a上方,或与其垂直相邻(未示出)。垂直相邻的方位,其中该制程空间22a设置在该缓冲空间22b上方,或是该缓冲空间22b设置在该制程空间22a上方,可以是有利的,因为其降低该集结式机台相对于水平相邻方位的装置面积,这常是半导体生产机台的一个很重要的设计考量。在此所示并描述的该制程空间22a和该缓冲空间22b的方位并不意欲限制本发明的范围。
图4是图3所示的批式制程腔室201的上视图。该制程空间22a,如图4所示,具有四个侧壁100a及四个侧壁100b,所有的侧壁皆可通过再循环热交换流体来控制温度。一气体注入岐管组件200和一排气岐管组件300是连接在相对的侧壁100b上,并且在下方更详细讨论。一多区加热结构400是连接在该四个侧壁100a的每一个上。一由,例如,铝制成的液体冷却顶板32(图3)是通过一O型环或其他方法(未示出)真空密封在侧壁100a和100b上。一多区加热结构507是经设置在顶板32上方(图3)。
现在参见第3和5图,缓冲空间22b包含四个侧壁34。与这些侧壁的一连接的是一狭缝阀开口36,该机械臂113的手臂可以熟知方式通过其间嵌入(移出)一基材进入(从)缓冲空间22b。该狭缝阀开口36是利用例如O型环(未示出)的习知方式真空密封在该等侧壁34之上。该狭缝阀开口36是经设计而使其可以与该传送腔室110的任何腔室装设表面111A-D(见图2A)连接。通常,该传送腔室110容纳在制程期间隔离装设在该等位置114A-D上的制程腔室和该传送腔室110的狭缝阀(未示出)。
一底板38是与该等侧壁34的每一个连接并利用O型环(未示出)与其真空密封。与加热结构507类似的数个加热结构550与底板38的外表面连接。从该等加热结构550输出的热量是由该系统控制器102控制。设置在底板38中央并且运用来自该系统控制器102的指令的举升及旋转机构600能够举起并旋转该晶圆匣46及其相关部件。在一实施例中,将该加热结构550零组件从该底板38上移除以降低成本和批式腔室复杂度。
现在参见图6,其示出处于载入/载出情况下的批式制程腔室201。在此位置,该机械臂113可将基材载入该晶圆匣46的数个狭槽之中。该机械臂113是通过一狭缝阀开口36(未在图6示出)近接该晶圆匣46。晶圆匣46可由任何适合的高温材料制成,例如石英、碳化硅、或石墨,取决于预期制程特性。图6示出可容纳多至九个基材”W”的晶圆匣,但晶圆匣46的其他实施例可适于容纳更多或更少的基材。较佳地该晶圆匣46可容纳至少25个基材。
一圆形密封盘60是紧邻晶圆匣46下方设置,并且意欲密封,或最小化在装设于该晶圆匣46的基材上执行ALD或CVD制程时制程气体从该批式制程腔室201的制程空间22a逸出至该缓冲空间22b。该密封盘60是由适合的高温材料制成,例如石墨或碳化硅,并且在其上表面的外侧周边的沟槽中嵌套一石英环61。密封盘60是由三个举升杆66及其相关的举升机构支撑,并且是由适合的高温材料制成(为了简明,只示出一个举升杆66)。现在参见第6和7图,举升机构700利用封缝剂54(例如橡胶封缝剂、铁磁性流体封缝剂)与该底板38真空密封,并且适于容许该密封盘60独立于该晶圆匣46移动。该举升机构700,其升降该密封盘60,可由水压、气动或电动马达/引导螺杆机械促动器来促动,所有的这些在技术中皆是熟知的。
在每一个基材”W”皆载入晶圆匣46的狭槽后,该叶片组件113A(图2A)缩回并且利用系统控制器102将晶圆匣46升起至预定距离,以使该机械臂113的叶片组件113A可以载入下一个基材至晶圆匣46的下一个狭槽中。重复此制程直到预期数量的基材”W”已载入晶圆匣46为止。载入该晶圆匣内的基材数量可以受到控制或随着基材批次数量改变而改变,或者是其可以改变以平衡系统产能,以使在该批式制程腔室内处理的最后一批基材不会闲置一段超过可接受的等待时间的时间。该系统控制器102是用来基于程式化的制程程序信息、基于实际或先前实验的产能信息所计算出的时间、或其他使用者或系统输出来判定最佳批次数量以最小化等待时间并平衡系统产能。在狭缝阀开口36关闭后,晶圆匣46和基材”W”即从该缓冲空间22b升起至制程空间22a内的制程位置,如图7所示。
当晶圆匣46由该举升及旋转机构600升起至制程空间22a内之后,密封盘60的石英环61利用该举升机构700移动而与密封结构24的内唇紧密接触,因此使密封盘在图7所示位置处停止。当石英环61与密封结构24紧密接触时,密封盘60提供腔室22的制程空间22a和缓冲空间22b部分间几乎完全的密封,此时制程空间22a变为该反应腔室20的制程区域,在其中适合的材料层可形成在基材”W”上。通过注入相对小流量的惰性气体,例如氩气或氦气,进入该缓冲空间22b,在被排入制程空间22a的过程中此惰性气体必须穿过密封盘60内的孔洞和支杆48间的小缝隙。此惰性气体流的作用在于大幅度减少可从该制程空间22a进入该缓冲空间22b的反应气体量,因此有效消除过量及不想要的在缓冲区域22b的加热部件上的气相沉积。此外,将通常昂贵的反应气体限制在该制程或制程空间22a使这些气体能够更有效地被利用。此外,此限制造成该反应腔室空间的有效缩减,因此减少反应气体的滞留时间(气体分子从注入点行进至其在该腔室另一侧被消耗掉所花费的平均时间)。对于许多典型的ALD和CVD制程来说,过长的滞留时间会导致不想要的化学反应,其可能产生能够并入成长中的ALD或CVD膜内的子物种。密封盘60提供制程空间22a和缓冲空间22b间的有效的热隔离。此外,密封盘60也作用为从热结构550放射出的热能的热分布器,并且,以此方式,作用为基材”W”的中介热来源。另外,密封盘60可提供有效的限制以改善维修活动期间在该批式制程腔室201内完成的任何原位等离子体清洁制程。
在本发明的一态样中,如第6-7图所示者,该多区加热结构507含有一卤素灯402阵列,其朝着装设在一晶圆匣46内的基材辐射能量。在另一实施例中,该多区加热结构507含有一或多个阻抗加热元件(未示出),其取代卤素灯420,以传送热至留置于该晶圆匣46内的该等基材。
在批式制程腔室201的一实施例中,在执行预期的腔室制程前先利用一真空泵系统171(第2G-2H图)来排空该缓冲空间22b及/或制程空间22a。在一态样中,当该批式制程腔室201与一传送腔室110可传送的交流时,传送腔室110通常是维持在真空压力下,该缓冲空间22b和制程空间22a一般总是会保持在真空压力下以使该等基材可以快速传送至该(等)批式制程腔室201内。应注意到在本发明的一态样中,当该批式制程腔室201与常压之前端环境104可传送的交流时,在制程前会需要利用该真空泵系统171先将该缓冲空间22b抽真空,然后在制程后利用习知方法破真空(vent),以使该等基材可以在该批式制程腔室201和该前端环境104间传送,反之亦然。该真空泵系统171可与该集结式机台100内的单一个制程腔室或多个制程腔室连接。该真空泵系统171可含有一或多个真空泵,例如涡轮泵、粗抽泵、及/或鼓风机(Roots BlowerTM),其是经使用以达到预期的腔室制程压力(例如~50毫托耳-~10托耳)。
参见图2H,在批式制程腔室201的一实施例中,使用一挡板组件180来隔离该缓冲空间22b和该制程空间22a,以使该制程空间22a可以维持在真空状态下,同时将该缓冲空间22b破真空以使基材可以载入或从该晶圆匣46移出,或者可在该缓冲空间22b零组件上执行其他维修活动。该挡板组件180一般含有挡板181、挡板储存区域182、装设在该挡板181上的密封构件183(例如O型环)、以及挡板促动器(未示出)。该挡板促动器适于将该挡板181定位在该密封结构24内的开口上,以隔离该缓冲空间22b和该制程空间22a,因此该制程空间22a可以利用该真空泵系统171维持在真空压力下,而该缓冲空间22b则破真空至常压。该挡板促动器一般也适于在制程前在将晶圆匣46置入该制程空间22a期间移动及定位该挡板181离开该晶圆匣46的路径,并进入该挡板储存区域182。
参见第8和8A图,一加热结构400是经装设在每一个侧壁100a的外表面上,该加热结构400含有数个卤素灯402,其是用来通过一石英窗401提供能量给该批式制程腔室201的制程空间22a内的该等基材”W”。在一实施例中,该等基材”W”和晶圆匣46是利用阻热板422非直接地加热至一适当温度,其是通过石英窗401利用卤素灯402加热。可使用例如阻抗加热器的取代卤素灯的另一种加热方法。在石英窗401和侧壁100a和夹钳406间提供O型环型态的垫片410(由适合材料制成,例如,viton密封圈、硅胶或cal-rez石墨纤维)以及垫条412以及由相似的适合材料制成的垫片411,以确保石英窗401不会与侧壁100a或该夹钳406的任一者直接接触,以避免会造成破裂的过度压力,若该石英窗401在当该石英窗401是热的且该腔室22处于真空下的时直接接触温度受到控制的侧壁100a或该夹钳406。阻热板]422是添加在该腔室的制程空间22a内,以扩散从该加热结构400放射出的能量,而可提供基材”W”更均匀分布的热能。在一实施例中,热能的分散是进一步通过在制程期间利用该举升及旋转机构600中的旋转马达601来旋转该晶圆匣46来最佳化。晶圆匣的旋转速度可在每分钟约0至约10转间改变,但较佳地介于约1rpm和5rpm间。该阻热板422和绝缘石英条420是由适合的高温材料制成,例如石墨或碳化硅,并且是利用由适合的高温材料,例如钛,制成的数个留置夹钳424固定在侧壁100a上。该等夹钳424是利用螺栓425和垫圈426A-B装设在该侧壁100a上。
在一实施例中,设置与该等侧壁100a和100b、该顶板32及/或该底板38交流的一或多个热交换元件,以控制该批式腔室的侧壁温度。该一或多个热交换元件可用来控制该批式腔室的侧壁温度,以限制制程期间不想要的沉积材料的凝结量及/或沉积制程副产物量,及/或也保护该石英窗401不会因为制程期间产生的热梯度而破裂。在一实施例中,如第8和8A图所示,该热交换元件是由形成在侧壁100a-b和夹钳406内的铣削(milled)通道442和446组成,其是利用持续流经该等研磨通道442和446的热交换流体来控制温度。一流体温度控制器(未示出)是适于控制该热交换流体,因此该等侧壁100a-b和夹钳406的温度。该热交换流体可以是,例如,全氟聚醚(perfluoropolyether)(例如Galden),其是经加热至介于约30℃和约300℃间的温度。该热交换流体也可以是在介于约15℃至95℃间的预期温度下传送的冷水。该热交换流体也可以是一种温度控制气体,例如氩气或氮气。
为了在所有于该制程空间22a中处理的基材”W”上达到均匀且令人满意的制程结果,需要批次中所有基材”W”上的每一点达到仅只比相同的设定点温度多或少一度的温度。该温度设定点和均匀度是利用设置来测量该晶圆匣的各区域的温度的一或多个热感应器(例如亮度式光学温度计(opticalpyrometers)、热偶温度计等)、分组成为多个区域的两或多个卤素灯402(图7)、以及监控该等温度且控制并调整传至每一区的功率的系统控制器102监控并控制,以延着该晶圆匣46的全长达到均匀的温度。在一实施例中,可利用该系统控制器102来控制一列卤素灯402或多列卤素灯402,以确保晶圆匣46内的每一个基材上的温度均匀。在一实施例中,该等卤素灯是按照区域来分组,其中一列(水平)中的一或多个卤素灯和一行(垂直)中的一或多个卤素灯是一起控制,以调整制程空间22a的区域内的温度变异性。卤素灯402的多区控制和加热结构400的实施例在2002年8月9号提出申请的标题为「在小批式反应器中于低压下的高速率沉积(High RateDeposition At Low Pressure In A Small Batch Reactor)」的美国专利申请案第10/216,079号中进一步描述,其在此通过引用的方式并入本文中。
在一实施例中,如第9-10图所示,该晶圆匣46含有承座62和支杆64,其支撑该基材。在此实施例中,每一个基材”W”皆可直接搁置在承座62上,或者基材可以嵌套在承座62内的一凹处中(未示出),或者其可悬浮在两个承座62间(未示出),例如在与承座62表面连接的三或多个捎上。在此实施例中,该等承座62是按尺寸订制而使其大于该基材”W”的直径,因此其可吸收从该加热结构400(未在第9或10图中示出)传来的辐射热,并且有助于预热该制程气体,在其抵达该基材边缘之前。
在一实施例中,通过改变从该等加热结构400传送至该等基材的能量总量来在制程配方的不同阶段改变装设在该晶圆匣46内的该等基材的制程温度。在此配置中,可能需要最小化晶圆匣46的热质量以使基材温度可以在制程期间快速调整。因此,在本发明的一态样中,该等承座62和支杆64的质量和尺寸可以最小化,以使制程温度可以快速调整,并且达到基材的热均匀性。
该加热结构400硬件的实施例在1997年8月11号提出申请的标题为「迷你批式制程腔室(Mini-batch Process Chamber)」的美国专利第6,352,593号,以及2002年8月9号提出申请的标题为「在小批式反应器中于低压下的高速率沉积(High Rate Deposition At Low Pressure In ASmall Batch Reactor)」的美国专利申请案第10/216,079号中进一步描述,其在此通过引用的方式并入本文中。
气体输送系统
现在参见第9-10和12图,欲用来在基材”W”上沉积层的制程气体是经提供至一气体注入岐管组件200,其通常可包含气体输送模组500、一或多个进气导管203、混合腔室204及注入盘210。在一实施例中,该注入盘210是利用O型环(未示出)真空密封在侧壁100b之上。在该等制程气体在混合腔室204内混合在一起后,将该等气体供应至形成在注入盘210内的端口208,然后该等制程气体流经该等端口208并进入该制程空间22a。在一实施例中,该等端口208是经形成得使其可限制并且均匀地再分配进入的气体(例如喷头),因此流入该批式制程腔室201的制程空间22a的气体是均匀的(见图12)。在一实施例中,如图9所示,在该混合腔室204和该等端口208间添加一或多个气流控制元件206,以提供对于供应进入该批式制程腔室201的制程空间22a内的制程气体流量的精确控制。在一实施例中,该气流控制元件206可以是一机械式蝶形阀(butterflyvalve)或针阀,或是可控制制程气流的其他等效元件。在本发明的另一态样中,该注入盘210是利用流经注入盘210内的铣削通道(未示出)的温度受到控制的热交换流体或利用嵌入在该注入器外罩内的阻抗加热元件来控制该注入盘210的温度。虽然第9、10和12图示出与两个或多个制程气体来源501和该制程空间22a交流的单一个混合腔室204和注入盘210,但该注入岐管组件200的实施例可包含两或多个隔离的混合腔室204和注入盘210,其中每一个注入各种制程气体(例如前趋物、含氧气体、载气等)进入该制程空间22a中。在本发明的一态样中,该两或多个隔离的混合腔室204和注入盘210是彼此相邻,并且皆装设在相同的侧壁100b上。例如,在一配置中,该注入岐管组件200可包含三个不同的混合腔室204和注入盘210,其是意欲分开输送铪气(例如TDMAH)、载气(例如氩气)、及含氧气体进入该制程空间22a,以形成氧化铪膜。此配置因此最小化不相容制程气体的相互反应,并且可减少制程期间通入第一制程气体后清洁该注入岐管组件200和该制程空间22a的需要。
该气体输送模组500一般含有惰性气体来源502和一或多个制程气体来源501,其可输送完成ALD、CVD、或其他基材制程步骤所必须的各种制程气体。图9示出含有两个制程气体来源501A-B的实施例。惰性气体来源502也可用来清洁该进气线505A-B,并且在某些实施例中可作用为载气以输送来自该等气体来源501A-B的制程气体。在一实施例中,该气体来源502输送含氧气体至该等基材。在另一实施例中,该气体来源502是可输送至该等基材的臭氧产生来源。
对于在该批式制程腔室201中处理的基材”W”上形成均匀的层来说,该等基材表面上的气流分布是极其重要的,尤其是由物质传送限制(masstransport limited)的反应主导的高速率CVD制程和为反应速率受限的沉积需要快速表面饱和的ALD制程。ALD或在此所用的「循环沉积」表示连续通入一或多种反应性化合物以在基材表面上沉积一层材料。该等反应性化合物也可轮流通入制程腔室的制程区域中。通常,每一种反应性化合物的注入该制程区域是由一时间延迟隔开,以使每一种化合物可以附着在该基材表面上及/或与其反应。
图11示出一先前技术垂直扩散炉13(或VDF)的剖面图。一般来说,一垂直扩散炉13会含有腔室壁10、加热来源11、容纳该等基材”W”的基材支撑12、气体入口13和气体出口14。在该等基材”W”上执行制程步骤之前,每一个基材皆利用机械臂(未示出)通过近接端口(未示出)载入该基材支撑12中,并且该腔室是经排空或以惰性气体清洁。制程期间,将制程气体注入该气体入口13(见物件”A”),其接着在该基材支撑12(见物件”B1”)周围流动,并流出该气体出口14(见物件”C”)。在此配置中,该前趋物从该基材边缘扩散朝向该基材中央(见物件”B2”)。该垂直扩散炉13沉积制程因此取决于制程气体在基材表面上的扩散,或移动,以达到均匀的沉积覆盖。然而,依赖扩散型制程来形成具有令人满意的性质的薄膜会因为两个原因而产生问题。第一个问题来自于基材边缘比中央暴露在较高的制程气体浓度中,这会导致沉积膜厚度及/或污染的差异,因为未反应的过量前趋物在基材边缘处沉积膜表面上的存在。第二,沉积会有空间上的改变或是做为时间的函数而改变,因为扩散制程是一种取决于制程气体温度的制程,并且也是一种取决于时间的制程,其会在基材支撑中的每一个位置上改变。
因此,为了克服先前技术的缺陷,本发明的实施例将该(等)制程气体注入该制程空间22a内及该等基材”W”上,其是一对流型制程,因为对流型制程不会遭受与依赖扩散的制程有关的问题。对流型制程是有优势的,因为可控制制程气体和基材表面的相互反应而不需要碰运气,或并非基于难以控制的因素。图12示出一实施例,其中该制程气体是通过注入盘210内的端口208注入,在该数个基材”W”上,接着通过该排气盘352内的排气端口354,然后离开排气泵(未示出)和制程尾气处理设备(scrubber)(未示出)。在本发明的一态样中,如图12所示者,该制程气体是以通常与该基材的制程表面平行的方向注入(例如含有半导体元件的表面)。平行的制程气体流使基材的该(等)制程表面可以快速饱和,因此缩短制程时间。在本发明的另一态样中,该制程气体流是利用流量分布注入盘210平均分布在留置在该晶圆匣46内的所有基材上。
在本发明的另一态样中,该排气岐管组件300是以基本上相对于该注入岐管组件200的方位设置。在此配置中,气流路径,因此该基材对于注入制程气体的暴露是均匀地分布,因为制程气体的气流路径基本上保持与该基材表面平行。在一实施例中,有两对或更多对相对的排气岐管组件300和注入岐管组件200,其是围绕该晶圆匣46(未示出)在周边处互相隔开,其中每一对可以分开使用或与其它对一起使用。
在本发明的其他态样中,含有方位不与该注入岐管组件200相对的一或多个排气岐管组件300,或是方位不与一或多个排气岐管组件300相对的一或多个注入岐管组件200可以是有优势的。一般来说,在非相对的配置中,该注入盘210的端口208具有相应的位于该排气盘352内的排气端口354,其基本上是与彼此位于相同平面上,以容许通过该基材表面上的基本上平行的制程气体的气流路径。
从一较高压的制程气体来源501将制程气体注入该制程空间22a中的过程给予该制程气体一速度,其促进对流型物质传送至该基材表面。制程气体速度和注入气体的总质量只是可以改变以影响沉积膜性质的一些制程变因。每一个基材”W”上的气体速度取决于该基材”W”和该等承座62(基材上下各一)间的缝隙,以及该等承座62的外缘和该阻热板422间的缝隙(第8和8B图)。每一个不同的缝隙皆可影响沉积膜的再现性和均匀度,因为其会直接影响流过该基材表面上的气流。一般来说,基材”W”和其相应的上承座62间的缝隙较佳地是在约0.2至约1.5英时范围内。该等承座62和阻热板422间的缝隙、该等承座62和该注入组件200间的缝隙、及/或该等承座62和该排气岐管组件300间的缝隙较佳地是小于或等于两个接连承座62间的缝隙。较佳地该阻热板和该承座62间的缝隙是介于约0.05和约1.0英时间。最小化该阻热板422和承座62间的距离可改善至该等承座的热传送。在制程空间22a的一实施例中,一承座62和一阻热板422间的缝隙可利用半圆形,因此包覆该等承座62周围的阻热板来缩小。图8B示出具有半圆形阻热板422的制程空间22a的一实施例的一实例。
如上所注,该等基材上的气体速度可做为输送至该制程空间22a内的制程气体的压力降的函数而改变。因此气体速度可通过改变制程气体来源501的输送压力(例如气瓶543压力(在下面讨论))、通过控制制程气体流速、及/或制程空间22a的制程压力来控制。例如,可将气瓶543压力维持在5托耳,而在制程气体注入该制程空间22a之前将制程空间22a抽真空至<50毫托耳,因此两个空间之间会有很大的压力差。在一实施例中,通过控制制程气体流速及/或排气流速在制程配方步骤期间改变制程空间22a的压力,因此改变物质传送制程以达到改善的制程效果。
为执行ALD制程,将一前趋物剂量,或固定质量,以已知压力注入该制程空间22a内,以控制沉积膜的成长。将制程气体注入该制程区域时前驱物的初始高浓度使该基材表面可以快速饱和,包含基材表面的开放部位(open sites)。若高浓度前趋物留在腔室内太久,会有多于一层的前趋物成分附着在基材表面上。例如,若太多含铪前趋物吸附在基材表面上,所形成的膜便会有无法接受地高铪浓度。制程区域压力的受控制的、逐渐的或梯度的降低可帮助保持基材表面上化学物的平均分布,同时迫使过量前趋物和载气排出该制程区域。在本发明的一态样中,在ALD制程的一或多个步骤中以例如氮气或氩气的额外的清洁气体清洁该系统也可以是有优势的,同时也控制该制程空间22a的压力,以除去过量前趋物。制程区域压力的受控制的、梯度的降低也可避免在压力快速降低的情况下常见的温度下降。例示制程的一实例包含将维持在100℃和5托耳的压力下的气瓶543内的含有100%TDMAH的制程气体填充进入该制程空间22a,其是维持在8托耳的腔室压力下2秒钟,然后在注入前趋物后维持在2托耳下3秒钟。
为确保基材表面形成均匀的ALD层,在制程期间利用多种腔室制程技术来控制制程空间22a内的前驱物浓度。在所有的ALD制程中,一固定质量的前驱物是经供应至该制程空间22a中,其剂量是足够大以确保制程空间22a内所有表面的饱和,因此一薄的ALD层可以形成在基材上。对于制程空间22a的饱和及排气的控制,因此可以获得令人满意的薄膜性质,是利用三种主要制程技术或方法来控制。第一种ALD制程方法,如上所注,需要该剂量的前趋物在制程空间22a于ALD制程期间维持在单一制程压力下输送。在该质量的前趋物已经注入该制程空间22a后,通过改变通入该制程空间22a内的载气(例如氩气、氦气等)的流量,及/或控制排出至一外部真空泵系统(未示出)的流速来维持单一制程压力。排气流速可通过控制排气流量控制元件353的状态(图12)以限制排出至该外部真空泵系统的气流来控制。该第二ALD制程方法,也在上面注明,基本上包含将一质量的前趋物气体注入该制程空间22a,然后通过控制制程剩余部分的载气流速或排气流速来改变制程空间22a压力。该第二方法因此使该制程压力可以在ALD制程期间控制在各种不同水准下,以在ALD沉积制程的不同阶段期间确保化学物的平均分布以及维持令人满意的制程条件。在第三ALD制程方法中,在停止排气一段时间时注入该质量的前驱物,然后重新开始排出气流。在此配置中,腔室中的前趋物气体浓度在前趋物的初始供应后维持不变,直到该排气流速重新开始为止。
在本发明的一态样中,其中以CVD沉积方式使用该批式制程腔室,该前趋物是经持续输送至该制程空间22a,其在CVD制程配方步骤期间是保持在一或多种制程压力下。该CVD制程运用物质传送限制反应,而非如ALD制程所使用的反应速率限制的沉积制程。在此CVD沉积配置中,该制程空间22a的压力可在CVD制程步骤的不同阶段中通过改变进入该制程空间22a的前趋物或载气(例如氩气、氦气等)流量,及/或控制排出至一外部真空泵系统的流速来改变。排气流速可通过控制排气流量控制元件353的状态(图12)以限制排出至该外部真空泵系统的气流来控制。
在对于完成ALD和CVD沉积制程有用的一实施例中,该制程气体是载气和前趋物”A”的混合物。载气通常是基于前趋物”A”来选择。例如,若前趋物”A”是铪类前趋物,则可选择氩气做为载气,例如在制程中使用四乙基甲基胺基酸铪(tetrakis-ethyl methyl amino hafnium)(TEMAH)、四二乙基胺基酸铪(tetrakis-diethyl amino hafnium)(TDEAH)、四二甲基胺基酸铪(tetrakis-dimethyl amino hafnium)(TDMAH)、氯化铪(HfCl4)、Hf[N(C3H7)2]4、或Hf[N(C4H9)2]4。载气或清洁气体可以是惰性气体,例如氩气、氙气、氦气或氮气,并且可以是与该前趋物122呈反应性或非反应性者。在本发明的某些实施例中,氢气可以是适合的载气或清洁气体。
本发明的一态样在于该批式制程腔室,于此间描述,如何最小化通常昂贵的前驱物材料的使用,因此最小化其浪费的方式。目前相信TDMAH前趋物费用约是每公克10美金-25美金,这表示在25个基材的批次上沉积30埃的薄膜需要花费几百块美金。先前技术批式腔室和单一基材制程腔室皆有不同的缺陷,这使其无法如在此所述的本发明实施例般最小化前趋物的浪费。一批次基材,例如25个基材,与单一基材制程腔室执行多次制程(即25次)相比,其前趋物用量是较少的,因为批式腔室的腔室壁表面积的增加,前趋物会沉积在其上,与涂布多次的单一基材制程腔室的表面积相比算是小的。先前技术垂直扩散炉设计也是更浪费前趋物气体的,因为大量的前趋物气流是围绕在该基材支撑12周边,并排出该气体出口14,而非直接将前趋物通入该基材表面上方,因此需要配送更多前趋物以成长相同量的薄膜。因此,在批式基材上使用对流式前趋物气流可大幅度减少前趋物的浪费,因而缩减制程程序及系统COO。
在一实施例中,最小化批式制程腔室体积以通过减少制程腔室制程周期时间来减少浪费的前趋物量并增加腔室产能。ALD制程的一重要的态样在于基材表面上充满前趋物气体所需的时间。在传统批式垂直扩散炉腔室中,其中制程空间和腔室表面积趋向大型,其可需要非常多的时间来确保所有的基材和腔室表面皆充满前趋物气体。因此,确保制程空间尽可能小是很重要的,以减少前趋物浪费并缩减确保所有表面皆充满前趋物气体所需的时间。多种实施例能够实现前趋物浪费和批式制程时间的减少。例如,制程区域体积并没有受到需要让制程区域延伸远超过基材支撑的长度,以试图解决制程腔室末端的热流失问题的限制,如在先前技术垂直扩散炉(VDF)制程腔室中者。一实施例适于改善先前技术缺点,通过利用装设在该制程空间22a侧边及末端的热产生元件(例如卤素灯、阻抗加热器)、温度感应器(未示出)、以及系统控制器102,其是适于确保晶圆匣46内的所有基材的所有区域的温度皆处于均匀温度下,而主动控制留置在晶圆匣46内的基材温度。在一实施例中,最小化批式制程腔室的制程空间22a在制程期间的体积至介于约每个晶圆0.5升和每个晶圆约1.5升之间的体积。
在前趋物浪费和批式制程时间如何能减少而优于先前技术配置的另一实施例中,关键是在于最小化基材制程区域,或制程空间22a的直径及长度的能力,因为其一般不受限于在该基材支撑周边均匀流通制程气体,如先前技术VDF所要求者,以确保每一个基材都有数量一致的制程气体的需要。
在前趋物浪费和批式制程时间如何能减少以优于先前技术配置的另一实施例中,是因为增加的批式制程腔室的产能是由制程气体基本上平行的注入而增加制程气体能够充满基材表面的速度来提高。加快的前趋物能够充满基材表面的速度也降低因为前趋物气体的气相分解、因为前趋物在表面饱和前与热的腔室壁反应所发生的微粒问题的机会。来自基本上平行注入制程气体的产能增益可以实现,因为没有浪费时间在等待确认批次中的所有基材皆已暴露在制程气体中足够长的时间以饱和该基材表面。这个问题在先前技术VDF制程腔室中常会有,如图11所示,其中最接近该气体入口的基材暴露在制程气体中的时间比基材支撑12中的最后一个基材久,因此制程长短受限于最后一个基材形成预期沉积层厚度所需的时间。本发明的态样也可改善而优于先前技术,因为注入点至基材表面的距离最小化,因此降低前趋物遭受分解效应的机会,其使前趋物浓度取决于与注入器间的距离而改变。
前趋物输送系统
参见图10,通常有三种方式处理前趋物”A”以形成能够输送至制程腔室的制程区域以在基材上沉积预期材料层的气体或蒸气。第一种处理方法是升华法,其中利用使该前趋物可在该安瓶520中从固态变为气态(或蒸气)的受控制的制程来蒸发该前趋物,其在安瓶520中是固体型态。气体一词,如在此所用者,一般意欲描述气体或蒸气。用来产生前趋物”A”的气体的第二方法是通过蒸发法,其中使载气发泡通过温度受控制的液态前趋物,因此连同流动的载气一起被带走。第三,及最后的用来产生前趋物的方法是一液体输送系统,其中利用泵525将液态前趋物输送至一蒸发器,其中该液态前趋物通过从该蒸发器传来的能量的添加由液态转变成为气态。所添加的能量通常是以添加至液体的热能的型态呈现。在上述产生前趋物气体的三种方法的任一种中,可能需要控制该安瓶520的温度,以试图调节该蒸发制程。通过梯度温度来控制气瓶内的前驱物温度的进一步描述在共同让渡的2003年5月27号提出申请的标题为「产生PDMAT前趋物的方法及设备(Method and Apparatus of Generating PDMAT Precursor)」的美国专利申请案第10/447,255号中揭示,并在此通过引用的方式并入本文中。该气瓶和前趋物是保持在从约25℃至约600℃的温度范围内,较佳地在从约50℃至约150℃的温度范围内。
图10示出用来输送制程气体至该制程空间22a的液体输送型气体来源501A的一实施例的简图。该气体来源501A,在此实施例中,一般包含如下零组件:一安瓶气体来源512、含有前趋物”A”的安瓶520、计量泵525、蒸发器530、隔离阀535、集气瓶组件540以及终线阀(final pump)503A。在一实施例中,该终线阀503A是经设计而具有快速反应时间和线性制程气流控制,以更佳地控制执行ALD制程时注入该制程空间22a内的质量,最小化注入的制程气体的爆烈、并且最小化注入过量制程气体。该集气瓶组件540一般包含如下零组件:进气管546、出气管548、气瓶543、围绕该气瓶543的阻抗加热元件541、加热器控制器542和感应器544。在一实施例中,该感应器544包含两个感应器,一个温度及一个压力感应器,例如,连接至该气瓶543以测量该气瓶543内含的该(等)制程气体的性质。在一实施例中,可使用阻抗加热元件541,一或多个感应器544、加热器控制器542和系统控制器102来控制停留在该气瓶543内的气体或蒸气的温度,以确保气体或蒸气在其通过该气体注入岐管组件200输送至该制程空间22a之前保持在预期状态下。气体的「状态」一词一般是界定为可由定量(例如压力、温度、体积、焓、熵)来赋予特性的气体或蒸气的情况。在一实施例中,加热器控制器542是系统控制器102的一部分。
参见图10,在一实施例中,该气体来源501A适于从含有液态前趋物的安瓶520输送制程气体至该制程空间22a。为从液态前趋物形成气体,利用将前趋物泵吸进入该蒸发器530的计量泵525将该液态前趋物气化,蒸发器530添加能量至该液体使其从液态变为气态。在此实施例中,该计量泵525适于在整个制程配方步骤期间利用来自该系统控制器102的指令以预期流速设定点控制并输送该液态前趋物。然后将气化的前驱物输送至该集气瓶组件540内,其储存在其中直到被注入该制程空间22a并分布在基材”W”表面上为止。在一实施例中,以一液体流量计(未示出)和气体来源(例如元件512)来取代该计量泵525,以控制输送至该蒸发器530的液态前趋物数量。在此配置中,使用来自该气体来源的加压气体来推进该液态前趋物至该液体流量计中,其是适于计量,或控制,进入该蒸气瓶530内的液态前趋物量。
因为前趋物流速和气体量,或剂量(或质量),可大幅影响特定ALD或CVD制程的均匀度、再现性和阶梯覆盖,因此这些参数的控制是非常重要的,以确保半导体生产制程是可再现的,并且可达到令人满意的元件特性。可大幅影响CVD或ALD制程的再现性的一因素是前趋物蒸发制程的控制。前趋物蒸发制程的控制在其用于批式型制程中是更加复杂的,因为须要同时输送的前趋物的量,或剂量,很大,因此质量流率(mass flow rate)比单一基材制程腔室大许多。批式输送因为达到与竞争的单一基材制程腔室所达到者雷同的制程结果的需要,以及永远存在的若制程变异超出预期制程范围所报废掉的大量基材的威胁而是更复杂的。此外,使用一液体输送系统为ALD或CVD制程进一步增加复杂度,因为液态前趋物流经该蒸发器的任何中断皆可导致重新开始流动时前趋物的质量流率的大幅度改变,因此使质量流率和制程结果改变。终止及开始前趋物流也可因不稳定的蒸发而导致输送线内剧烈的压力变化(例如压力爆增),可能造成系统内各种零组件的损坏,并且也可能堵塞蒸发器而影响输送该剂量至该制程空间22a和该等基材的再现性。因此,预期总是保持至少一定量的前驱物流通过该蒸发器,以避免流量不稳定及蒸发器的堵塞。但是,如上所注,制程气体的压力和温度必须可再现以确保制程结果不会在不同的基材批次上有所不同。为达到一致的结果,接收该蒸发的前驱物,以及可能地一惰性气体,的气瓶543是经按尺寸订制以在可重复的压力和温度下收集并输送预期量的制程气体。
因为需要持续流通液体前趋物通过该蒸发器而产生的一个问题来自于沉积膜厚度会在制程配方步骤的不同阶段期间改变或是欲使输送剂量发生的时间点会改变,因此若制程期间使用固定的前驱物蒸发速率,气瓶543内的气体的质量及状态会改变。为避免此问题,在某些实施例中,可能需要丢弃(或抛弃)任何过量的前趋物气体,一旦气瓶543已收集到预期质量。此过程可利用监控气瓶543内制程气体的温度和压力,然后利用系统控制器102及清除阀537控制清除的过量气体量来完成,该清除阀537是与例如习知”制程尾气处理设备”的废弃物收集系统连接。一个引发的议题在于前趋物通常是昂贵的,因此抛弃过量材料至废弃物收集系统变得非常昂贵且浪费。因此,本发明的一态样使用该系统控制器102来控制蒸发速率,或通过该蒸发器530的液态前趋物的流量,取决于所需要的预计的气体量和输送剂量至该腔室的时间点。该系统控制器102因此利用制程程序数据、基于实际或先前实验产能数据所计算出的时间点、或其他使用者或系统输入来计画下一个制程配方步骤所需的气体预计输送时间及数量(或剂量)。此特征因此是一预测功能,其以时间的函数改变计量的前趋物流至该蒸发器530的流速,以确保气体量和气体状态在其输送至制程腔室时是一致的。
前趋物再循环系统
参见图10A,在一实施例中,一前趋物再旋环系统560是经添加至该气体来源501,以减少或消除清除持续流动该液态前趋物通过该蒸发器530期间所产生的过量前趋物气体的需要。该前趋物再循环系统560一般含有系统控制器102、进气线562、再循环进气阀567、再循环排气线564、再循环排气阀566、隔离阀535、再循环集气瓶561、热控制系统572和气体来源565。在此配置中,一旦预期质量已经输送至该气瓶543内,该系统控制器102即通过开启该再循环进气阀567来开启该再循环进气线562,通过关闭该再循环排气阀566来关闭该再循环排气线564,并关闭该隔离阀535而使流过该蒸气瓶530的气化的前驱物可被收集在该再循环集气瓶561内。在本发明的某些态样中,收集在该再循环集气瓶561内的前驱物气体的温度是利用热控制系统572控制。该热控制系统572一般含有温度控制器563、一或多个感应器570、以及装设在该再循环集气瓶561的内部或外部的加热/冷却元件568。该加热/冷却元件568可以是热电元件、阻抗加热器、或其他类型的热交换元件。在一实施例中,该感应器570包含两个感应器,一个温度及一个压力感应器,例如,连接至该再循环集气瓶561以测量其内含的该(等)制程气体的性质。在本发明的一态样中,该再循环集气瓶561内含的前驱物的温度是维持在低于该前趋物冷凝温度的温度下,以容许有效率地收集该前趋物。
在再循环系统560的一实施例中,使用收集在该再循环集气瓶561内的前驱物填充该气瓶543,通过关闭该再循环进气阀567、开启该再循环排气阀566、关闭安瓶隔离阀569并利用气体来源565加压该再循环集气瓶561,因而使液态前趋物”A”流至该蒸发器530内然后进入该气瓶543。在一实施例中,在该再循环排气线564上添加再循环计量泵(未示出),以将液态前趋物从该再循环集气瓶561汲取出,并将其输送至该蒸气瓶530和该气瓶543。一旦一定量的前驱物已经从该再循环集气瓶561输出,该系统控制器102可转换以从该安瓶520输送液态前趋物,以避免该再循环集气瓶561完全排空。
在另一实施例中,利用该前趋物再循环系统560通过持续再循环一定量的液态前趋物来提供持续流过该蒸发器530的液态前趋物。该再循环程序一般是通过将一定量的留置在该再循环集气瓶561内的液态前趋物”A”注入该蒸发器530内来完成,其然后被改道至该再循环集气瓶561,在此被冷凝并重新收集,因此可以重新再被引导通过该蒸发器530。在本发明的一态样中,维持通过该再循环系统560的持续的液态前趋物流,即使在气瓶543满逸时,以避免对于腔室硬件的损伤、产生微粒及/或以「新鲜的」前趋物来补充再循环集气瓶561内的某部分前驱物。在本发明的另一态样中,再循环程序在该液态前趋物从该安瓶520流入该蒸发器530内之前、期间或之后终止。
图10A示出再循环系统560的一实施例,其中收集在该再循环集气瓶561内的前趋物被转送回该安瓶520,在一定量的前趋物已经收集在该再循环集气瓶561内之后。在此配置中,该再循环进气阀567是关闭的,该再循环排气阀566是开启的,而该气体来源565阀是开启的,以迫使该液态前趋物”A”流入该安瓶520。
在前趋物输送系统的一实施例中,其中该前趋物的输送是由升华程序或由蒸发程序执行,该系统控制器102适于预视并依需要调整气化速率,以确保该气瓶在预期时间含有预期质量的前驱物。此配置是重要的,因为该前趋物的气化程序,当使用升华或蒸发程序时,具有前趋物可以气化的最大速率限制。气化速率通常受限于气体/液体或气体/固体接口的表面积、前趋物温度、及输送至该安瓶的载气流速。因此,在本发明的一态样中,该系统控制器102是适于调整开始气化的时间以及气化速率,以避免前趋物输送系统因为需要以超过该前趋物输送系统的最大气化速率的速率气化该前趋物而无法及时填充该气瓶543的情况。
排气岐管组件
参见第9和10图,排气岐管组件300包含具有数个排气端口354的排气盘352、排气室351、控制节流阀357、以及闸阀356,并且是利用O型环(未示出)真空密封在侧壁100b上。该等制程气体通过该数个端口354从制程空间22a排出,并且通过数个相关的排气流量控制元件353提供给排气室351,流量控制元件353,在某些实施例中,是与流速控制元件206相似。制程气体然后流经控制节流阀357和闸阀356至一外部真空泵系统(未示出)。排气盘352可利用再循环液体或其他方式冷却或加热,取决于使用的特定制程。注意到对于某些ALD或CVD制程来说,预期加热该排气岐管组件300(因此排气端口354),以最小化其上的冷凝。流速控制元件206,其在一实施例中可以是机械式蝶阀或针阀,以及排气流量控制元件353可以独立调整,以容许该制程空间22a内有最佳制程气流形态或剂量流。在本发明的另一态样中,利用温度受控制的热交换流体来控制该排气盘352的温度,其流经排气盘352内的铣削通道(未示出)。
批式沉积制程的热控制
在形成具有令人满意的薄膜性质(例如良好的阶梯覆盖、最小量微粒、结晶或非晶结构、应力等)的均匀薄膜的尝试中,控制批式制程腔室内各种零组件的温度是很重要的。通常需要控温的批式制程腔室的四个区域是利用加热结构400、507和550的基材温度,利用一或多个热交换元件的腔室壁温度、利用一或多个热交换元件的注入岐管组件200内零组件的温度、以及利用一或多个热交换元件的排气岐管组件300内零组件的温度。如上所注,该等基材的温度控制会对沉积膜的薄膜性质有影响,因此是批式ALD或批式CVD制程一个重要的部分。因此,该晶圆匣46内的该等基材的一致性和设定点温度的控制是批式沉积制程的重要态样。
批式制程腔室的第二个控温区域是批式制程腔室的制程空间侧壁(例如侧壁100a-b、顶板32、圆形密封盘60等)。如上所注,侧壁温度的控制可利用侧壁内的铣削通道或与批式腔室侧壁交流的热产生元件完成。批式腔室壁的温度是重要的,以最小化不想要的副产物在该等侧壁上的聚集,并确保随后制程步骤期间不会有冷凝的前趋物停留在该等侧壁上,以试图最小化制程污染及微粒产生。在某些例子中,可能需要将侧壁温度设定得够高,以使品质良好的膜(例如非由微粒产生的膜)可以形成在该等侧壁上,以最小化制程污染和微粒产生。
批式制程腔室的第三个控温区域是注入岐管组件200。该注入岐管组件的温度可利用该注入岐管组件200零组件内的铣削通道或与各零组件交流的一或多种热产生元件(例如阻抗加热器元件、热交换器等)(未示出)来控制。通常会加热注入岐管组件200所有零组件及进气线505A以确保注入的前驱物不会冷凝并遗留在这些零组件表面上,其可产生微粒并影响腔室制程。通常也会将注入岐管组件200零组件的温度控制在低于前趋物分解温度下,以避免前趋物在各种注入岐管组件零组件上的气相分解及/或表面分解,其可「堵塞」注入盘210中的端口208。
批式制程腔室的第四个控温区域是排气岐管组件300。该排气岐管组件的温度可利用该排气岐管组件300零组件内的铣削通道或与各零组件交流的一或多种热产生元件(例如阻抗加热器元件、热交换器等)(未示出)来控制。通常会加热排气岐管组件300所有零组件及排气线355以确保注入的前驱物不会冷凝并遗留在这些零组件表面上。通常也会将排气岐管组件300零组件的温度控制在低于前趋物分解温度下,以避免前趋物沉积在各种排气岐管组件零组件表面上,并「堵塞」排气盘352中的排气端口354。
在本发明的一态样中,例如,一氧化铪沉积制程是利用TDMAH前趋物完成,其中基材温度是维持在介于约200和约300℃间的温度下,侧壁温度是维持在介于约80℃和约100℃间的温度下,注入岐管200的温度是维持在介于约80℃和约100℃间的温度下,而排气岐管300的温度是维持在介于约80℃和约100℃间的温度下。在本发明的一态样中,基材温度是维持在比腔室壁(例如侧壁100a-b、顶板等)高的温度下,腔室壁的温度是维持在比排气岐管组件300的温度高的温度下,该排气岐管组件300的温度则比该注入岐管组件200的温度高。
等离子体辅助ALD
在一实施例中,该批式制程腔室含有电容或感应耦合的RF来源(未示出),以在沉积制程在该批式制程腔室内完成之前、期间或之后提供等离子体轰击。用来在制程空间22a中产生等离子体的典型的RF频率是介于约0.3MHz(百万赫兹)至大于10GHz(十亿赫兹)间。该薄膜的等离子体轰击会影响沉积膜的性质(例如膜应力、阶梯覆盖等)。在批式制程腔室中产生电容偶合等离子体的例示设备及方法在1999年1月12号提出申请的标题为「垂直等离子体辅助制程设备及方法(Vertical Plasma Enhanced ProcessApparatus and Method)」的美国专利第6,321,680号中进一步描述,其在此通过引用至不与在此所主张的态样及揭示不一致的程度下并入本文中。在一实施例中,一感应线圈是装设在该制程空间22a的内侧(或外侧)(未示出),以在该等基材上产生并控制等离子体。在一实施例中,环形等离子体来源(toroidal plasma source)是适于批式制程腔室使用,以在该等基材表面上产生等离子体。例示环形来源组件在2000年8月11号提出申请的标题为「利用外部激发环形等离子体来源处理工作件的方法(Method ofProcessing A Workpiece Using An Externally Excited Torroidal PlasmaSource)」的美国专利第6,410,449号中进一步描述,其在此通过引用至不与在此所主张的态样及揭示不一致的程度下并入本文中。在此实施例中,一或多个环形来源导管(未示出),等离子体在其中产生,连接至批式腔室壁100b之上,而该导管的另一端则连接至相对的侧壁100b上。因此,可产生等离子体电流,其从一个导管跨越该等基材表面流至该导管的另一端。
在一实施例中,数个偏压电极(未示出)可嵌入在承座62内,以偏压基材而促进沉积制程不同阶段期间基材表面的等离子体轰击。该偏压电极可以利用第二RF来源(未示出)RF偏压,或是可以接地,以试图促进基材表面的轰击。
提高系统产能
如上面强调者,本发明的一态样是与一或多个单一基材制程腔室一起使用批式腔室以增加系统产能。使用一或多个批式腔室的优势可在使用批式腔室来完成一或多个制程程序中特别冗长的制程步骤时实际了解到,因为特别冗长的制程步骤只需要在批次中所有基材上完成一次即可。
第13A-C图简要示出基材制程程序期间该机械臂113和工厂接口机械臂108A-B经由来自该系统控制器102的指令用来传送基材的多种基材传送路径。一传送路径一般是基材在其从一个位置移至另一个位置时,因此多种制程配方步骤可在该基材上执行,的行进路径的图式表示。配合传送路径中相关位置的相关制程配方步骤在第14A-F图示出,并且在下方描述。该机械臂113及其相关零组件并没有在第13A-F图示出以求清楚,因而可更清楚示出基材的传送路径。第13A-F图所示的传送路径示出在Centura RTM系统中可能的传送路径,其可由应用材料公司取得,但这并不意欲限制本发明的范围,因为集结式机台的形状或制程站的数量并不受限于在此所述的本发明的各态样。例如,在一实施例中,一或多个单一基材制程腔室与批式腔室结合的用法可在一Endura RTM系统中使用,也可由应用材料公司取得。虽然第13A-C图的每一个皆示出基材”W”从设置在位置105A上的晶圆盒,或FOUP(前开式晶圆盒),传出,但是此配置并没有限制意味,因为晶圆盒可设置在任何一个晶圆盒位置105A-D上,并且任何一个工厂接口机械臂108A-B皆可传送该基材至负载锁定室106A或106B。在另一实施例中,不使用工厂接口,并且基材是直接由使用者设置在该等负载锁定室106A-B之内。
图13A示出一制程程序的一实施例,其中基材”W”是沿着基材传送路径A1-A6传送通过该集结式机台100。图13A所示的制程程序的相关制程配方步骤在图14A进一步示出。在此实施例中,该基材是从设置在位置105A上的晶圆盒移出,并且沿着传送路径FI1传送至负载锁定室106A。在一实施例中,其中该负载锁定室106A是一批式负载锁定室,该工厂接口机械臂108A-B会载入装设在该负载锁定室106A中的负载锁定晶圆匣(未示出),直到其满载为止,然后经由来自该系统控制器102的指令,该负载锁定室106A会关闭并排空至一预期低压,因此基材可以传送进入该传送腔室110内,其已经是处于真空排空状态下。一旦该负载锁定室106A已经排空,该基材即可选择性地沿着该传送路径A1从该负载锁定室106A传送至该公设腔室116A中,在其中一准备步骤302(在图14A示出)在该基材上完成。在另一实施例中,该制程程序可跳过该传送路径A1及相关的准备步骤302。该准备步骤302可包含一或多种准备步骤,包含但不限于,基材中心定位、基材定向、除气、回火、基材检查、沉积及/或蚀刻。在完成制程配方步骤302后,接着将基材传送至在位置114A上的制程腔室,如图13A所示,沿着该传送路径A2。在一实施例中,如图13A所示,该第一制程腔室是一批式制程腔室201。在此例中,该系统控制器会在该批式制程腔室201中载入两个或更多个基材,每一个基材皆依照先前的制程程序步骤,例如,依循图13A所示的A1和A2传送路径,以及其相关的制程配方步骤处理过,例如,准备步骤302,如图14A所描述者。在该批式制程腔室201内执行该制程配方步骤304后,该等基材相继在单一基材制程腔室202A至202C中处理,依循该传送路径A3-A5及其各自的制程配方步骤306-310,如第13A和14A图所示者。在一实施例中,制程配方步骤304是氧化铪(HfOx)沉积步骤及/或氧化铝(Al2O3)ALD沉积步骤。在一实施例中,制程配方步骤306至310可选自下列制程的一:RTP、DPN、PVD、CVD(例如CVD多晶硅、TEOS(四乙氧基硅烷)等)、或量测制程步骤。
参见图13A和图14A,在最后一个制程配方步骤310已经在基材上完成后,该等基材会沿着传送路径A6载入该批式负载锁定室内。载入该批式负载锁定室的制程相继完成,直到所有的基材皆已处理过并回到该负载锁定室106A为止。一旦所有基材都回到该负载锁定室,其会破真空至常压,并且该等基材会通过该等工厂接口机械臂108A-B之一沿着该传送路径FI1传送至该晶圆盒。图13A和图14A所示的制程程序的其他实施例也包含该批式制程腔室可能是制程程序中第二个或第三个制程腔室的情况,在此情况中先前的制程程序步骤会在基材进入该批式制程腔室201前先在其上执行。在另一实施例中,在该批式制程步骤之后只有两个制程步骤在基材上完成,因此该传送路径A5会将基材传送至该负载锁定室106A。在又另一实施例中,在该批式制程步骤之后只有一个制程步骤在基材上完成,因此该传送路径A4会将基材传送至该负载锁定室106A。
图13B示出一制程程序的一实施例,其中基材”W”是沿着基材传送路径B1-B7传送通过该集结式机台100。图13B所示的制程程序的相关制程配方步骤在图14B进一步示出。在此实施例中,该基材是从设置在位置105A上的晶圆盒移出,并且沿着传送路径FI1传送至负载锁定室106A。在一情况中,其中负载锁定室106A是一批式负载锁定室,该系统控制器102会载入该负载锁定室106A中的负载锁定晶圆匣(未示出),然后将该负载锁定室排空,因此基材可以传送进入该主架构110内。一旦该负载锁定室106A已经排空,该基材即可选择性地沿着传送路径B1从该负载锁定室106A传送至公设腔室116A中,在其中一准备步骤302在该基材上完成。在完成准备步骤302后,接着将基材传送至装设在位置114A-D上的制程腔室。在一实施例中,该基材是经传送至在位置114A上的制程腔室,如图13B所示,沿着该传送路径B2。在一实施例中,如图13B所示,该第一制程腔室是一批式制程腔室201。在此例中,该系统控制器102会依循图13B所示的B1和B2传送路径及其相关的配方步骤302,如图14B所示者,在该批式制程腔室201中载入两个或更多个基材。在该批式制程腔室201内完成制程配方步骤304后,该等基材一个一个地传回该负载锁定室106,沿着该传送路径B3,直到该批式制程腔室201变成空的为止。接着容纳在负载锁定室106A内的基材相继在该等单一基材制程腔室202A至202C中处理,依循该等传送路径B4-B6以及制程配方步骤306-308及310,如分别在第13B和14B图中所示者。在一实施例中,制程配方步骤304是氧化铪(HfOx)沉积步骤及/或氧化铝(Al2O3)ALD沉积步骤。在一实施例中,制程配方步骤308至310可选自下列制程之一:RTP、DPN、PVD、CVD(例如CVD多晶硅、TEOS等)、或量测制程步骤。
参见第13B和14B图,在最后一个制程步骤已经在每一个基材上完成后,该等基材会沿着传送路径B7载入该批式负载锁定室内。一旦所有基材皆回到该负载锁定室106A,该负载锁定室会破真空至常压,并且该等基材会通过该等工厂接口机械臂108A-B之一沿着该传送路径FI1传送至该晶圆盒。图13B所示的制程程序与图13A所示的制程程序不同,因为该制程程序载出该批式制程腔室201的动作释出该批式制程腔室201,因此从装设在该等位置105B-D之上的另一个晶圆盒载入于该负载锁定室106B中的晶圆可以载入该批式制程腔室201中,并在随后制程202A-C在原先载入于负载锁定室106A内的基材上完成时处理。在其他实施例中,该等制程程序可具有比第13B和14B图所示者少的制程程序步骤。
图13C示出一制程程序的一实施例,其中基材”W”是沿着基材传送路径C1-C4传送通过该集结式机台100。图13C所示的制程程序的相关制程步骤在图14C进一步示出。在此实施例中,该基材是从设置在位置105A上的晶圆盒移出,并且沿着传送路径FI1传送至负载锁定室106A。在一情况中,其中负载锁定室106A是一批式负载锁定室,该等工厂接口机械臂108A-B会载入装设在该负载锁定室106A中的负载锁定晶圆匣(未示出),直到其满载为止,然后将其排空。一旦该负载锁定室106A已经排空,该基材即可选择性地沿着该传送路径C1从该负载锁定室106A传送至公设腔室116A或116B中,在其中一或多个准备步骤322在该基材上完成。在制程后,接着沿着传送路径C2将该基材传送至装设在位置114C或114D上的制程腔室。在一实施例中,如图13C所示,该第一制程腔室是一单一基材制程腔室202A或202B,在其中一基材制程步骤324可在该基材上执行。在一实施例中,该基材制程步骤324可包含一或多种制程配方步骤,包含但不限于,基材除气、回火、预清洁、量测或基材检查、沉积及/或蚀刻。一预清洁腔室,例如可从加州圣塔克拉拉的应用材料公司取得的预清洁II腔室(Pre-Clean II ChamberTM),通过除去不想要的氧化层来清洁该等基材。在该等制程腔室202A或202B之内处理过后,该基材接着沿着传送路径C3传送至该批式制程腔室201。在此例中,该系统控制器会在该批式制程腔室201中载入已经依循如图13C所示的传送路径C1和C2,以及如图14C所描述的配方步骤322和324处理过的两个或更多个基材。该制程配方步骤326接着在该批式制程腔室201中在该等基材上完成。在一实施例中,制程配方步骤326是氧化铪(HfOx)沉积步骤及/或氧化铝(Al203)ALD沉积步骤。
在第13C及14C图所示的该制程程序的一实施例中,该第一基材制程,在该单一基材制程腔室202A或202B内执行,是一预热制程,其中基材在其安置于该批式制程腔室201内前先预热至一预期温度。使用此制程程序可最小化在该批式制程腔室201中开始批式晶圆制程前稳定基材温度的所需时间,因此可增加制程程序产能。此制程程序在批式制程意欲在低于约350℃的温度下执行的情况中是重要的,因为利用辐射热传送法传送热至该晶圆的能力在这些低制程温度下是无效的。一例示预热制程可以是,例如,在该批式制程腔室内约250℃的温度下处理该等基材前先将该等基材预热至约250℃的温度。在本发明的一态样中,该单一基材制程腔室是由一批式基材预热腔室(未示出)取代,其适于同时预热两个或多个基材至预期预热温度。
在一实施例中,该预热制程是在该等基材被安置在该批式制程腔室201内之前在该批式负载锁定室106中执行。在本发明的一态样中,该等基材可在腔室已经排空之后利用辐射热传送法(例如灯、阻抗加热器等)或通过通入加热的清洁气体(例如氩气等)至留置在一批式负载锁定晶圆匣内的基材表面上在该批式负载锁定室中预热。在本发明的另一态样中,该批式负载锁定室可安装含有数个导热隔板的负载锁定晶圆匣,该等导热隔板适于预热留置在其中的晶圆。在一实施例中,在该批式负载锁定室106内预热后,该基材在其安置于该批式制程腔室201内前于一或多个单一基材制程腔室202A中处理。
在该集结式机台100的一实施例中,一预热位置或预热腔室(未示出)是经设置在一传送腔室110和该批式制程腔室201间。在该集结式机台100的另一实施例中,一预热位置或预热腔室是经设置在前端环境104和该批式制程腔室201间。例如,如图2C所示,该缓冲/冷却位置152上的冷却盘153是适于在置放该基材于该批式制程腔室201中之前预热该等基材。在一实施例中,该缓冲/冷却位置152是适于在置放该基材于该批式制程腔室201中之前预热该等基材,并且也适于在该批式制程腔室201内处理后冷却该等基材。在此配置中,该缓冲/冷却位置152可使用热电元件或温度受控制的流体热交换体来加热及/或冷却该等基材。
参见图13C和图14C,该等基材然后沿着该传送路径C4传送回到该负载锁定室106A,直到该批式制程腔室201变成空的为止。一但所有的基材皆返回,该负载锁定室会破真空至常压,并且该等基材会沿着该传送路径FI1一个一个被传送至该晶圆盒。
在一实施例中,一制程步骤328是经添加至图13C所示的该制程程序中,其进一步在图13D和图14D中示出。在此实施例中,该基材在该批式制程腔室201内处理后沿着传送路径C4’传送至该后批式制程腔室。在制程配方步骤328于该制程腔室202D内完成后,沿着传送路径C5’将该等基材传送至该负载锁定室106A。
图13E和图13F示出两个不同的制程程序,其可与图2C所示的集结式机台100合并使用。图13E示出一制程程序的一实施例,其中基材”W”是沿着基材传送路径E1-E4和FI1-FI3传送通过该集结式机台100。图13E所示的制程程序的相关制程步骤在图14E进一步示出。在此实施例中,该基材是沿着传送路径FI1从设置在位置105A上的晶圆盒移出,并且安置在与该批式基材制程腔室201连接的腔室150A的缓冲/冷却位置152A上。在该基材停置在该缓冲/冷却位置152A上之后,该基材传送机构154A沿着传送路径E1将该基材传送进入连接的批式制程腔室201内。该系统控制器102可沿着图13E所示的传送路径FI1和E1在该批式制程腔室201中载入两个或多个基材。在该批式制程步骤304已经在该批式制程腔室201内完成后,接下来沿着该传送路径E2将该基材传送至该缓冲/冷却位置152A,在此可以冷却该基材,因此其可被传送至下一个制程步骤。该基材然后沿着传送路径FI2从该缓冲/冷却位置152A传送至该缓冲/冷却位置152B。在该基材停置在该缓冲/冷却位置152B上之后,该基材传送机构154B沿着传送路径E3将该基材传送进入连接的单一基材制程腔室202A。在该单一基材制程步骤306已经在该单一基材制程腔室202A内完成后,接着沿着传送路径E4将该基材传送至该缓冲/冷却位置152B,在此可以冷却该基材,因此其可沿着传送路径FI3传送至晶圆盒。
图13F示出该基材进入单一基材制程腔室202A的传送。图13F示出一制程程序的一实施例,其中基材”W”是沿着基材传送路径F1-F4和FI1-FI3传送通过该集结式机台100。图13F所示的制程程序的相关制程步骤在图14F进一步示出。在此实施例中,该基材是沿着传送路径FI1从设置在位置105B上的晶圆盒移出,并且安置在与该单一基材制程腔室202A连接的腔室150B的缓冲/冷却位置152B上。在该基材停置在该缓冲/冷却位置152B上之后,该基材传送机构154B将该基材传送进入连接的单一基材制程腔室202A内。在该单一基材制程步骤304已经在该单一基材制程腔室202A内完成后,接下来沿着该传送路径F2将该基材传送至该缓冲/冷却位置152B,在此可以冷却该基材,因此其可被传送至下一个制程步骤。该基材然后沿着传送路径FI2从该缓冲/冷却位置152B传送至该缓冲/冷却位置152A。在该基材停置在该缓冲/冷却位置152A上之后,该基材传送机构154A沿着传送路径F3将该基材传送进入连接的批式制程腔室201。该系统控制器102可沿着图13F所示的传送路径FI1、F1-F2、FI2、和F3在该批式制程腔室201中载入两个或多个基材。在该制程步骤306已经在该批式制程腔室201内完成后,接着沿着传送路径F4将该基材传送至该缓冲/冷却位置152A,在此可以冷却该基材,因此其可沿着传送路径FI3传送至晶圆盒。
在本发明的一态样中,如图2C-E和图13E-F所示者,该系统控制器102是适于监控该等基材暴露在环境中之后的等待时间,在其已经在一第一制程腔室(例如单一基材制程腔室202A或批式制程腔室201)内处理过后以及在下一个制程配方步骤中处理之前。例如,图13E所示的实施例,该系统控制器102可在该基材被置放在该缓冲/冷却位置152A上时开始计算其暴露时间,直到该基材被置放在该单一基材制程腔室202A内为止(例如传送路径步骤E2、FI2和E3),因此不会在该单一基材制程腔室202A已经准备好接受一基材之前将该基材置放在该缓冲/冷却位置152A上。以此方式,最小化该基材在两个制程配方步骤之间(例如制程步骤304和制程步骤306)暴露在污染物下的时间。
制程配方程序
氧化铪/氧化铝电容堆叠范例
图15A和15B示出可利用使用本发明的态样的制程程序6来制造的电容结构5的剖面图。在一实施例中,用来制造该电容结构5的制程程序,如下面所讨论者,可在与图2B所示的配置类似的集结式机台100中依循图15D所示的传送路径完成。该电容结构5一般含有基材1、下导电层2、介电层3以及上导电层4。在一实施例中,在制程之前,利用习知微影和蚀刻技术在该基材中形成一沟槽1A,而使沟槽1A形成在该基材1表面上。在沟槽1A已经形成在该等基材的一或多个上之后,将其移至该集结式机台100中,以使该等层2-4可依循图15C所示的制程程序并依循图15D所示的传送路径(元件G1-G8)形成在该基材表面上。先将该基材定位在该公设腔室116A内(或116B,未示出),并利用装设在该公设腔室116A内的IR灯除气。在本发明的一态样中,可在该公设腔室116A内于该基材上完成预清洁制程步骤302,以除去任何表面污染。
该制程程序6内的第二制程配方步骤304是在该基材1表面上以及在该沟槽1A中沉积该下导电层2。该制程配方步骤304可在单一基材制程腔室202A中完成,此时利用CVD、PVD或ALD沉积制程沉积1000埃的金属,例如钽、氮化钽、钨、钛、铂、氮化钛、掺杂的多晶硅或钌。在执行该制程配方步骤304之前,从该公设腔室116A沿着传送路径G2将该基材传送至该单一基材制程腔室202A中。
实施下一个制程配方步骤306(即306A-D)以沉积一或多种介电材料的一或多个层,以辅助形成该电容结构5的介电层3。图15A和15B示出本发明的一态样,其中三个介电层(即3A-C)被沉积在该下导电层2上方,并且在最后的介电层3C的最上层上执行最后的表面处理制程3D。沉积在基材表面上的沉积层的数量和厚度可根据需要改变以符合元件效能要求,因此在此所述的制程程序的说明或例示并不意欲限制本发明的范围。
该第三制程配方步骤306A,利用CVD或ALD制程技术在该下导电层2上沉积第一介电层3A。例如,该第一介电层3A是利用ALD型制程沉积的厚度30埃的氧化铪或硅酸铪氧化合物(hafnium silicate)(即氧化铪硅)层。因为氧化铪或硅酸铪氧化合物的沉积速率很慢,例如,沉积30埃会需要200分钟左右的时间,故此特别冗长的制程步骤在该批式制程腔室201A内完成。因此,为了达到最大的集结式机台产能,在开始该批式制程步骤306A之前,将该批式制程腔室201A载入已经完成该第一和第二制程配方步骤302和304的两个或更多个基材。形成ALD氧化铪或硅酸铪氧化合物薄膜的一例示方法的实例在2004年5月12号提出申请的标题为「含铪的高介电常数材料的原子层沉积」的美国专利临时申请案第60/570,173号[APPM 8527L]中进一步描述,其在此通过引用至不与在此所主张的态样及揭示不一致的程度下并入本文中。在执行该制程配方步骤306A之前,从该单一基材制程腔室202A沿着传送路径G3将基材传送至该第一批式制程腔室201A中。
该第四制程配方步骤306B,利用CVD或ALD制程技术在该第一介电层3A上沉积第二介电层3B。例如,该第二介电层3B是利用ALD型制程沉积的厚度30埃的氧化铝层。虽然第15C和15D图示出将该等基材从该第一批式腔式201A传送至该第二批式腔室201B的程序,以最小化任何制程交互反应或污染的顾虑。但在一实施例中,两个沉积制程(例如306A和306B)是在相同的批式制程腔室中完成。因为该ALD氧化铝制程的沉积速率很慢,例如,沉积30埃会需要20-45分钟左右的时间,故此特别冗长的制程步骤在该批式制程腔室201B内完成。因此,为了达到最大的集结式机台产能,在开始该批式制程步骤306B之前,将该批式制程腔室201B载入已经完成该第一、第二和第三制程配方步骤302、304和306A的两个或更多个基材。形成ALD氧化铝薄膜的一例示方法的实例在2002年11月21号提出申请的标题为「氧化铝腔室及制程」的美国专利申请案第10/302,773号[APPM 6198]中进一步描述,其在此通过引用至不与在此所主张的态样及揭示不一致的程度下并入本文中。在执行该制程配方步骤306B之前,从该第一批式制程腔室201A沿着传送路径G4将基材传送至该第二批式制程腔室201B中。
该第五制程配方步骤306C,利用CVD或ALD制程技术在该第二介电层3B上沉积第三介电层3C。例如,该第三介电层3C是利用ALD型制程沉积的厚度30埃的氧化铪或硅酸铪氧化合物层。因为氧化铪或硅酸铪氧化合物的沉积速率很慢,为避免该批式制程腔室201B的任何交叉污染,故此特别冗长的制程步骤在该批式制程腔室201A内完成。因此,为了达到最大的集结式机台产能,在开始该批式制程步骤306C之前,将该批式制程腔室201A载入已经完成该第一、第二、第三和第四制程配方步骤302、304、306A和306B的两个或更多个基材。在执行该制程配方步骤306C之前,从该第二批式制程腔室201B沿着传送路径G5将基材传送至该第一批式制程腔室201A中。
该第六制程配方步骤306D,是在单一基材制程腔室202B中完成的等离子体氮化制程步骤,其是经配置以在该第三介电层3C表面上继续执行DPN制程技术。例如,该基材是经传送至一DPN腔室中,例如可从位于加州圣塔克拉拉的应用材料公司取得的CENTURATM DPN腔室。在DPN制程期间,以共流氮气和例如氩气的钝气等离子体形成的原子氮轰击该介电层3C。除了氮气,也可用其他含氮气体来形成该氮气等离子体,例如氨气、联胺类(hydrazines)(例如N2H4或MeN2H3)、胺(amines)(例如Me3N、Me2NH或MeNH2)、苯胺(anilines)(例如C6H5NH2)、和叠氮化物(azides)(例如MeN3或Me3SiN3)。可在等离子体制程中使用的其它钝气包含氦气、氖气和氙气。氮化制程的长度可介于约10秒和约120秒间。该氮化制程一般是在从约900瓦至约2,700瓦的等离子体功率设定以及约10毫托耳至约100毫托耳的制程压力下执行。氮气流速从约0.1slm至约1.0slm,同时钝气流速从约0.1slm至约1.0slm。在一较佳实施例中,该氮化制程是一DPN制程,并且包含通过共流氩气和氮气而生的等离子体。在执行该制程配方步骤306D之前,从该第一批式制程腔室201B沿着传送路径G6将基材传送至该第二单一基材制程腔室202B中。
该制程程序6内的第七,及最终的,制程配方步骤307是在该介电层3表面上沉积该上导电层4以填充剩余的沟槽1A。该制程配方步骤307可在单一基材制程腔室202A内完成,此时利用CVD、PVD或ALD沉积制程沉积上导电层4,例如钽、氮化钽、钨、铂、钛、氮化钛、掺杂的多晶硅或钌。在执行该制程配方步骤307之前,从该第二单一基材制程腔室202B沿着传送路径G7将基材传送至该单一基材制程腔室202A中。然后将该(等)基材从该单一基材制程腔室202A沿着传送路径G8和FI1传送至晶圆盒105A。
虽然前述是针对本发明的实施例,但本发明的其他及进一步实施例可在不背离其基本范围下设计出,并且其范围是由权利要求决定。

Claims (25)

1.一种基材制程设备,其至少包含:
一工厂接口,具有通常维持在常压下的传送区域;
一冷却盘,其是适于加热及/或冷却一基材;
一可批式处理的基材制程腔室,其是与该工厂接口的传送区域交流;以及
一传送机械臂,位于该传送区域内,其是适于在该冷却盘和该可批式处理的基材制程腔室间传送一或多个基材。
2.如权利要求1所述的设备,其中上述的工厂接口进一步包含一过滤单元,其是适于提供经过滤的空气至该传送区域。
3.如权利要求1所述的设备,进一步包含一晶圆盒,其是适于容纳两个或多个基材,其中该传送机械臂是进一步适于存取位于该晶圆盒内的基材。
4.如权利要求1所述的设备,进一步包含一第二可批式处理的基材制程腔室,其是与该工厂接口的传送区域交流。
5.如权利要求1所述的设备,进一步包含一基材制程腔室,其是与该工厂接口的传送区域交流,其中该第二基材制程腔室是去耦合等离子体氮化、快速热制程、化学气相沉积、原子层沉积、物理气相沉积、或量测腔室。
6.如权利要求1所述的设备,其中上述的可批式处理的基材制程腔室是适于在一基材上执行化学气相沉积或原子层沉积制程。
7.一种基材制程设备,其至少包含:
一工厂接口,具有通常维持在常压下的传送区域;
一冷却盘,其是适于加热及/或冷却一基材;
一可批式处理的基材制程腔室组件,其是与该工厂接口的传送区域交流,其中该可批式处理的基材制程腔室组件包含:
一基材制程区域,具有形成一内部制程空间的一或多个侧壁;
一基材缓冲区域,具有形成一内部缓冲空间的一或多个侧壁,其中该基材缓冲区域与该基材制程区域相邻;以及
一制程晶圆匣,其是适于支撑两个或多个基材,其中可利用一举升机构在该内部缓冲空间和该内部制程空间之间传送该制程晶圆匣;以及
一传送机械臂,位于该传送区域内,其是适于在该冷却盘和该制程晶圆匣间传送一或多个基材。
8.如权利要求7所述的设备,其中上述的基材制程区域位于该基材缓冲区域上方。
9.如权利要求7所述的设备,进一步包含:
一晶圆盒,其是适于容纳两个或多个基材;
一第二机械臂,其是适于在该冷却盘和该晶圆盒间传送位于该晶圆盒内的该两个或多个基材之一。
10.如权利要求7所述的设备,进一步包含:
一狭缝阀,其是可密封地设置在该传送区域和该基材缓冲区域的内部缓冲空间之间,并且适于流体地隔离该内部缓冲空间和该传送区域;以及
一真空泵,其是与该缓冲区域流体交流,其中该真空泵是适于将该基材缓冲区域内的压力降至低于常压的压力。
11.如权利要求7所述的设备,进一步包含一气体输送系统,其是与该可批式处理的基材制程腔室组件的内部制程空间流体交流,其中该气体输送系统是适于输送含有前驱物的气体至该内部制程空间中,因此可在位于其内的一或多个基材上执行化学气相沉积或原子层沉积制程。
12.如权利要求7所述的设备,其中上述的传送机械臂具有数个机械臂片,其是适于在该冷却盘和该制程晶圆匣间同时传送多个基材。
13.如权利要求7所述的设备,其中上述的可批式处理的基材制程腔室组件进一步包含位于该基材制程区域和该基材缓冲区域间的挡板,其中该挡板是适于可密封地设置以隔离该内部制程空间和该内部缓冲空间。
14.一种基材制程设备,其至少包含:
一工厂接口,具有通常维持在常压下的传送区域;
一晶圆盒,其是适于容纳两个或多个基材,其中该晶圆盒是与该工厂接口的传送区域交流;
一第一可批式处理的基材制程腔室组件,其是与该工厂接口的传送区域交流,其中该第一可批式处理的基材制程腔室组件包含:
一第一基材制程区域,具有形成一第一内部制程空间的一或多个侧壁;
一第一传送区域,具有形成一第一内部缓冲空间的一或多个侧壁,其中该第一传送区域与该第一基材制程区域相邻;以及
一第一制程晶圆匣,其是适于支撑两个或多个基材,其中可利用一举升机构在该第一内部缓冲空间和该第一内部制程空间之间传送该第一制程晶圆匣;
一第二可批式处理的基材制程腔室组件,其是与该工厂接口的传送区域交流,其中该第二可批式处理的基材制程腔室组件包含:
一第二基材制程区域,具有形成一第二内部制程空间的一或多个侧壁;
一第二传送区域,具有形成一第二内部缓冲空间的一或多个侧壁,其中该第二传送区域与该第二基材制程区域相邻;以及
一第二制程晶圆匣,其是适于支撑两个或多个基材,其中可利用一举升机构在该第二内部缓冲空间和该第二内部制程空间之间传送该第二制程晶圆匣;
一真空泵,其是适于降低选自该第一内部制程空间、该第二内部制程空间、该第一内部缓冲空间、以及该第二内部缓冲空间的至少一个区域中的压力;以及
一传送机械臂,位于该传送区域内,其是适于在该晶圆盒和该第一制程晶圆匣或第二制程晶圆匣间传送一或多个基材。
15.如权利要求14所述的设备,进一步包含数个气体输送系统,其中至少一气体输送系统是与该第一和第二可批式处理的基材制程腔室组件的内部制程空间流体交流,其中每一个气体输送系统是适于输送含有前驱物的气体至该内部制程空间中,因此可在位于其内的一或多个基材上执行化学气相沉积或原子层沉积制程。
16.如权利要求14所述的设备,其中上述的工厂接口进一步包含一过滤单元,其是适于提供经过滤的空气至该传送区域。
17.如权利要求14所述的设备,其中上述的第一可批式处理的基材制程腔室组件及第二可批式处理的基材制程腔室组件两者皆进一步包含位于该基材制程区域和该基材缓冲区域间的挡板,其中该挡板是适于可密封地设置以隔离该内部制程空间和该内部缓冲空间。
18.如权利要求14所述的设备,其中上述的基材制程区域位于该基材缓冲区域上方。
19.一种基材制程设备,其至少包含:
一工厂接口,具有通常维持在常压下的传送区域;
两个或多个可批式处理的基材制程腔室,每一个皆与该传送区域交流,其中该两个或多个可批式处理的基材制程腔室包含:
一基材制程区域,具有形成一内部制程空间的一或多个侧壁;
一基材缓冲区域,具有形成一内部缓冲空间的一或多个侧壁,其中该基材缓冲区域与该基材制程区域垂直相邻;
一制程晶圆匣,其是适于支撑两个或多个基材,其中可利用一举升机构在该内部缓冲空间和该内部制程空间之间传送该制程晶圆匣;以及
一挡板,位于该基材制程区域和该基材缓冲区域间,其中该挡板是适于可密封地设置以隔离该内部制程空间和该内部缓冲空间;
一冷却盘,位于该工厂接口的传送区域中;以及
一机械臂,装设在该传送腔室中,其是适于在该冷却盘和该两个或多个可批式处理的基材制程腔式间传送基材。
20.如权利要求19所述的设备,进一步包含数个气体输送系统,其中至少一气体输送系统是与该两个或多个可批式处理的基材制程腔室的每一个的内部制程空间流体交流,其中每一个气体输送系统是适于输送含有前驱物的气体至该内部制程空间中,因此可在位于其内的一或多个基材上执行化学气相沉积或原子层沉积制程。
21.如权利要求19所述的设备,其中上述的工厂接口进一步包含一过滤单元,其是适于提供经过滤的空气至该传送区域。
22.一种基材制程设备,其至少包含:
一工厂接口,具有通常维持在常压下的传送区域;
一晶圆盒,其是适于容纳两个或多个基材,其中该晶圆盒是与该工厂接口的传送区域交流;
一可批式处理的基材制程腔室组件,其是与该工厂接口的传送区域交流,其中该可批式处理的基材制程腔室组件包含:
一基材制程区域,具有形成一内部制程空间的一或多个侧壁;
一基材缓冲区域,具有形成一内部缓冲空间的一或多个侧壁,其中该基材缓冲区域与该基材制程区域相邻;
一制程晶圆匣,其是适于支撑两个或多个基材;以及
一举升机构,其是适于在该内部缓冲空间和该内部制程空间之间传送该制程晶圆匣;
一第一缓冲腔室,包含:
一第一冷却盘,其是适于加热及/或冷却一基材;以及
一第一机械臂,其是适于在该第一冷却盘和该制程晶圆匣间传送一或多个基材;
一单一基材制程腔室,其是与该传送区域交流,其中该单一基材制程腔室具有形成一单一基材内部制程空间的一或多个侧壁;
一第二缓冲腔室,包含:
一第二冷却盘,其是适于加热及/或冷却一基材;以及
一第二机械臂,其是适于在该第二冷却盘和该单一基材制程腔室间传送一或多个基材;以及
一第三机械臂,位于该传送区域内,并且适于在该第一缓冲腔室、该第二缓冲腔室、和该晶圆盒间传送一或多个基材。
23.如权利要求22所述的设备,其中上述的单一基材制程腔室是去耦合等离子体氮化、快速热制程、化学气相沉积、原子层沉积、物理气相沉积、或量测腔室。
24.如权利要求22所述的设备,进一步包含一气体输送系统,其是与该可批式处理的基材制程腔室组件的内部制程空间流体交流,其中该气体输送系统是适于输送含有前驱物的气体至该内部制程空间中,因此可在位于其内的一或多个基材上执行化学气相沉积或原子层沉积制程。
25.如权利要求22所述的设备,其中上述的工厂接口进一步包含一过滤单元,其是适于提供经过滤的空气至该传送区域。
CN2005800398499A 2004-11-22 2005-11-22 使用批式制程腔室的基材处理装置 Expired - Fee Related CN101061253B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US63050104P 2004-11-22 2004-11-22
US60/630,501 2004-11-22
US64287705P 2005-01-10 2005-01-10
US60/642,877 2005-01-10
PCT/US2005/042762 WO2006055984A2 (en) 2004-11-22 2005-11-22 Substrate processing apparatus using a batch processing chamber

Publications (2)

Publication Number Publication Date
CN101061253A true CN101061253A (zh) 2007-10-24
CN101061253B CN101061253B (zh) 2010-12-22

Family

ID=36407893

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800398499A Expired - Fee Related CN101061253B (zh) 2004-11-22 2005-11-22 使用批式制程腔室的基材处理装置

Country Status (7)

Country Link
US (3) US20060156979A1 (zh)
EP (1) EP1824960A2 (zh)
JP (1) JP2008521261A (zh)
KR (1) KR20070089197A (zh)
CN (1) CN101061253B (zh)
TW (1) TWI335618B (zh)
WO (1) WO2006055984A2 (zh)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102112655A (zh) * 2008-08-01 2011-06-29 皮考逊公司 原子层淀积设备和装载方法
WO2012003715A1 (zh) * 2010-07-09 2012-01-12 江苏中晟半导体设备有限公司 具有多个外延反应腔的mocvd系统及其操作方法
CN101835922B (zh) * 2007-10-24 2012-05-02 Oc欧瑞康巴尔斯公司 用于制造工件的方法和装置
CN102560428A (zh) * 2012-03-09 2012-07-11 上海宏力半导体制造有限公司 化学气相沉积机台
CN103959440A (zh) * 2011-11-17 2014-07-30 株式会社Eugene科技 包括隔热板的基板处理装置
CN104167377A (zh) * 2013-05-20 2014-11-26 北京北方微电子基地设备工艺研究中心有限责任公司 托盘冷却装置、方法、装载腔和半导体设备
CN104233226A (zh) * 2013-06-09 2014-12-24 北京北方微电子基地设备工艺研究中心有限责任公司 一种原子层沉积设备
US8968476B2 (en) 2008-12-29 2015-03-03 K.C. Tech Co., Ltd. Atomic layer deposition apparatus
CN105463408A (zh) * 2014-09-30 2016-04-06 朗姆研究公司 用于等离子体辅助原子层沉积中的rf补偿的方法和装置
CN108352300A (zh) * 2015-11-13 2018-07-31 应用材料股份有限公司 利用选择性表面修改填充结构的技术
CN109072428A (zh) * 2016-04-18 2018-12-21 Asm Ip 控股有限公司 复合退火以及选择性沈积系统
CN109311052A (zh) * 2016-04-18 2019-02-05 Asm Ip控股有限公司 复合退火和选择性沈积方法
CN109689930A (zh) * 2016-09-16 2019-04-26 皮考逊公司 用于原子层沉积的设备和方法
CN110574150A (zh) * 2017-05-01 2019-12-13 应用材料公司 具有真空隔离和预处理环境的高压退火腔室
CN110724937A (zh) * 2018-07-16 2020-01-24 江苏迈纳德微纳技术有限公司 用于高纯薄膜沉积的原子层沉积系统
CN110926398A (zh) * 2018-09-19 2020-03-27 台湾积体电路制造股份有限公司 厚度测量系统与方法
CN111190393A (zh) * 2018-11-14 2020-05-22 长鑫存储技术有限公司 半导体制程自动化控制方法及装置
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
CN112813422A (zh) * 2020-12-30 2021-05-18 无锡邑文电子科技有限公司 一种基于腔体互联的沉积方法和沉积设备
CN113097105A (zh) * 2021-03-25 2021-07-09 浙江焜腾红外科技有限公司 二类超晶格制冷红外芯片干法刻蚀装置及刻蚀方法
CN114729452A (zh) * 2019-09-25 2022-07-08 Beneq有限公司 用于处理半导体基材的表面的方法和设备

Families Citing this family (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7462011B2 (en) * 2004-08-12 2008-12-09 Tokyo Electron Limited Substrate processing system, substrate processing method, sealed container storing apparatus, program for implementing the substrate processing method, and storage medium storing the program
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US7351656B2 (en) * 2005-01-21 2008-04-01 Kabushiki Kaihsa Toshiba Semiconductor device having oxidized metal film and manufacture method of the same
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
KR100628887B1 (ko) * 2005-02-01 2006-09-26 삼성전자주식회사 마이크로웨이브 에너지를 이용하여 기판 상에 막을형성하는 방법 및 이를 수행하기 위한 장치
US20090209095A1 (en) * 2005-06-22 2009-08-20 Sadayoshi Horii Manufacturing Method for Semiconductor Devices and Substrate Processing Apparatus
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
TWI329136B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
GB2432590B (en) * 2005-11-24 2010-11-03 Boc Group Plc Chemical vapour deposition apparatus
KR100779118B1 (ko) * 2005-12-09 2007-11-27 주식회사 테라세미콘 평판표시장치 제조시스템
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
WO2008008727A2 (en) * 2006-07-10 2008-01-17 Applied Materials, Inc. Scheduling method for processing equipment
US7522968B2 (en) * 2006-07-10 2009-04-21 Applied Materials, Inc. Scheduling method for processing equipment
US20080051930A1 (en) * 2006-07-10 2008-02-28 Oh Hilario L Scheduling method for processing equipment
JP2008034746A (ja) * 2006-07-31 2008-02-14 Tokyo Electron Ltd 塗布、現像装置、その方法及び記憶媒体
US7989366B2 (en) * 2006-08-31 2011-08-02 Applied Materials, Inc. Dopant activation in doped semiconductor substrates
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
KR20090068221A (ko) * 2006-10-13 2009-06-25 오므론 가부시키가이샤 플라즈마 반응로 처리 시스템을 이용한 전자 장치의 제조 방법
DE102006053941B3 (de) * 2006-11-15 2008-01-31 Siltronic Ag Verfahren zum Prüfen der mechanischen Bruchfestigkeit einer Halbleiterscheibe
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
KR20080057080A (ko) * 2006-12-19 2008-06-24 삼성전자주식회사 증착장치 및 증착방법
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080199995A1 (en) * 2007-02-15 2008-08-21 Debra Susan Woolsey Integrated Hydrogen Anneal and Gate Oxidation for Improved Gate Oxide Integrity
US8950998B2 (en) * 2007-02-27 2015-02-10 Brooks Automation, Inc. Batch substrate handling
US20080220150A1 (en) * 2007-03-05 2008-09-11 Applied Materials, Inc. Microbatch deposition chamber with radiant heating
WO2008141106A1 (en) * 2007-05-09 2008-11-20 Applied Materials, Inc. Transfer chamber with vacuum extension for shutter disks
US20080276867A1 (en) * 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
US20090004405A1 (en) * 2007-06-29 2009-01-01 Applied Materials, Inc. Thermal Batch Reactor with Removable Susceptors
US7790628B2 (en) * 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7964515B2 (en) * 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
KR101043211B1 (ko) * 2008-02-12 2011-06-22 신웅철 배치형 원자층 증착 장치
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP4961381B2 (ja) * 2008-04-14 2012-06-27 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US10041169B2 (en) * 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
JP5511273B2 (ja) * 2008-09-12 2014-06-04 株式会社日立国際電気 基板処理装置及び基板処理方法
US20100117309A1 (en) * 2008-11-13 2010-05-13 Applied Materials, Inc. Sealing apparatus for a process chamber
WO2010067544A1 (ja) * 2008-12-12 2010-06-17 芝浦メカトロニクス株式会社 基板冷却装置および基板処理システム
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
JP2010171344A (ja) * 2009-01-26 2010-08-05 Tokyo Electron Ltd 真空処理装置
US8318269B2 (en) * 2009-02-17 2012-11-27 Mcalister Technologies, Llc Induction for thermochemical processes, and associated systems and methods
JPWO2011114858A1 (ja) * 2010-03-15 2013-06-27 住友電気工業株式会社 半導体薄膜の製造方法、半導体薄膜の製造装置、サセプター、およびサセプター保持具
JP2011195863A (ja) * 2010-03-18 2011-10-06 Mitsui Eng & Shipbuild Co Ltd 原子層堆積装置及び原子層堆積方法
KR101139892B1 (ko) * 2010-05-14 2012-05-11 동우옵트론 주식회사 인시츄 가스분석기 교정시스템
US8642448B2 (en) 2010-06-22 2014-02-04 Applied Materials, Inc. Wafer dicing using femtosecond-based laser and plasma etch
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
JP5885404B2 (ja) * 2010-08-04 2016-03-15 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
TWM413957U (en) * 2010-10-27 2011-10-11 Tangteck Equipment Inc Diffusion furnace apparatus
US8906163B2 (en) * 2010-12-07 2014-12-09 Lam Research Corporation Methods and apparatus for integrating and controlling a plasma processing system
US9443749B2 (en) * 2011-01-20 2016-09-13 Tokyo Electron Limited Vacuum processing apparatus
NO332311B1 (no) * 2011-02-09 2012-08-27 Blue Logic As Anordning ved en ventil
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
TWI461566B (zh) 2011-07-01 2014-11-21 Ind Tech Res Inst 鍍膜用噴灑頭以及鍍膜裝置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101271247B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
KR101271246B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
KR101271248B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
DE102011113293A1 (de) * 2011-09-05 2013-03-07 Schmid Vacuum Technology Gmbh Vakuumbeschichtungsvorrichtung
KR101408084B1 (ko) * 2011-11-17 2014-07-04 주식회사 유진테크 보조가스공급포트를 포함하는 기판 처리 장치
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
WO2013102139A1 (en) * 2011-12-30 2013-07-04 Clearsign Combustion Corporation Method and apparatus for enhancing flame radiation
US8691706B2 (en) * 2012-01-12 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing substrate warpage in semiconductor processing
CN102534556A (zh) * 2012-02-20 2012-07-04 姜谦 一种常压多腔原子层沉积设备
WO2013124535A1 (en) * 2012-02-22 2013-08-29 Beneq Oy Apparatus for processing substrates
US20150253762A1 (en) * 2012-09-26 2015-09-10 Hitachi Kokusai Electric Inc. Integrated management system, management device, method of displaying information for substrate processing apparatus, and recording medium
KR101575406B1 (ko) * 2012-10-23 2015-12-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 퍼지 장치, 반도체 장치의 제조 방법 및 기록 매체
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6094256B2 (ja) * 2013-02-22 2017-03-15 日新イオン機器株式会社 イオンビーム照射装置
US10784075B2 (en) 2013-02-22 2020-09-22 Nissin Ion Equipment Co., Ltd. Ion beam irradiation apparatus
US9236257B2 (en) * 2013-03-13 2016-01-12 Varian Semiconductor Equipment Associates, Inc. Techniques to mitigate straggle damage to sensitive structures
KR20150132506A (ko) * 2013-03-15 2015-11-25 어플라이드 머티어리얼스, 인코포레이티드 소형 배치 기판 핸들링 시스템을 위한 온도 제어 시스템 및 방법
KR101507557B1 (ko) * 2013-04-25 2015-04-07 주식회사 엔씨디 대면적 기판용 수평형 원자층 증착장치
JP6186000B2 (ja) * 2013-08-27 2017-08-23 株式会社日立国際電気 基板処理装置のメンテナンス方法、半導体装置の製造方法、基板処理装置、及び基板処理装置のメンテナンスプログラム
JP6334880B2 (ja) * 2013-10-03 2018-05-30 Jswアフティ株式会社 原子層堆積装置および原子層堆積方法
KR102173047B1 (ko) * 2013-10-10 2020-11-03 삼성디스플레이 주식회사 기상 증착 장치
KR102316440B1 (ko) 2013-10-18 2021-10-22 브룩스 오토메이션 인코퍼레이티드 공정 장치
WO2015103358A1 (en) 2014-01-05 2015-07-09 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10113236B2 (en) 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
JP6549765B2 (ja) * 2014-06-16 2019-07-24 東京エレクトロン株式会社 処理方法
JP6363408B2 (ja) * 2014-06-23 2018-07-25 東京エレクトロン株式会社 成膜装置および成膜方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10566226B2 (en) * 2014-11-11 2020-02-18 Applied Materials, Inc. Multi-cassette carrying case
US10490429B2 (en) * 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
CN106463435B (zh) * 2014-12-11 2019-07-09 瑞士艾发科技 用于衬底脱气的室
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6826044B2 (ja) 2015-04-20 2021-02-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated バッファチャンバのウエハ加熱機構と支持ロボット
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
CN108292589B (zh) * 2015-11-23 2023-05-16 应用材料公司 在处理工具中的板载计量(obm)设计与影响
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102469407B1 (ko) 2016-02-12 2022-11-21 도쿄엘렉트론가부시키가이샤 일괄 처리 시스템에서의 다중막 퇴적 및 에칭을 위한 방법 및 장치
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6800237B2 (ja) 2016-03-08 2020-12-16 エヴァテック・アーゲー 基板を脱ガスするためのチャンバ
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102570269B1 (ko) 2016-07-22 2023-08-25 삼성전자주식회사 전세정 장치 및 기판 처리 시스템
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20190116294A1 (en) * 2016-10-18 2019-04-18 Interdigital Vc Holdings, Inc. Method for detection of saturated pixels in an image
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
TWI742201B (zh) * 2016-12-02 2021-10-11 美商應用材料股份有限公司 整合式原子層沉積工具
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
FR3064283B1 (fr) 2017-03-22 2022-04-29 Kobus Sas Procede et dispositif reacteur pour la realisation de couches minces mettant en œuvre une succession d'etapes de depots, et applications de ce procede
US20180272390A1 (en) * 2017-03-24 2018-09-27 Applied Materials, Inc. Batch processing load lock chamber
KR101879123B1 (ko) * 2017-03-28 2018-07-16 에스케이실트론 주식회사 웨이퍼 연마 장치
JP2020515723A (ja) 2017-03-31 2020-05-28 デュララ テクノロジーズ、エルエルシー 表面をコーティングするシステム及び方法
US11339464B2 (en) 2017-03-31 2022-05-24 Agm Container Controls, Inc. Plasma nitriding with PECVD coatings using hollow cathode ion immersion technology
EP3396698A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396700A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
EP3396699A1 (en) 2017-04-27 2018-10-31 TRUMPF Hüttinger GmbH + Co. KG Power converter unit, plasma processing equipment and method of controlling several plasma processes
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR101856609B1 (ko) 2017-06-01 2018-05-14 세메스 주식회사 기판 처리 장치의 검사 방법
CN110678973B (zh) 2017-06-02 2023-09-19 应用材料公司 碳化硼硬掩模的干式剥除
US10043693B1 (en) * 2017-06-06 2018-08-07 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20190070639A1 (en) * 2017-09-07 2019-03-07 Applied Materials, Inc. Automatic cleaning machine for cleaning process kits
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10167558B1 (en) 2017-10-13 2019-01-01 International Business Machines Corporation Phase shifted gas delivery for high throughput and cost effectiveness associated with atomic layer etching and atomic layer deposition
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10763134B2 (en) * 2018-02-27 2020-09-01 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
WO2019221972A1 (en) * 2018-05-12 2019-11-21 Applied Materials, Inc. Pre-clean chamber with integrated shutter garage
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20200000638A (ko) * 2018-06-25 2020-01-03 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN209276631U (zh) * 2018-07-02 2019-08-20 南京原磊纳米材料有限公司 一种原子层沉积设备
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020046567A1 (en) * 2018-08-29 2020-03-05 Applied Materials, Inc. Chamber injector
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20210118950A (ko) * 2019-02-19 2021-10-01 비코 인스트루먼츠 인코포레이티드 자동화된 뱃치 생산 박막 증착 시스템 및 그 사용 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11430672B2 (en) * 2019-03-04 2022-08-30 Applied Materials, Inc. Drying environments for reducing substrate defects
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11543296B2 (en) * 2019-05-31 2023-01-03 Applied Materials, Inc. Method and apparatus for calibration of substrate temperature using pyrometer
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
EP4078666A1 (en) * 2019-12-20 2022-10-26 Applied Materials, Inc. Bake devices for handling and uniform baking of substrates
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11443966B2 (en) * 2020-01-17 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing flow field control apparatus and method
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7228612B2 (ja) * 2020-03-27 2023-02-24 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、基板処理方法及びプログラム
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11939666B2 (en) 2020-06-01 2024-03-26 Applied Materials, Inc. Methods and apparatus for precleaning and treating wafer surfaces
US20210398824A1 (en) * 2020-06-19 2021-12-23 Applied Materials, Inc. Batch wafer degas chamber and integration into factory interface and vacuum-based mainframe
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11854848B2 (en) * 2020-11-03 2023-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Air processing system for semiconductor container
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11935770B2 (en) 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers
US11935771B2 (en) 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers
JP7311553B2 (ja) * 2021-03-29 2023-07-19 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113881931A (zh) * 2021-10-11 2022-01-04 湘潭大学 一种cvd装置及其分散进气方法
US20230154766A1 (en) * 2021-11-18 2023-05-18 Applied Materials, Inc. Pre-clean chamber assembly architecture for improved serviceability
JP7375069B2 (ja) 2022-03-07 2023-11-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4657621A (en) * 1984-10-22 1987-04-14 Texas Instruments Incorporated Low particulate vacuum chamber input/output valve
NL8900544A (nl) * 1989-03-06 1990-10-01 Asm Europ Behandelingsstelsel, behandelingsvat en werkwijze voor het behandelen van een substraat.
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0600851B1 (en) * 1989-10-20 1999-02-03 Applied Materials, Inc. Robot apparatus
US5447409A (en) * 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
IL99823A0 (en) * 1990-11-16 1992-08-18 Orbot Instr Ltd Optical inspection method and apparatus
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
JP3255469B2 (ja) * 1992-11-30 2002-02-12 三菱電機株式会社 レーザ薄膜形成装置
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6395563B1 (en) * 1998-12-28 2002-05-28 Matsushita Electric Industrial Co., Ltd. Device for manufacturing semiconductor device and method of manufacturing the same
US6608689B1 (en) * 1998-08-31 2003-08-19 Therma-Wave, Inc. Combination thin-film stress and thickness measurement device
JP2000150618A (ja) * 1998-11-17 2000-05-30 Tokyo Electron Ltd 真空処理システム
US6539106B1 (en) * 1999-01-08 2003-03-25 Applied Materials, Inc. Feature-based defect detection
JP2000277237A (ja) * 1999-03-24 2000-10-06 Komatsu Ltd 基板温度制御プレート及びそれを備える基板温度制御装置
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6630995B1 (en) * 1999-09-07 2003-10-07 Applied Materials, Inc. Method and apparatus for embedded substrate and system status monitoring
US7012684B1 (en) * 1999-09-07 2006-03-14 Applied Materials, Inc. Method and apparatus to provide for automated process verification and hierarchical substrate examination
US6721045B1 (en) * 1999-09-07 2004-04-13 Applied Materials, Inc. Method and apparatus to provide embedded substrate process monitoring through consolidation of multiple process inspection techniques
US6707545B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Optical signal routing method and apparatus providing multiple inspection collection points on semiconductor manufacturing systems
US6882416B1 (en) * 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
US6693708B1 (en) * 1999-09-07 2004-02-17 Applied Materials, Inc. Method and apparatus for substrate surface inspection using spectral profiling techniques
US6813032B1 (en) * 1999-09-07 2004-11-02 Applied Materials, Inc. Method and apparatus for enhanced embedded substrate inspection through process data collection and substrate imaging techniques
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6364762B1 (en) * 1999-09-30 2002-04-02 Lam Research Corporation Wafer atmospheric transport module having a controlled mini-environment
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6306780B1 (en) * 2000-02-07 2001-10-23 Agere Systems Guardian Corp. Method for making a photoresist layer having increased resistance to blistering, peeling, lifting, or reticulation
US6488778B1 (en) * 2000-03-16 2002-12-03 International Business Machines Corporation Apparatus and method for controlling wafer environment between thermal clean and thermal processing
US6379095B1 (en) * 2000-04-14 2002-04-30 Applied Materials, Inc. Robot for handling semiconductor wafers
US7451774B2 (en) * 2000-06-26 2008-11-18 Applied Materials, Inc. Method and apparatus for wafer cleaning
JP4411751B2 (ja) * 2000-06-28 2010-02-10 アイシン精機株式会社 ギヤ部分付き平板状部材
US6744266B2 (en) * 2000-10-02 2004-06-01 Applied Materials, Inc. Defect knowledge library
JP3943828B2 (ja) * 2000-12-08 2007-07-11 東京エレクトロン株式会社 塗布、現像装置及びパターン形成方法
KR100375984B1 (ko) * 2001-03-06 2003-03-15 삼성전자주식회사 플레이트 어셈블리 및 이를 갖는 가공 장치
US6654698B2 (en) * 2001-06-12 2003-11-25 Applied Materials, Inc. Systems and methods for calibrating integrated inspection tools
US7082345B2 (en) * 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US6779226B2 (en) * 2001-08-27 2004-08-24 Applied Materials, Inc. Factory interface particle removal platform
US6878636B2 (en) * 2001-08-27 2005-04-12 Applied Materials, Inc. Method for enhancing substrate processing
US6805137B2 (en) * 2001-08-27 2004-10-19 Applied Materials, Inc. Method for removing contamination particles from substrates
US6684523B2 (en) * 2001-08-27 2004-02-03 Applied Materials, Inc. Particle removal apparatus
US6725564B2 (en) * 2001-08-27 2004-04-27 Applied Materials, Inc. Processing platform with integrated particle removal system
JP2003077974A (ja) * 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP2003092329A (ja) * 2001-09-18 2003-03-28 Hitachi Kokusai Electric Inc 基板処理装置
JP2003203963A (ja) * 2002-01-08 2003-07-18 Tokyo Electron Ltd 搬送機構、処理システム及び搬送方法
US7006888B2 (en) * 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
JP2003264214A (ja) * 2002-03-07 2003-09-19 Hitachi High-Technologies Corp 真空処理装置及び真空処理方法
US20030192570A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
US20030192577A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US6803998B2 (en) * 2002-08-20 2004-10-12 Applied Materials, Inc. Ultra low cost position and status monitoring using fiber optic delay lines
US7163018B2 (en) * 2002-12-16 2007-01-16 Applied Materials, Inc. Single wafer cleaning method to reduce particle defects on a wafer surface
US7067818B2 (en) * 2003-01-16 2006-06-27 Metrosol, Inc. Vacuum ultraviolet reflectometer system and method
US7026626B2 (en) * 2003-01-16 2006-04-11 Metrosol, Inc. Semiconductor processing techniques utilizing vacuum ultraviolet reflectometer
JP2004241428A (ja) * 2003-02-03 2004-08-26 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US7179754B2 (en) * 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
KR100703833B1 (ko) * 2003-06-30 2007-04-05 주식회사 하이닉스반도체 이중 유전막을 구비한 캐패시터의 제조 방법
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101835922B (zh) * 2007-10-24 2012-05-02 Oc欧瑞康巴尔斯公司 用于制造工件的方法和装置
CN102112655B (zh) * 2008-08-01 2014-05-07 皮考逊公司 原子层淀积设备和装载方法
CN102112655A (zh) * 2008-08-01 2011-06-29 皮考逊公司 原子层淀积设备和装载方法
US8968476B2 (en) 2008-12-29 2015-03-03 K.C. Tech Co., Ltd. Atomic layer deposition apparatus
WO2012003715A1 (zh) * 2010-07-09 2012-01-12 江苏中晟半导体设备有限公司 具有多个外延反应腔的mocvd系统及其操作方法
CN103959440A (zh) * 2011-11-17 2014-07-30 株式会社Eugene科技 包括隔热板的基板处理装置
CN103959440B (zh) * 2011-11-17 2017-02-22 株式会社Eugene科技 包括隔热板的基板处理装置
CN102560428A (zh) * 2012-03-09 2012-07-11 上海宏力半导体制造有限公司 化学气相沉积机台
CN104167377A (zh) * 2013-05-20 2014-11-26 北京北方微电子基地设备工艺研究中心有限责任公司 托盘冷却装置、方法、装载腔和半导体设备
CN104233226B (zh) * 2013-06-09 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 一种原子层沉积设备
CN104233226A (zh) * 2013-06-09 2014-12-24 北京北方微电子基地设备工艺研究中心有限责任公司 一种原子层沉积设备
CN105463408B (zh) * 2014-09-30 2019-11-12 朗姆研究公司 用于等离子体辅助原子层沉积中的rf补偿的方法和装置
TWI725000B (zh) * 2014-09-30 2021-04-21 美商蘭姆研究公司 電漿輔助原子層沉積中之射頻補償用方法及設備
CN105463408A (zh) * 2014-09-30 2016-04-06 朗姆研究公司 用于等离子体辅助原子层沉积中的rf补偿的方法和装置
CN108352300A (zh) * 2015-11-13 2018-07-31 应用材料股份有限公司 利用选择性表面修改填充结构的技术
CN108352300B (zh) * 2015-11-13 2022-03-29 应用材料股份有限公司 半导体装置处理方法、系统及设备
CN109072428A (zh) * 2016-04-18 2018-12-21 Asm Ip 控股有限公司 复合退火以及选择性沈积系统
CN109311052A (zh) * 2016-04-18 2019-02-05 Asm Ip控股有限公司 复合退火和选择性沈积方法
CN109689930A (zh) * 2016-09-16 2019-04-26 皮考逊公司 用于原子层沉积的设备和方法
CN115161618A (zh) * 2016-09-16 2022-10-11 皮考逊公司 用于原子层沉积的设备和方法
CN109689930B (zh) * 2016-09-16 2022-07-29 皮考逊公司 用于原子层沉积的设备和方法
CN110574150A (zh) * 2017-05-01 2019-12-13 应用材料公司 具有真空隔离和预处理环境的高压退火腔室
CN110574150B (zh) * 2017-05-01 2023-09-19 应用材料公司 具有真空隔离和预处理环境的高压退火腔室
US11286560B2 (en) 2017-09-15 2022-03-29 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
CN110724937A (zh) * 2018-07-16 2020-01-24 江苏迈纳德微纳技术有限公司 用于高纯薄膜沉积的原子层沉积系统
CN110926398A (zh) * 2018-09-19 2020-03-27 台湾积体电路制造股份有限公司 厚度测量系统与方法
CN110926398B (zh) * 2018-09-19 2022-04-29 台湾积体电路制造股份有限公司 厚度测量系统与方法
US11177183B2 (en) 2018-09-19 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Thickness measurement system and method
CN111190393A (zh) * 2018-11-14 2020-05-22 长鑫存储技术有限公司 半导体制程自动化控制方法及装置
CN114729452A (zh) * 2019-09-25 2022-07-08 Beneq有限公司 用于处理半导体基材的表面的方法和设备
CN112813422B (zh) * 2020-12-30 2022-02-15 无锡邑文电子科技有限公司 一种基于腔体互联的沉积方法和沉积设备
CN112813422A (zh) * 2020-12-30 2021-05-18 无锡邑文电子科技有限公司 一种基于腔体互联的沉积方法和沉积设备
CN113097105A (zh) * 2021-03-25 2021-07-09 浙江焜腾红外科技有限公司 二类超晶格制冷红外芯片干法刻蚀装置及刻蚀方法
CN113097105B (zh) * 2021-03-25 2023-11-21 浙江焜腾红外科技有限公司 二类超晶格制冷红外芯片干法刻蚀装置及刻蚀方法

Also Published As

Publication number Publication date
US20100173495A1 (en) 2010-07-08
TW200710948A (en) 2007-03-16
JP2008521261A (ja) 2008-06-19
CN101061253B (zh) 2010-12-22
KR20070089197A (ko) 2007-08-30
WO2006055984A2 (en) 2006-05-26
TWI335618B (en) 2011-01-01
EP1824960A2 (en) 2007-08-29
WO2006055984A3 (en) 2006-08-24
US20120210937A1 (en) 2012-08-23
US20060156979A1 (en) 2006-07-20

Similar Documents

Publication Publication Date Title
CN101061253A (zh) 使用批式制程腔室的基材处理装置
CN1170957C (zh) 原子层沉积工艺的处理室
JP6606551B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
CN206646165U (zh) 多室化学气相沉积系统
CN105925953B (zh) 用于将材料沉积在基板上的设备
CN100392148C (zh) 用单晶片低压cvd淀积氧化硅和氮氧化物的方法
CN1300328A (zh) 薄膜淀积系统
CN1695230A (zh) 多区域电阻加热器
CN1943019A (zh) 衬底处理装置以及半导体器件的制造方法
US20120076936A1 (en) Substrate processing apparatus, gas nozzle and method of processing substrate
CN1455434A (zh) 基板处理装置及反应容器
US20070028838A1 (en) Gas manifold valve cluster
CN101484973A (zh) 用于先进前段工艺的群集设备
CN1694978A (zh) 沉积高介电常数薄膜的设备
CN1789488A (zh) 反应容器
CN1908228A (zh) 形成含硅的绝缘膜的方法和装置
CN1158912A (zh) 镀膜形成方法和镀膜形成装置
CN1879203A (zh) 半导体装置的制造方法及衬底处理装置
CN106544647A (zh) 衬底处理装置、半导体器件的制造方法
CN1277289C (zh) 半导体制造装置、半导体制造系统和衬底处理方法
CN1873914A (zh) 基板处理方法、基板处理程序及存储介质
KR20190035523A (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 및 프로그램
KR101403981B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP2009021534A (ja) 気相成長装置及び気相成長方法
TW202219310A (zh) 基板處理裝置、半導體裝置的製造方法及程式

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20101222

Termination date: 20191122

CF01 Termination of patent right due to non-payment of annual fee