CN109072428A - 复合退火以及选择性沈积系统 - Google Patents

复合退火以及选择性沈积系统 Download PDF

Info

Publication number
CN109072428A
CN109072428A CN201780023970.5A CN201780023970A CN109072428A CN 109072428 A CN109072428 A CN 109072428A CN 201780023970 A CN201780023970 A CN 201780023970A CN 109072428 A CN109072428 A CN 109072428A
Authority
CN
China
Prior art keywords
film
substrate
formed selectively
batch
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201780023970.5A
Other languages
English (en)
Inventor
J·W·梅斯
W·克纳平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of CN109072428A publication Critical patent/CN109072428A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

揭露一种使用退火步骤及沈积步骤来形成膜的系统及方法。所述系统执行用于在聚合物内诱发自组装或对齐的退火步骤。所述系统亦执行选择性沈积步骤以在聚合物上达成选择性沈积。

Description

复合退火以及选择性沈积系统
相关申请案的交叉参考
本申请案与2016年4月18日提出申请且标题为「于衬底上形成定向自组装层之方法(METHOD OF FORMING A DIRECTED SELF-ASSEMBLED LAYER ON A SUBSTRATE)」、代理人案号为IMEC928.001PRF的美国临时专利申请案62/324,255、及2016年4月18日提出申请且标题为「复合退火以及选择性沈积工艺(COMBINED ANNEAL AND SELECTIVE DEPOSITIONPROCESS)」、代理人案号为IMEC929.001AUS的美国非临时专利申请案15/132,091相关,所述美国临时专利申请案及所述美国非临时专利申请案的揭露内容全文并入本案供参考。
技术领域
本发明大体而言是有关于用于制造电子装置的系统。更具体而言,本发明是有关于膜的选择性沈积。详细而言,本发明可揭露用以使用定向自组装(directed self-assembly,DSA)图案化技术来选择性地形成膜的系统。
背景技术
随着发展趋势已推动半导体组件的大小变得越来越小,且已出现了不同的图案化技术。该些技术包括间隔壁界定四重图案化(spacer defined quadruple patterning)、极紫外光微影(extreme ultraviolet lithography,EUV)、及结合间隔壁界定双重图案化(Spacer Defined Double patterning)的极紫外光微影。该些方式已使得能够生产出介于7奈米(nm)范围内的节点。
定向自组装(directed self-assembly,DSA)已被视为一种针对未来微影应用的选择方案。定向自组装涉及使用嵌段共聚物(block copolymer)界定图案以达成自组装。所使用的嵌段共聚物可包括聚(甲基丙烯酸甲酯)(poly(methyl methacrylate),PMMA)、聚苯乙烯(polystyrene,PS)、或聚(苯乙烯-嵌段-甲基丙烯酸甲酯)(poly(styrene-block-methyl methacrylate),PS-b-PMMA)。其他嵌段共聚物可包括新兴的「high-Chi」聚合物,其可潜在地达成小的尺寸。
定向自组装可用于形成具有非常小的节距及临界尺寸(critical dimension,CD)的并行线或规律的孔/柱/杆数组。具体而言,定向自组装可在利用表面形貌(surfacetopography)及/或表面化学图案化(surface chemical patterning)进行引导的同时藉由自组装来界定亚20奈米图案。因此,可以前体对定向自组装聚合物层进行渗透,或者可在定向自组装层的聚合物中的一者上选择性地沈积膜。
然而,定向自组装技术具有若干缺点。具体而言,定向自组装聚合物(例如,PMMA或聚苯乙烯)具有低耐蚀刻性(etch resistance)。此使得更难以将图案转送至位于下方的层。由于使半导体装置的大小进一步按比例缩小所需的高级聚合物具有更低的耐蚀刻性及蚀刻选择性,因此低耐蚀刻性这一问题变得愈发严峻。另外,定向自组装可能在所得图案中造成高的线边缘粗糙度(line edge roughness)。另一缺点是并行线或孔数组的所得结构可能在任意位置处具有某些缺陷。
因此,需要一种用于选择性地形成具有较高耐蚀刻性及蚀刻选择性的膜的系统。
发明内容
根据本发明的至少一个实施例,揭露一种用以选择性地形成膜的系统。所述系统可包括:反应室,所述反应室用以容纳具有至少一个聚合物层的至少一个衬底;加热组件,用以对所述至少一个衬底执行退火步骤;以及气体前体递送系统,所述气体前体递送系统用以藉由将第一前体及第二前体依序地脉冲至所述衬底上来执行膜沈积,所述膜沈积用以达成至少所述第一前体向所述至少一个聚合物层中的渗透;其中膜自所述第一前体形成于所述至少一个聚合物上。
为了总结本发明及藉由先前技术而达成的优点,以上在本文中阐述了本发明的某些目标及优点。当然,应理解,未必根据本发明的任一特定实施例均可达成所有这些目标及优点。因此,举例而言,熟习此项技术者将认识到本发明可以如下方式实施或施行:所述方式会使得达成或优化本文中所教示或提出的一个优点或一组优点,但未必会达成本文中所可能教示或提出的其他目标或优点。
所有该些实施例皆旨在落于本文所揭露本发明的范围内。藉由参照附图阅读对某些实施例的以下详细说明,对于熟习此项技术者而言该些及其他实施例将变得显而易见,本发明不仅限于所揭露的任意一或多个特定实施例。
附图说明
以下参照某些实施例的图式来阐述本文所揭露本发明的该些及其他特征、态样、及优点,所述图式旨在说明而非限制本发明。
图1是根据本发明的至少一个实施例的流程图。
应理解,图中的组件是出于简洁及清晰的目的而示出且未必是按比例绘制。举例而言,图中的某些组件的尺寸可相对于其他组件而言被夸大以帮助增强对本发明的所示实施例的理解。
具体实施方式
尽管以下揭露某些实施例及实例,然而,熟习此项技术者应理解,本发明会扩展超出所具体揭露的实施例及/或本发明的用途以及其明显润饰及等效形式。因此,旨在使所揭露本发明的范围不应受限于以下所述特定所揭露实施例。
根据本发明的实施例是有关于定向自组装技术与选择性沈积的组合。此种组合可显着提高聚合物的耐蚀刻性。选择性沈积使特定聚合物能够与前体气体进行反应,而其他聚合物则不被接触。
将选择性沈积与定向自组装图案化加以组合可提供在先前方式(例如,在美国专利公布第U.S.2014/0273514Al号中阐述的方式)中前所未见的益处。举例而言,在90℃下选择性地沈积氧化铝(Al2O3)可使得能够与PMMA聚合物进行反应,而使得聚苯乙烯聚合物则不被接触。氧化铝将不仅沈积于PMMA聚合物的顶(top)上,而且可被灌注至PMMA聚合物中以提高PMMA聚合物的刚性(rigidity)。
图1说明根据本发明的至少一个实施例的方法100。方法100包括第一步骤110,第一步骤110在处理室中提供具有多个聚合物的晶圆。如上所述,所述晶圆可具有至少第一定向自组装聚合物及第二定向自组装聚合物,其中第一定向自组装聚合物及第二定向自组装聚合物可由PMMA、聚苯乙烯(PS)及其他聚合物制成。处理室可为批量反应器(batchreactor)或具有两个批量反应器的集束型设备(cluster tool)。潜在处理室的一个实例可包括来自荷兰比尔特霍芬ASM国际公众有限公司(ASM International N.V.of Bilthoven,The Netherlands)的A412TM系统,其可在两个反应室中运行相同的工艺或者独立地或依序地运行两个不同的工艺。
方法100可包括第二步骤120,第二步骤120对定向自组装聚合物执行自组装退火(self-assembly anneal)。退火工艺的目的是在定向自组装聚合物或嵌段共聚物中引起自组装或自组织(self-organization)。换言之,可将聚合物中的并行线或孔/柱/杆的栅格(grid)随着藉由衬底上的引导结构进行定向而形成。根据本发明的至少一个实施例,此可意指可以交替的方式形成PMMA域及PS域。藉由自组装退火而达成的益处可包括自组装工艺的改善、缺陷的减少、线宽粗糙度的提高及临界尺寸(CD)均匀性的提高。作为另一选择,第二步骤120的退火可用于自聚合物脱去水分或其他污染物、使聚合物硬化或自衬底表面选择性地烧掉各聚合物类型中的一种。
为在所得图案中达成低缺陷密度(defect density),例如退火工艺的时间、温度、及周围条件及压力等工艺参数是至关重要的。获得低缺陷密度可需要长的退火时间。退火可在范围介于100℃与400℃之间、较佳地介于200℃与300℃之间、且最佳地为250℃的温度下进行约60分钟。根据所需的退火量,可存在其他温度及持续时间。然而,自组装退火的温度不应升高到过高,不然聚合物可能会开始分解。
在其中进行退火的周围环境可包括氮气、氩气、氦气、氢气、氧气、臭氧、水蒸气、溶剂蒸气(solvent vapor)、或该些气体的混合物。退火周围环境的压力可为介于极高真空(ultra-high vacuum)至大气压范围内的任意压力或甚至高于大气压。
根据本发明的一个实施例,可在单一晶圆热板(wafer hot plate)上进行退火工艺。根据本发明的另一实施例,批量反应器可证实为有益于达成需要长退火时间的工艺。批量反应器可容纳介于2个与250个之间的衬底、较佳地容纳介于5个与150个之间的衬底、或最佳地容纳约100个衬底。举例而言,可操作A412TM以使得可在退火工艺使用一个反应器。此可使得能够以成本效益(cost effective)的方式执行大约1至2小时的长时间退火。
方法100亦可包括第三步骤130,第三步骤130执行在第一定向自组装聚合物或第二定向自组装聚合物的顶上选择性地沈积金属或者介电质膜或材料的选择性沈积。如此一来,可以使得所沈积膜可选择性地与两个聚合物中的仅一者进行反应的方式进行选择性沈积。举例而言,可发生选择性沈积以使得所沈积膜可与PMMA聚合物进行反应,而非与PS聚合物进行反应。根据本发明的至少一个实施例,第三步骤130可包括金属或介电质膜的原子层沈积(atomic layer deposition)。
此外,可以使得所沈积金属或介电质膜可渗透聚合物、同时亦在聚合物域的整个体积上沈积第二膜的方式进行所述选择性沈积。根据本发明的至少一个实施例,第三步骤130可在A412系统的一个反应器中进行,使得第二步骤120在A412系统的另一反应器中进行。第二步骤120与第三步骤130亦可在A412系统的一个单一反应器中进行。另外,可使衬底随多重衬底容纳器(multiple substrate holder)中的至少第二衬底一起自第一反应室传送至第二反应室。多重衬底容纳器可能够容纳多达25个或25个以上的衬底、50个或50个以上的衬底、75个或75个以上的衬底、或者100个或100个以上的衬底。
在第三步骤130中沈积的金属或介电质可包括氧化铝(Al2O3)、二氧化硅(SiO2)、氮化硅(SiN)、碳氧化硅(SiOC)、碳氮化硅(SiCN)、氮化铝(AlN)、氮化钛(TiN)、氮化钽(TaN)、钨(W)、钴(Co)、二氧化钛(TiO2)、氧化钽(Ta2O5)、二氧化锆(ZrO2)、或二氧化铪(HfO2)。为了执行选择性沈积,可使用用以获得所述金属的前体(例如三甲基铝(trimethylaluminum,TMA)及水(H2O))来形成Al2O3
第三步骤130中的选择性沈积可在范围介于25℃与300℃之间的温度(其中较佳温度范围为70℃至90℃)下进行,以形成Al2O3。在第三步骤130期间的温度可小于在第二步骤120期间的温度,因此可能需要冷却步骤以将为250℃的示例性退火温度降低至为70℃的第三步骤130的温度。根据本发明的至少一个实施例,第二步骤120的温度较第三步骤130的温度高至少25℃,较佳地较第三步骤130的温度高25℃至300℃之间、或更佳地较第三步骤130的温度高100℃至250℃之间。
第三步骤130可包括对第一前体(例如,TMA)进行持续时间介于30秒至10分钟范围内的第一脉冲。第三步骤130亦可接着包括进行持续时间介于10至60秒范围内的吹洗。第三步骤130可接着包括对第二前体(例如,水)进行持续时间介于10至60秒范围内的脉冲(pulse)。第三步骤130可接着包括持续时间介于10秒至2分钟范围内的第二吹洗。另外,可视需要重复进行第三步骤130,以达成对金属的充分沈积。
根据本发明的至少一个实施例,为膜沈积的第三步骤130可在为退火的第二步骤120之前进行。在此种情形中,金属或介电质膜可首先渗透聚合物,且接着可进行退火工艺。作为退火工艺的结果,可在第二步骤120中烧掉在第三步骤130期间未与金属或介电质膜反应的聚合物。在本发明的至少一个实施例中,为退火的第二步骤120及为膜沈积的第三步骤130是在不暴露至周围空气的情况下进行。不暴露至周围空气使得免于暴露至大量氧气或水。暴露至周围空气可能会不利地影响经退火图案的对齐(alignment)或聚合物的渗透(infiltration),所述对齐或渗透可因所述聚合物潜在地吸收水而受到影响。若聚合物吸收水,则可能沈积非期望的材料。
方法100亦可包括第四步骤140,第四步骤140对前体进行吹洗。第四步骤140可涉及引入吹洗气体,例如氮气、氦气、氩气及其他惰性气体。吹洗气体将自处理室移除来自第四步骤140的过量前体。第四步骤140可在与第三步骤130的温度相似的温度下进行。
根据本发明的至少一个实施例,可在必要时重复进行第三步骤130以使得前体能够向定向自组装聚合物中渗透。可将所述循环重复近似5次,以确保定向自组装聚合物中具有足量的金属或介电质膜。在每一循环中,第三步骤130的持续时间可为大约几分钟。利用该些持续时间,批量反应器可用于藉由一次处理多达100个或100个以上的晶圆来达成高生产率及低处理成本。
根据本发明的至少一个实施例,可以使得可以脉冲-吹洗-脉冲-吹洗的方式重复进行第三步骤130的方式来操作方法100。可将该些步骤的条件设定为较高压力及较长时间,以使得前体能够渗透聚合物。以此种方式进行的单一循环的持续时间的范围介于1分钟与20分钟之间。可将所述循环重复进行若干次(通常为五次),以达成材料在聚合物内的充分沈积。由于聚合物内的材料的渗透可能耗费较长的时间量,因此复合退火及沈积工艺为以批量方式执行各步骤提供了机会。
复合退火及选择性沈积工艺的使用的一种潜在应用可为用于极紫外光(extremeultraviolet,EUV)光阻。用于极紫外光应用的退火可能无法用于聚合物的自组装,但可用于进行固化或稳定。举例而言,根据本发明至少一个实施例的复合退火及选择性沈积工艺可帮助达成依续渗透合成(sequential infiltration synthesis,SIS)步骤,乃因所述工艺能潜在地防止羧基转化、或者能自聚合物膜脱去水分或对光阻进行稳定化或硬化。
示出及阐述特定实施方案是用以说明本发明及其最佳方式,而绝非旨在以另一方式限制各态样及实施方案的范围。事实上,为简明起见,可能未详细阐述所述系统的传统制造、连接、准备、及其他功能性态样。此外,各种图中所示的连接线旨在代表各种组件之间的示例性功能性关系及/或实体耦合。在实际系统中可存在诸多替代性或附加功能性关系或者实体连接,及/或在某些实施例中可不存在所述替代性或附加功能性关系或者实体连接。
应理解,本文所述配置及/或方式本质上是示例性的,且该些具体实施例或实例不应被视为具有限制意义,乃因可存在众多变型。本文所述具体例程或方法可代表任意数目的处理策略中的一或多者。因此,可以所示顺序、以其他顺序执行所示各种动作,或在某些情形中省略各所示动作。
本发明的主题包括本文所揭露的各种工艺、系统、及配置与其他特征、功能、动作及/或性质的所有新颖及非显而易见的组合及子组合、以及其任意及所有等效形式。

Claims (12)

1.一种用以选择性地形成膜的系统,包括:
第一批量反应室,所述第一批量反应室用以容纳具有至少一个聚合物层的至少一个衬底;
加热组件,用以对所述至少一个衬底执行退火步骤;以及
气体前体递送系统,所述气体前体递送系统用以藉由将第一前体及第二前体依序地脉冲至所述至少一个衬底上来执行膜沈积,所述膜沈积用以达成至少所述第一前体向所述至少一个聚合物层中的渗透;
其中膜或材料形成于所述至少一个聚合物层上;以及
其中所述退火步骤及所述膜沈积是在不暴露至周围空气的情况下进行。
2.如权利要求1所述的用以选择性地形成膜的系统,其特征在于,所述膜包含以下中的至少一者:氧化铝(Al2O3)、二氧化硅(SiO2)、氮化硅(SiN)、氮氧化硅(SiON)、碳氮化硅(SiCN)、氮化铝(AlN)、氮化钛(TiN)、氮化钽(TaN)、钨(W)、钴(Co)、二氧化钛(TiO2)、氧化钽(Ta2O5)、二氧化锆(ZrO2)、或二氧化铪(HfO2)。
3.如权利要求1所述的用以选择性地形成膜的系统,其特征在于,所述第一批量反应室用以处理多个衬底。
4.如权利要求1所述的用以选择性地形成膜的系统,其特征在于,所述第一批量反应室用以执行所述退火步骤。
5.如权利要求1所述的用以选择性地形成膜的系统,更包括批量第二反应室,所述批量第二反应室用以容纳具有至少一个聚合物层的至少一个衬底。
6.如权利要求5所述的用以选择性地形成膜的系统,其特征在于,所述第一反应室执行所述退火步骤,且所述第二反应室执行所述膜沈积。
7.如权利要求6所述的用以选择性地形成膜的系统,其特征在于,所述第一批量反应室执行所述膜沈积,且所述第二反应室执行所述退火步骤。
8.如权利要求6所述的用以选择性地形成膜的系统,其特征在于,所述至少一个衬底随多重衬底容纳器中的至少第二衬底一起自所述第一批量反应室传送至所述第二批量反应室。
9.一种用以选择性地形成膜或材料的系统,包括:
第一批量反应室,所述第一批量反应室用以容纳具有至少一个聚合物层的至少第一衬底;
第二批量反应室,所述第二批量反应室用以容纳具有至少一个聚合物层的至少第二衬底;
第一加热组件,与所述第一批量反应室相关联且用以对所述第一衬底执行退火步骤;
第二加热组件,与所述第二批量反应室相关联且用以对所述第二衬底执行退火步骤;以及
气体前体递送系统,所述气体前体递送系统用以藉由将第一前体及第二前体依序地脉冲至所述第一衬底及所述第二衬底上来沈积膜,其中至少所述第一前体向所述至少一个聚合物层中渗透;
其中所述退火步骤及所述膜沈积是在不暴露至周围空气的情况下进行。
10.如权利要求9所述的用以选择性地形成膜的系统,其特征在于,所述第一反应室用以处理多个衬底。
11.如权利要求9所述的用以选择性地形成膜的系统,其特征在于,所述第二反应室用以处理多个衬底。
12.如权利要求9所述的用以选择性地形成膜的系统,其特征在于,所述至少一个衬底随多重衬底容纳器中的至少第二衬底一起自所述第一批量反应室传送至所述第二批量反应室。
CN201780023970.5A 2016-04-18 2017-04-07 复合退火以及选择性沈积系统 Pending CN109072428A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/132,084 US20170298503A1 (en) 2016-04-18 2016-04-18 Combined anneal and selective deposition systems
US15/132,084 2016-04-18
PCT/US2017/026515 WO2017184356A1 (en) 2016-04-18 2017-04-07 Combined anneal and selective deposition systems

Publications (1)

Publication Number Publication Date
CN109072428A true CN109072428A (zh) 2018-12-21

Family

ID=60039419

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780023970.5A Pending CN109072428A (zh) 2016-04-18 2017-04-07 复合退火以及选择性沈积系统

Country Status (6)

Country Link
US (1) US20170298503A1 (zh)
JP (1) JP2019518134A (zh)
KR (1) KR20180129822A (zh)
CN (1) CN109072428A (zh)
TW (1) TWI751151B (zh)
WO (1) WO2017184356A1 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
CN108227412A (zh) * 2016-12-15 2018-06-29 Imec 非营利协会 光刻掩模层
US11094535B2 (en) * 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
JP7183187B2 (ja) 2017-05-16 2022-12-05 エーエスエム アイピー ホールディング ビー.ブイ. 誘電体上の酸化物の選択的peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050223989A1 (en) * 2004-03-31 2005-10-13 Lee Chung J System for forming composite polymer dielectric film
CN101061253A (zh) * 2004-11-22 2007-10-24 应用材料股份有限公司 使用批式制程腔室的基材处理装置
US20100147396A1 (en) * 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US20120241411A1 (en) * 2011-03-24 2012-09-27 Uchicago Argonne Llc Sequential infiltration synthesis for advanced lithography
US20150004806A1 (en) * 2006-11-01 2015-01-01 Lam Research Corporation Low-k oxide deposition by hydrolysis and condensation

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4139739B2 (ja) * 2003-05-30 2008-08-27 キヤノンマーケティングジャパン株式会社 基板搬送方法
US9487600B2 (en) * 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10204782B2 (en) * 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050223989A1 (en) * 2004-03-31 2005-10-13 Lee Chung J System for forming composite polymer dielectric film
CN101061253A (zh) * 2004-11-22 2007-10-24 应用材料股份有限公司 使用批式制程腔室的基材处理装置
US20150004806A1 (en) * 2006-11-01 2015-01-01 Lam Research Corporation Low-k oxide deposition by hydrolysis and condensation
US20100147396A1 (en) * 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US20120241411A1 (en) * 2011-03-24 2012-09-27 Uchicago Argonne Llc Sequential infiltration synthesis for advanced lithography

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
刘琳等: "《薄膜材料的制备及应用》", 31 December 2011, 东北大学出版社 *
济南市151信箱情报资料室: "《半导体器件译丛》", 31 December 1974 *

Also Published As

Publication number Publication date
US20170298503A1 (en) 2017-10-19
WO2017184356A1 (en) 2017-10-26
JP2019518134A (ja) 2019-06-27
KR20180129822A (ko) 2018-12-05
TWI751151B (zh) 2022-01-01
TW201738971A (zh) 2017-11-01

Similar Documents

Publication Publication Date Title
CN109072428A (zh) 复合退火以及选择性沈积系统
CN109311052A (zh) 复合退火和选择性沈积方法
TWI752136B (zh) 在基板上形成結構之方法
TWI783046B (zh) 可滲入材料之連續滲透合成處理的方法及使用該方法形成的結構與裝置
TWI746728B (zh) 半導體處理裝置
CN109314045B (zh) 于基底上形成定向自组装层的方法
TW201501176A (zh) 定向自組裝應用中中立層保護膜之表面形貌最小化
US20140162194A1 (en) Conformal sacrificial film by low temperature chemical vapor deposition technique
TW201101394A (en) Method of etching a multi-layer
JP2023045177A (ja) インジウム含有有機高分子膜の製造方法、パターン形成方法、及び半導体装置の製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20181221

RJ01 Rejection of invention patent application after publication