CN108227412A - 光刻掩模层 - Google Patents

光刻掩模层 Download PDF

Info

Publication number
CN108227412A
CN108227412A CN201711123298.5A CN201711123298A CN108227412A CN 108227412 A CN108227412 A CN 108227412A CN 201711123298 A CN201711123298 A CN 201711123298A CN 108227412 A CN108227412 A CN 108227412A
Authority
CN
China
Prior art keywords
line
group
layer
characteristic
base material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201711123298.5A
Other languages
English (en)
Inventor
R·戈龙海德
A·辛格
W·纳朋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Katholieke Universiteit Leuven
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Katholieke Universiteit Leuven
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Katholieke Universiteit Leuven, Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Katholieke Universiteit Leuven
Publication of CN108227412A publication Critical patent/CN108227412A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种用于制造掩模层的方法,所述方法包括:a.在基材上提供图案化层,所述图案化层至少包括具有第一特性的有机材料的第一组线,所述线具有线高度,并且通过空隙或具有第二特性的材料分开;b.用金属或陶瓷材料浸润至少第一组线的顶部部分,所述金属或陶瓷材料具有在浸润的第一组线中的实际密度和固有密度;以及c.通过氧化等离子体蚀刻去除有机材料,以在基材上形成金属或陶瓷材料的第二组线;其中,在浸润的线中金属或陶瓷材料的实际密度为固有密度的15‑85%、优选25‑65%。

Description

光刻掩模层
技术领域
本发明涉及光刻掩模层,特别是用于改进该层中线特性的方法。
背景技术
当制造光刻掩模层时,线边缘粗糙度(LER)和线宽粗糙度(LWR)是重 要参数;特别是当在该掩模层中线宽度调整到等于和低于20nm时尤其如此。 例如,线边缘粗糙度和线宽粗糙度对于由该掩模制造的装置的电性质具有高度 影响。因此,在阻碍远紫外光刻(EUVL)引入高级技术节点制造工艺中的挑 战中,高LER和相应的高LWR是最重要的。此外,这些考虑不仅适用于EUVL 光致抗蚀剂,而且基于其它光致抗蚀剂或嵌段共聚物的掩模存在相似的问题。
已经研究了许多方法解决线边缘粗糙度问题。在光刻步骤期间,进行了许 多努力优化抗蚀剂组合物和加工条件。其它方案采用在图案形成后进行额外处 理。然而,尽管多次努力,仍然没有技术可以为亚-20nm线提供足够低的LER 和LWR值。
US20120241411A1公开了使用连续浸润合成(SIS),以增加抗蚀剂材料 的抗蚀性、同时保持LER。其进一步描述了随后立即显影的抗蚀剂图案的LER 是最好的,其在制造过程中的任何一点可以实现。然而,在US20120241411A1 中公开的方法并不能实际地改进LER和/或LWR。
因此,在本领域中仍然需要用于制造具有改进的线特性的掩模层的方法。
发明内容
本发明的目的是提供用于通过光刻工艺制造蚀刻掩模层的好方法。
本发明的实施方式的优点在于可以改进蚀刻掩模层中线的线边缘粗糙度。
本发明的实施方式的优点在于可以改进蚀刻掩模层中线的线宽粗糙度。
本发明的实施方式的优点在于可以改进蚀刻掩模层中线的线宽。
本发明的实施方式的优点在于可以改进蚀刻掩模层中的线的抗蚀性。
上述目的通过根据本发明的方法实现。
在第一方面,本发明涉及用于制造掩模层的方法,所述方法包括:
a.在基材上提供图案化层,所述图案化层至少包括具有第一特性的有 机材料的第一组线,所述线具有线高度、第一线宽粗糙度,并且通过空隙或具 有第二特性的材料(300)分开;
b.用金属或陶瓷材料至少浸润第一组线的顶部部分,所述金属或陶瓷 材料具有固有密度和在浸润的第一组线中的实际密度;以及
c.通过氧化等离子体蚀刻去除有机材料,由此在基材上形成金属或陶 瓷材料的第二组线,所述第二组线具有小于第一线宽粗糙度的第二线宽粗糙 度;
其中,在浸润的第一组线中金属或陶瓷材料的实际密度为固有密度的 15-85%、优选25-65%。
在第二方面,本发明涉及通过根据所述方法第一方面的任意实施方式可得 到的包括在基材上的掩模层的组件。
在第三方面中,本发明涉及连续浸润合成的用途,随后通过使用氧化等离 子体,用于降低远紫外光刻用光致抗蚀剂图案的线边缘粗糙度。
本发明特定和优选的方面在所附独立和从属权利要求中阐述。可以将从属 权利要求中的特征与独立权利要求中的特征以及其它从属权利要求中的特征 进行适当组合,而并不仅限于权利要求书中明确所述的情况。
虽然本领域中一直存在对装置的改进、改变和发展,但本发明的概念被认 为代表了充分新和新颖的改进,包括改变现有实践,导致提供了该性质的更有 效、更稳定和更可靠的装置。
本发明的上述和其它特性、特征和优点会在下文详细说明中结合附图变得 显而易见,其通过实例说明本发明的原理。本说明书仅为了举例,而不是限制 本发明的范围。下文引用的参考图是指附图。
附图的简要说明
图1、2和4是根据本发明实施方式的方法示意图。
图3是根据本发明实施方式样品的浸润的金属或陶瓷材料的质量函数中 LER、LWR、和CD的曲线。
图5是根据本发明实施方式的方法获得的掩模层的CD-SEM俯视图。
图6是在实施根据本发明实施方式的方法之前和之后获得的掩模LER和 LWR的PSD曲线。
图7是根据本发明实施方式的方法获得的掩模的元素分布图的X-TEM图。
图8是比较当曝露于Al2O3前体时具有不同羟基含量的EUVL光致抗蚀剂 样品的元素分布图的X-TEM图。
图9是根据本发明优选程度较低的实施方式的方法获得的掩模的示意图和 CD-SEM图。
图10显示根据本发明实施方式的样品的示意图和X-SEM图。
在不同的图中,相同的附图标记表示相同或类似的元件。
说明性实施方式的描述
将就具体实施方式并参照某些附图对本发明进行描述,但本发明并不受此 限制,仅由权利要求书限定。描述的附图仅是说明性的且是非限制性的。在附 图中,一些元素的尺寸可能被夸大且未按比例尺绘画以用于说明目的。所述尺 寸和相对尺寸不与本发明实践的实际减小相对应。
此外,在说明书和权利要求书中的术语第一、第二、第三等用来区别类似 的元件,而不一定是用来描述时间、空间、等级顺序或任何其它方式的顺序。 应理解,如此使用的术语在合适情况下可互换使用,本发明所述的实施方式能 够按照本文所述或说明的顺序以外的其它顺序进行操作。
此外,在说明书和权利要求书中,术语顶部、底部、之上、之下等用于描 述目的,而不一定用于描述相对位置。应理解,如此使用的术语在合适情况下 可互换使用,本发明所述的实施方式能够按照本文所述或说明的取向以外的其 它取向进行操作。
应注意,权利要求中使用的术语“包含”不应解释为被限制为其后列出的部 分,其不排除其它元件或步骤。因此,其应被理解为指出所述特征、集成、步 骤或组分的存在,但这并不排除一种或多种其它特征、集成、步骤或组分或其 组合的存在或添加。因此,表述“包括部件A和B的装置”的范围不应被限制为 所述装置仅由组件A和B构成。其表示对于本发明,所述装置的相关组件仅 为A和B。
说明书中提及的“一个实施方式”或“一种实施方式”是指连同实施方式描述 的具体特征、结构或特性包括在本发明的至少一个实施方式中。因此,在说明 书中各处出现的短语“在一个实施方式中”或“在一种实施方式中”不一定全部指 同一个实施方式,但可能全部都指同一个实施方式。此外,具体特征、结构或 特性可以任何合适方式在一个或多个实施方式中组合,这对于本领域普通技术 人员而言是显而易见的。。
类似地,应理解,在本发明的示例性实施方式的描述中,本发明的不同特 征有时组合成一个单一实施方式、特征或其描述,这是为了简化公开内容并帮 助理解本发明的一个或多个不同方面。然而,本公开内容中的方法不应被理解 为反映一项发明,请求保护的本发明需要比各权利要求中明确引用的具有更多 的特征。并且,如同所附权利要求所反映的那样,发明方面包括的特征可能会 少于前述公开的一个单一实施方式的全部特征。因此,具体说明之后的权利要 求将被明确地纳入该具体说明,并且各权利要求本身基于本发明独立的实施方 式。
此外,当本文所述的一些实施方式包括一些但不包括其它实施方式中所包 括的其它特征时,不同实施方式的特征的组合应意在包括在本发明范围内,并 且形成不同的实施方式,这应被本领域技术人员所理解。例如,在之后的权利 要求中,所请求保护的任何实施方式可以任何组合形式使用。
此外,本文中描述了某些实施方式作为通过计算机系统的处理器或通过实 施功能的其它方式实施的方法、或方法元素的组合。因此,具有用于实施该方 法或方法元素所需指令的处理器形成了用于进行该方法或方法元素的装置。此 外,本文中描述的设备实施例的元件是用于执行功能的装置的示例,所述功能 通过用于实施本发明目的的元件进行。
本文的描述中阐述了众多的具体细节。然而应理解,本发明的实施方式可 不用这些具体细节进行实施。在其它情况中,为了不混淆对该说明书的理解, 没有详细描述众所周知的方法、步骤和技术。
提供下述术语仅用于帮助理解本发明。
如本文中所用,线的线边缘粗糙度(LER)是线边缘偏离直线的程度的度 量,其中线边缘通常是垂直于基材的侧壁的顶部边缘。线边缘粗糙度可以定量 为与直线的标准偏差的3倍。例如,线边缘粗糙度可以根据以下方法步骤确定:
a.用临界尺寸扫描电子显微镜(CD-SEM)捕获已知尺寸的图像;
b.使用图像处理检测线特征的边缘;
c.确定各边缘的平均位置;
d.在空间波长范围内计算检测到的边缘从边缘平均位置的偏差;
e.确定LER值作为在空间波长或频率范围上积分的均方根值。
如本文中所用,线的线宽粗糙度(LER)是沿着线长度的线宽偏离平均宽 度的度量,其中线宽通常被认为是线顶部表面的两条最长线边缘之间的距离。 线宽粗糙度可以定量为线宽的标准偏差的3倍。例如,线边缘粗糙度可以根据 以下方法步骤确定:
a.确定线的两个相对边缘的LER;以及
b.通过将两个相对边缘的LER与表示两个边缘之间粗糙度的相关系 数的因子结合来确定LWR。
如本文中所用,线特征至少包括线边缘粗糙度和线宽粗糙度。当提及线特 性得以改进时,意味着这些特性中的至少一个得以改进。在一些实施方式中, 线宽本身也可以被称为临界尺寸(CD),并且线的抗蚀性也可能是要改进的 特征。
如本文所用,除非另有说明,术语“经曝露的光致抗蚀剂”是指已经曝露于 光或电子束的光致抗蚀剂材料,使得光致抗蚀剂或者失去其抗性或其易受特定 蚀刻剂或溶剂(称为光刻胶显影剂)的侵蚀。术语“未曝露的光致抗蚀剂”是指 光致抗蚀剂材料,其溶解度(例如对侵蚀的抗性或易受侵蚀性)未通过暴露于 光或电子束而改进。
在第一方面,本发明涉及用于制造掩模层的方法,所述方法包括:
a.在基材上提供图案化层,所述图案化层至少包括具有第一特性的有 机材料的第一组线,所述线具有线高度、第一线宽粗糙度,并且通过空隙或具 有第二特性的材料分开;
b.用金属或陶瓷材料至少浸润第一组线的顶部部分,所述金属或陶瓷 材料具有固有密度和在浸润的第一组线中的实际密度;以及
c.通过氧化等离子体蚀刻去除有机材料,由此在基材上形成金属或陶 瓷材料的第二组线,所述第二组线具有小于第一线宽粗糙度的第二线宽粗糙 度;
其中,在浸润的第一组线中金属或陶瓷材料的实际密度为固有密度的 15-85%、优选25-65%。
浸润的金属或陶瓷材料的密度通常可以通过改变金属或陶瓷材料(或其前 体)的曝露循环次数来改变,其次可以通过改变曝露条件,例如金属或陶瓷材 料(或其前体)的温度、持续时间和分压来改变。
浸润的金属或陶瓷材料密度定义为浸润的金属或陶瓷材料的总质量除以 浸润总体积。浸润总体积是浸润的第一组线部分的体积。对于每条线,这由线 的长度乘以线的宽度乘以从顶部表面测定的浸润深度,即,在金属或陶瓷材料 的浓度至少等于在线的顶部表面测定的金属或陶瓷材料的最大浓度的50%处 的深度。如果金属或陶瓷材料中的浓度在任何深度都不会下降到低于其表面值 的50%,则浸润总体积可以是线的整个体积,或者如果在线的较低部分中金属 或陶瓷中的浓度下降至低于其表面值的50%,则浸润总体积可以是线顶部部分 的体积。浸润的金属或陶瓷材料的总质量可以通过在浸润步骤之前和之后测定 基质的质量并计算它们之间的差值来确定。浸润总体积可以用电子能量损耗光 谱(EELS)元素分布绘图或大角度环形暗场(HAADF)元素分布绘图的横截 面透射电子显微镜(X-TEM)来确定。
在本发明中令人惊奇地发现,仅部分浸润有机材料(即保持低于最大浸润 密度)并随后氧化去除有机材料形成了线特征得以改进的掩模层。无意受限于 理论,认为部分浸润导致形成的金属或陶瓷材料的松散骨架,随后在氧化去除 有机材料时重组成更致密的骨架(参见图1)。与原始图案相比,这种重组导 致LER和LWR下降。此外,与线的有机材料相比,浸润金属或陶瓷材料通常 具有有利改进的抗蚀性,有助于将图案转移到基材中。
在实施方式中,所述第一组线可以具有第一线边缘粗糙度,并且,第二组 线可以具有第二线边缘粗糙度,第二线边缘粗糙度比第一线边缘粗糙度小至少 10%、优选至少20%、例如至少30%或至少40%。在实施方式中,所述第一组 线可以具有第一线边缘粗糙度,并且,第二组线可以具有第二线边缘粗糙度, 第二线边缘粗糙度比第一线边缘粗糙度小至少5%、优选小至少10%、优选至 少20%、例如至少30%或至少40%。LER和LWR越低有利地导致将掩模图案 转移到基材中时形成的线的LER和LWR越低。这继而有利地导致改进的装置 特性,例如在整个线上更均匀和更好的电性质。在一些实施方式中,例如当步 骤a中提供的图案化层是自组装嵌段共聚物层并且该组线由嵌段共聚物的一个 分相结构域(phase-separated domain)形成时,所述结构域由嵌段共聚物的多 种嵌段中的一种组成,由于图案层的性质,第一组线可能已经具有良好的 LWR。在这些情况下,与LER改进相比,本方法的LWR改善可能很小(或 不存在)。
在一些实施方式中,所述第一组线可以具有第一线宽,并且,第二组线可 以具有第二线宽,第二线宽比第一线宽小至少5%、优选至少10%、更优选至 少20%、例如40%至60%。在一些实施例中,线宽的降低可有利地用于进一步 缩小线,例如,超出了所选择的有机材料(例如,光致抗蚀剂的嵌段共聚物) 层或曝露(例如,曝光)技术可能实现的程度。例如,从厚20nm且线宽下降 50%的第一组线开始,可以有利地形成厚10nm的第二组线。在其它实施方式 中,第二线宽可以与第一线宽基本相同,例如差异小于2%,优选小于1%。 在一些实施方式中,可以优选具有有利地尽可能接近地再现第一组线的第二组 线,例如,以确保再现性。
在实施方式中,步骤b可以包括仅浸润第一组线的顶部部分,所述顶部部 分的高度为线高度的20-95%、优选40-80%。当图案化层包括至少部分填充存 在于第一组线的线之间的空间的具有第二特性的材料时,这尤其重要。在优选 实施方式中,包含至少第一组线的图案化层可以是嵌段共聚物或光致抗蚀剂 层,并且步骤b可以包括仅浸润第一组线的顶部部分,所述顶部部分的高度为 线高度的20-95%、优选40-80%。
在本发明中,对于沿着线高度的某一深度,如果在该深度处浓度至少等于 在线顶部表面处测定的金属或陶瓷材料最大浓度的50%,则沿着线高度的该深 度被认为是通过金属或陶瓷材料浸润的。换句话说,浸润的顶部部分的高度是 第一组线的某一高度,在此高度有包括在线中的最大浓度(在线的顶部表面) 和该最大值50%之间的浸润金属或陶瓷材料的浓度。这可以通过组成分析技术 (例如SIMS或XPS)测定。通常主要可以通过适当选择曝露条件(例如,金 属或陶瓷材料(或其前体)的温度、曝露持续时间和分压)来实现仅浸润第一 组线的顶部部分。例如,可以容易地选择合适的分压用于温度或曝露持续时间 的具体固定条件,以实现足够的浸润深度。类似地,由于系统通常不能在所选 择的环境下达到平衡,对于固定数量的曝露周期和给定的温度及压力,可以使 各周期的长度更长以达到较深的浸润,或使各周期的长度更短以达到较浅的浸 润。
在本发明中发现,仅浸润线的顶部部分可以对线特性有进一步的积极影 响。无意受限于理论,已知第一组线可能受到线/基材界面附近的变形的影响, 因此这种进一步的积极影响归因于不受这些变形影响的仅顶部浸润。这种变形 的示例是:例如,在嵌段共聚物的情况下的结构域形状变形,特别是当这些嵌 段共聚物通过定向自组装(directedself-assembly)形成时尤甚;以及由于剥离 (撇渣)后残留物存在和光致抗蚀剂对比度损失导致的变形。这种对比度损失 可能是因为由于层上部吸收导致的光致抗蚀剂底部附近的有效光子剂量较低, 以及由影响光致抗蚀剂底部附近对比度的堆叠导致的反射。在一些实施方式 中,当线之间存在的空间(空隙)至少部分(优选地完全)由具有第二特性的 有机材料填充时,可以促进仅浸润第一组线的顶部部分。
在实施方式中,包含至少第一组线的图案化层可以是嵌段共聚物层或光致 抗蚀剂层(并且优选远紫外光刻(EUVL)光致抗蚀剂层)。第一组线可以有 利地限定在这些类型的层中,并且它们有利地包含可以用金属或陶瓷材料浸润 的有机材料。在优选实施方式中,嵌段共聚物层通过定向自组装形成。在实施 方式中,有机材料可以仅包含用于前体的低浓度的结合位点,特别是不可逆结 合位点。例如,已知三甲基铝可逆地结合到羰基官能团上并且不可逆地结合到 羟基官能团。当结合位点的浓度太高时,特别是当结合是不可逆的时,越过初 始深度的前体浸润可能被过度阻碍。
在实施方式中,至少包含具有第一特性的有机材料的第一组线的图案化层 可以进一步包含不同于具有第一特性的有机材料的至少部分填充了第一组线 的线之间空间的具有第二特性的材料。优选地,具有第二特性的材料是具有第 二特性的有机材料。在嵌段共聚物材料的情况下,例如,第一组线可以包括嵌 段共聚物的第一嵌段,并且具有第二特性的有机材料可以包含该嵌段共聚物的 第二嵌段。在光致抗蚀剂(例如EUVL)的情况下,优选在曝露的线图案显影 之前进行步骤b。例如,第一组线可以由曝露的光致抗蚀剂制成,并且具有第 二特性的有机材料可以是存在于线之间的未曝露的光致抗蚀剂。或者,第一组线可以由未曝露的光致抗蚀剂制成,并且具有第二特性的有机材料可以是存在 于线之间的曝露的光致抗蚀剂。在其它实施方式中,步骤b可以在光致抗蚀剂 显影之后进行。例如,具有第二特性的材料可用于填充显影后线之间留下的空 隙。在优选实施方式中,步骤b可以相对于具有第二特性的材料选择性地进行。
在替代实施方式中,第一组线的线可以通过空隙分开;即,可以没有第二 特性材料存在于第一组线的线之间的空间中。例如,这是在当线限定在(EUVL) 光致抗蚀剂中并且在步骤b之前去除曝露于光或未暴露于光的材料时的情况。 在实施方式中,空隙可以曝露基材的顶部部分,并且基材的顶部部分可以基本 上未被金属或陶瓷材料浸润。所谓基本上未浸润意味着金属或陶瓷材料的浓度 低于在线顶部表面测定的最大浓度的10%。本发明人发现,在步骤b期间,作 为基材中最上层的旋涂玻璃(spin-on-glass,SOG)硬掩模和/或旋涂碳 (spin-on-carbon,SOC)掩模可以由金属或陶瓷材料浸润,特别是当第二特性 材料没有填充存在于第一组线的线之间的空间时尤为如此。该浸润继而会妨碍 第二组线的图案转移到基材中。因此,包括基材的最上层优选不被金属或陶瓷 材料浸润。在实施方式中,通过化学气相沉积(CVD)(例如,等离子体增强 CVD,即PECVD)、原子层沉积(ALD)、物理气相沉积(PVD)、或外延 (例如分子束外延)至少提供基材的顶层。在实施方式中,基材可以包括SiOC、 SiO2、SiON、SiN、TiN或AlN的硬掩模层作为顶层。优选SiOC。优选地,该 层通过CVD(例如,PECVD)、ALD、PVD或外延进行沉积。在实施方式中, 基材可以包括无定形材料层——例如无定形碳层(例如高级图案化膜(APF) 层,其也可以称为有机图案化层(OPL))——或无定形硅层作为顶层下方的 层。在实施方式中,基材可以包括通过CVD(例如PECVD)、ALD、PVD或 外延沉积的顶层(优选如上所列举的硬掩模顶层)和位于顶层下面的无定形层 (优选如上所例举的)。在优选实施方式中,基材可以包括在APF无定形层 顶部的SiOC硬掩模层的顶层,两者均通过PECVD提供。虽然硬掩模顶层(例 如SiOC)防止金属或陶瓷材料浸润基材、并且确保相对于光致抗蚀剂和无定 形层的高蚀刻选择性,但存在于硬掩模之下的无定形层(例如无定形碳)具有 更容易蚀刻的优点,并且可以更容易地作为较厚的层提供,因此能够形成具有 更高特征的转移图案。在不存在无定形层的情况下,由于EUVL掩模和硬掩模之间的蚀刻速度差值小于EUVL掩模和无定形层之间的蚀刻速度差值,所以可 以更多地限制能够在硬掩模中形成的特征的高度。在硬掩模下方使用无定形层 是有利的,因为硬掩模通常对无定形材料如APF/OPL和光致抗蚀剂具有高蚀 刻选择性。因此,如果在硬掩模之下使用无定形材料层,则所述层比光致抗蚀 剂层厚,我们可以实现更高大(taller)或更高的纵横比掩模特征。由于受限的 蚀刻选择性,难以直接用光致抗蚀剂对厚的无定形层进行图案化,并且使用非 常厚的光致抗蚀剂是不利的,因为这增加了印刷图案所需的光子剂量,并且更 高的光致抗蚀剂特征易于在显影期间崩塌。
在实施方式中,为了促进硬掩模层和无定形层之间的粘合,粘合层可以存 在于这两层之间。例如,SiO2层可以实现这个目的。因此,形成基材顶部部分 的可能的层堆叠体可以包括AlN顶层、SiO2中间层和无定形碳底层。
在实施方式中,步骤b可以包括连续浸润合成(SIS)。在实施方式中, 连续浸润合成可以包括:
b1.将第一组线曝露于第一前体;以及
b2.将第一组线曝露于第二前体。
SIS通常在反应室中进行。通常,在步骤b1之后,直接进行用惰性气体(例 如N2)吹扫反应室的步骤。通常,在步骤b2之后,直接进行用惰性气体(例 如N2)吹扫反应室的步骤。
在实施方式中,包括步骤b1和步骤b2的工序可以进行1至10次、优选3 至6次、例如4次或更少。通过减少每个曝露步骤的持续时间,当然可以将循 环次数增加到超过10次。类似地,通过使用更高的分压和更长的前体曝露时 间,可以在几个循环后获得充分浸润。重要的标准是,对于一组给定的曝露条 件,循环应该重复直至在第一组线中浸润的金属或陶瓷材料的实际密度达到15 %至85%。
第一前体通常是金属或陶瓷材料的第一前体。第二前体通常是氧化剂。
在实施方式中,第一前体可以是三甲基铝,并且第二前体可以是氧化剂, 例如水。在实施方式中,陶瓷材料可以是氧化铝。SIS工艺是用于以受控的方 式用金属或陶瓷材料至少浸润第一组线顶部部分的有利的合适方式;通过暴露 于两种(或更多种)金属或陶瓷材料前体的(可重复的)顺序实现。在此过程 中可以对多个参数进行微调,以实现所需的浸润。有利的是,很好地理解了在 该工艺中使用三甲基铝作为陶瓷材料前体,并且通过曝露于水随后进行氧化, 形成经浸润的氧化铝。
在本发明中另外发现,氧化去除有机材料导致了线特性的改进。在本文中, 发现第二组线的材料刚度与粗糙度平滑相关,即LER和LWR减小;其中氧化 蚀刻化学物质有利地导致刚度增加,并且当使用更多的惰性蚀刻化学物质时不 会观察到这样的效果。在实施方式中,氧化等离子体蚀刻可以包括暴露于O2等离子体和惰性载气,例如Ar,N2或He中的之一。
在第二方面中,本发明涉及通过根据所述第一方面方法及其实施方式的任 一可得到的包括在基材上的掩模层的组件。在该第二方面中,掩模层和基材可 以是根据第一方面的任何实施方式。
该组件可以包括在基材上的图案化层,所述图案化层至少包括有机材料的 第一组线。在该第二方面,图案化层和基材可以是根据第一方面的任何实施方 式。
例如,在第二方面的实施方式中,本发明涉及一种组件,其包括在基材上 的图案化的远紫外光刻光致抗蚀剂层,所述图案化的远紫外光刻光致抗蚀剂层 至少包括有机材料的第一组线,其中,基材顶部表面包含在选自SiOC、SiO2、 SiON、SiN、TiN和AlN的材料的硬掩模层中。
在第三方面中,本发明涉及连续浸润合成的用途,随后通过使用氧化等离 子体,用于降低远紫外光刻用光致抗蚀剂图案的线边缘粗糙度。
在实施方式中,第三方面的不同特征可以如前文对于第一方面及其实施方 式所述。
现在将通过本发明的多个实施方式的详细说明来描述本发明。很明显,根 据本领域技术人员的知识可以构造本发明的其它实施方式,而不脱离本发明的 真实技术启示,本发明仅受所附权利要求书条款的限制。
实施例1:嵌段共聚物图案的线特征的改进
我们现在参见图2。在反应器室中,厚35nm的自组装28nm间距(pitched) 聚(苯乙烯-嵌段-甲基丙烯酸甲酯)(PS-b-PMMA)嵌段共聚物层包含构成第 一组线(210)的第一嵌段(PMMA)和填充所述线之间的空间的第二嵌段(PS) (300),所述层设置在包括化学图案的基材上(图2a);通过在N2气氛中在 250℃下退火5小时实现化学图案上嵌段共聚物的定向自组装。通过SIS工艺 在90℃下将Al2O3陶瓷材料浸润到第一嵌段中,包括将第一组线(210)在0.1 托的分压下在三甲基铝第一前体(410;图2b)中曝露400秒/循环,用N2吹 扫反应器室20秒,然后将其在作为第二前体(420;图2c)的水中曝露20秒, 然后再次用N2吹扫反应器室2分钟;该工序进行1至9次。第一嵌段和第二 嵌段由此使得浸润在第一嵌段中选择性地进行操作。最后,使用O2/Ar蚀刻去 除第一嵌段和第二嵌段(图2d),留下Al2O3陶瓷材料的第二组线(220)。 下表1总结了氧化铝密度函数中的粗糙度变化。
表1
膜厚度(nm) 35 35 35 35
循环次数 1 3 6 9
质量增加(mg) 0.3 0.9 1.4 2
Al2O3密度(g/cm3) 0.3 1.0 1.6 2.2
Al2O3密度(%) 7.5 25 40 55
LER - 2.5 2.2 2.6
LWR - 2.4 2.5 2.7
扩散深度(nm) 25 25 25 25
扩散深度(%) 71% 71% 71% 71%
通过在SIS工艺之前和之后的晶片质量测定来确定质量增加。在微电子研 究中心(imec)的Metrxy Mentor 300上进行测定。
Al2O3密度定义为质量增加除以扩散体积,其中扩散体积由X-TEM确定。
如前所述确定LER和LWR。
用通过EELS或HAADF的Al、O、Si和N元素分布,通过X-TEM确定 扩散深度。
如上表所示,随着循环次数增加,第一组线中Al2O3密度增加。对于40 %的Al2O3密度,测定对应于LER最小值的最佳密度。
此外,扩散深度不受循环次数的显著影响。扩散深度更多是温度、循环长 度和前体分压的函数。
我们现在参见图3。图3概括了在35nm厚自组装嵌段共聚物层上进行的 实验。不同样品的LER、LWR和CD(线宽)以浸润的氧化铝的质量函数进行 确定,固定循环次数等于6,且在各种情况下的扩散深度为约70%。通过增加 TMA半周期时间、温度和/或TMA分压获得升高的质量增加。如可观察到的, 当扩散深度固定时,质量增加和由此的Al2O3密度是主要决定性因素。如何获 得质量增加(增加TMA半周期时间、温度和/或TMA分压)在很大程度上是无关紧要的。因此,观察到氧化铝的部分浸润导致线特性的改进;例如,对于 Al2O3质量增加为1.4mg至1.7mg,其对应1.6g/cm3至1.9g/cm3的密度,对应40% 至48%的相对密度(即,与4g/cm3的氧化铝实际密度相比),与1.0mg或2.0mg 的质量增加所测得的LER相比,观察到约12%的LER改进。
实施例2:浸润深度对嵌段共聚物图案的线特性的影响
下表2总结了粗糙度相对于扩散深度的函数变化。在该情况下,通过改变 嵌段共聚物膜厚度来改变扩散深度。
表2
从表2中可以看出,小于100%的扩散深度对于等同Al2O3密度的LER和 LWR均是有利的。
我们现在参见图7。具有元素分布图像的横截面透射电子显微镜(X-TEM) 显示了图案化膜厚度不同的表2中的两个样品,即35nm(图7a)相较于45nm (图7b)。两个样品中的浸润环境相同,与第二种情况下相对深度仅80%相 比,在第一种情况下浸润发生在整个深度上(由白色箭头指示)。在第一种情 况下,观察到LER为2.5nm,LWR为3.1nm,而在第二种情况下,观察到LER 为2.2nm,LWR为2.4nm。换句话说,与100%相比,通过将相对浸润深度限制为80%,观察到12%的LER改进和23%的LWR改进。
下表3总结了当所有样品的膜厚度相同但通过改变TMA半周期时间、温 度和/或TMA分压来改变扩散深度时,粗糙度相对扩散深度的函数变化。
表3
从该表中可以看出,低于100%的扩散深度实现了最佳的LER。
实施例3:金属或陶瓷材料刚度与线特性之间的关系、以及蚀刻化学品对线特性的 影响
使用实施例1中的表1的样品,在接触模式下使用原子力显微镜(AFM), 以等离子体暴露时间的函数,对第一嵌段(原始PMMA)和第二组线(在通 过用混合物Ar/O2由氧化等离子体蚀刻去除嵌段共聚物的步骤c之后获得)的 刚度进行测定;使用德佳根-米勒-托波洛夫(Derjaguin-Muller-Toporov,DMT) 模型将刚度量化为降低模量。较高的降低模量获得了显示出最低LER的样品。 当使用氧化等离子体蚀刻化学品如Ar/O2时,对于较长的等离子曝露,观察到 了降低模量通常会增加。
相反,当使用还原等离子体蚀刻化学物质如N2/H2(未显示)时,对于较 长的等离子体暴露,没有观察到的材料刚度的这种增加。尝试各种蚀刻化学品, 随后测量以下LER:Ar/O2:2.5nm;He/O2:2.5nm;N2/O2:2.6nm;N2/H2: 3.1nm;Ar/H2:3.6nm。当没有进行浸润时(参考),获得的PS线的LER为 3.8nm。
这表示当蚀刻是氧化的时,观察到LER的最佳改善;当使用N2/H2等离 子体蚀刻时,观察到受PSD图(未显示)进一步支持的显示处与参考相当的 线特征。
实施例4:EUVL图案的线特性的改进
我们现在参见图4。在反应器室中,在基材(100)上提供包含构成第一组 线(210)的有机材料的EUVL光致抗蚀剂(JSR EUV J1915或J3030抗蚀剂) 和其间的空隙的40nm图案化层(图4a)。通过SIS工艺将Al2O3陶瓷材料浸 润到光致抗蚀剂中,其包括将第一组线(210)在0.5托的分压下在三甲基铝第 一前体(410;图4b)中曝露3000秒,用N2吹扫反应器室20秒,然后将其在 作为第二前体(420;图4c)的水中曝露20秒,然后再次用N2吹扫反应器室 2分钟;通常在第二循环中重复该工序,不同的是:将曝露于三甲基铝第一前 体的步骤减少至300秒。最后,使用O2/Ar去除EUVL光致抗蚀剂(图4d), 留下陶瓷材料的第二组线(220)。
我们现在参见图5。临界尺寸扫描电子显微镜(CD-SEM)俯视图像显示 了在本发明方法之前和之后线之间的差异。观察到LER降低了41%,LWR降 低了59%,CD降低了51%。
我们现在参见图6。用于LER和LWR的功率谱密度(PSD)曲线显示了 高频、中频和低频范围内的粗糙度改进。曲线A显示第一组线(SIS之前)的 LWR。曲线B显示第一组线(SIS之前)的LER。曲线C显示第二组线(在 SIS和等离子体之后)的LER。曲线D显示第二组线(在SIS和等离子体之后) 的LWR。
实施例5:羟基在有机材料中的作用
我们现在参见图8。如实施例4所示,将基于EUVL光致抗蚀剂的两个样 品曝露于Al2O3前体。在曝露之前,通过泛光UV辐照处理第一样品,以使光 致抗蚀剂中存在的羟基苯乙烯单体单元的羟基官能团脱保护,而第二样品未经 泛光UV辐照处理。在曝露后,使用X-TEM由元素分布观察样品。在有机材 料中含有高浓度羟基的第一样品(图8a)中,所有三甲基铝第一前体已经(可 逆地)结合到在最初数纳米内的羟基,以使得浸润限制在表面。在有机层中含 有低浓度羟基的第二样品(图8b)中,三甲基铝第一前体能够深入扩散到有机 材料中,并且浸润更均匀。需要更均匀的膜浸润,以形成蚀刻后会收缩的骨架, 导致(例如,)线粗糙度改进;而不是在线的最初数纳米附近或之内形成壳。
实施例6:基材最上层对图案转移的影响
我们现在参见图9。两个示意图显示了对应于基于如实施例4所述制备的 EUVL光致抗蚀剂的两个样品(在此情况中仅进行第一个循环)。随后将第二 组线转移到基材的顶层。结果的俯视图显示在相应的CD-SEM图像中。可以看 出,当顶层是旋涂玻璃(SOG)硬掩模(图9a)或旋涂碳(SOC)掩模(图 9b)时,与基材顶层连接的转移图案是错误的,在这两种情况下,基材顶层也 由陶瓷材料浸润。
我们现在参见图10。相反,当在APF层顶部使用SiOC层作为基材的顶 层(两个基材都通过PECVD沉积)时,不会发生陶瓷材料浸润SiOC顶层, 而第二组线可以整齐地转移。
应理解,虽然针对本发明的装置已讨论了优选的实施方式、具体的构造和 配置以及材料,但可以各种形式和细节做出各种改变或改进而不偏离本发明的 范围和技术启示。例如,上文给出的任何公式仅代表可使用的过程。可从框图 中添加或删除功能并且操作可在功能块之间互换。可在本发明的范围内所述的 方法中添加或删除步骤。

Claims (21)

1.一种用于制造掩模层的方法,所述方法包括:
a.在基材(100)上提供图案化层,所述图案化层至少包括具有第一特性的有机材料的第一组线(210),所述线具有线高度、第一线宽粗糙度,并且通过空隙或具有第二特性的材料(300)分开;
b.用金属或陶瓷材料浸润至少第一组线(210)的顶部部分,所述金属或陶瓷材料具有固有密度和在浸润的第一组线(210)中的实际密度;以及c.通过氧化等离子体蚀刻去除有机材料,由此在基材(100)上形成金属或陶瓷材料的第二组线(220),所述第二组线具有小于第一线宽粗糙度的第二线宽粗糙度;
其中,在浸润的第一组线(210)中金属或陶瓷材料的实际密度为固有密度的15-85%、优选25-65%。
2.如权利要求1所述的方法,其特征在于,步骤b包括仅浸润第一组线(210)的顶部部分,所述顶部部分的高度为线高度的20-95%、优选40-80%。
3.如权利要求1或2所述的方法,其特征在于,所述第一组线(20)具有第一线边缘粗糙度,并且其中,第二组线(220)具有第二线边缘粗糙度,第二线边缘粗糙度比第一线边缘粗糙度小至少10%、优选至少20%。
4.如权利要求1至3中任一项所述的方法,其特征在于,所述第一组线(20)具有第一线宽粗糙度,并且其中,第二组线(220)具有第二线宽粗糙度,第二线宽粗糙度比第一线宽粗糙度小至少5%、优选至少10%、更优选至少20%。
5.如上述任何权利要求中任一项所述的方法,其特征在于,所述至少包括第一组线(210)的图案化层是嵌段共聚物层或光致抗蚀剂层。
6.如权利要求5所述的方法,其特征在于,光致抗蚀剂层是远紫外光刻用光致抗蚀剂层。
7.如权利要求5所述的方法,其特征在于,具有第一特性的有机材料由嵌段聚合物的一种嵌段制成,并且其中,具有第二特性的有机材料由嵌段共聚物的另一种嵌段制成。
8.如权利要求5或6所述的方法,其特征在于,具有第一特性的有机材料由经曝露的光致抗蚀剂制成,并且具有第二特性的有机材料由未曝露的光致抗蚀剂制成;或者,其中具有第一特性的有机材料由未曝露的光致抗蚀剂制成,并且具有第二特性的有机材料由经曝露的有机抗蚀剂制成。
9.如前述的任一项权利要求所述的方法,其特征在于,所述陶瓷材料是氧化铝。
10.如上述权利要求中任一项所述的方法,其特征在于,所述步骤b包括连续浸润合成。
11.如权利要求10所述的方法,其特征在于,所述连续浸润合成包括:
b1.将第一组线(210)曝露于第一前体;以及
b2.将第一组线(210)曝露于第二前体。
12.如权利要求11所述的方法,其特征在于,所述第一前体(410)是三甲基铝,并且其中,第二前体(420)是氧化剂。
13.如上述权利要求中任一项所述的方法,其特征在于,所述氧化等离子体蚀刻包括曝露于O2等离子体和Ar、N2或He之一。
14.如权利要求13所述的方法,其特征在于,第一组线(210)的线通过具有第二特性的有机材料(300)分开,并且其中,步骤b相对具有第二特性的有机材料(300)在第一组线上选择性地进行。
15.如权利要求1-13中任一项所述的方法,其特征在于,第一组线的线由空隙分开,所述空隙曝露了基材的顶部表面,所述基材的顶部表面可以使得金属或陶瓷材料不发生浸润。
16.如权利要求15所述的方法,其特征在于,所述基材的顶部表面包含在通过化学蒸气沉积或原子层沉积形成的层中。
17.如权利要求15或权利要求16所述的方法,其特征在于,所述基材的顶部表面包含在选自SiOC、SiO2、SiON、SiN、TiN和AlN的材料的硬掩模层中。
18.如权利要求15至17中任一项所述的方法,其特征在于,所述基材的顶部表面包含在第一层中,并且其中,在第一层下方且可选地通过粘合层与第一层分开的第二层由无定形材料制成。
19.如权利要求18所述的方法,其特征在于,所述无定形材料是无定形碳。
20.通过如权利要求1-19中任一项所述方法可获得的包括在基材(100)上的掩模层的组件。
21.连续浸润合成的用途,随后通过使用氧化等离子体,用于降低远紫外光刻用光致抗蚀剂图案的线边缘粗糙度。
CN201711123298.5A 2016-12-15 2017-11-14 光刻掩模层 Pending CN108227412A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP16204313 2016-12-15
EP16204313.7 2016-12-15

Publications (1)

Publication Number Publication Date
CN108227412A true CN108227412A (zh) 2018-06-29

Family

ID=57570200

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711123298.5A Pending CN108227412A (zh) 2016-12-15 2017-11-14 光刻掩模层

Country Status (2)

Country Link
US (1) US10824078B2 (zh)
CN (1) CN108227412A (zh)

Families Citing this family (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
CN108227412A (zh) * 2016-12-15 2018-06-29 Imec 非营利协会 光刻掩模层
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) * 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
JP2019124847A (ja) * 2018-01-17 2019-07-25 東芝メモリ株式会社 パターン形成材料およびパターン形成方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11131919B2 (en) * 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10845704B2 (en) 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11192971B2 (en) * 2019-03-11 2021-12-07 Toshiba Memory Corporation Pattern forming material, composition for pattern formation, pattern forming method and method of manufacturing semiconductor device
JP2020149037A (ja) * 2019-03-11 2020-09-17 キオクシア株式会社 パターン形成材料、パターン形成用組成物、パターン形成方法および半導体装置の製造方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200141554A (ko) 2019-06-10 2020-12-21 에스케이하이닉스 주식회사 패턴 형성 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
EP3787006A1 (en) * 2019-08-30 2021-03-03 Imec VZW Contact isolation in semiconductor devices
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
DE102020131642A1 (de) * 2020-06-02 2021-12-02 Intel Corporation Strukturen und techniken der gerichteten selbstorganisation
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP2022051106A (ja) * 2020-09-18 2022-03-31 キオクシア株式会社 化合物、ポリマー、パターン形成材料、パターン形成方法および半導体装置の製造方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN117461113A (zh) * 2021-06-30 2024-01-26 应用材料公司 金属氧化物渗入光刻胶中的方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240027912A1 (en) * 2022-07-25 2024-01-25 Applied Materials, Inc. Method to reduce line edge roughness for euv photoresist pattern

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120241411A1 (en) * 2011-03-24 2012-09-27 Uchicago Argonne Llc Sequential infiltration synthesis for advanced lithography
US20130256265A1 (en) * 2011-03-24 2013-10-03 Uchicago Argonne Llc Sequential Infiltration Synthesis for Enhancing Multiple-Patterning Lithography
CN103676493A (zh) * 2012-09-21 2014-03-26 中国科学院微电子研究所 降低线条粗糙度的混合光刻方法
US20140346142A1 (en) * 2013-05-25 2014-11-27 HGST Netherlands B.V. Method for making a chemical contrast pattern using block copolymers and sequential infiltration synthesis
US20150031207A1 (en) * 2013-07-29 2015-01-29 Applied Materials, Inc. Forming multiple gate length transistor gates using sidewall spacers
US20150225850A1 (en) * 2014-02-07 2015-08-13 HGST Netherlands B.V. Method for line density multiplication using block copolymers and sequential infiltration synthesis
CN105390377A (zh) * 2014-08-28 2016-03-09 台湾积体电路制造股份有限公司 用于集成电路图案化的方法
US20160300756A1 (en) * 2015-04-12 2016-10-13 Tokyo Electron Limited Subtractive methods for creating dielectric isolation structures within open features

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5478785A (en) * 1989-11-06 1995-12-26 Pennsylvania Research Corporation Infiltration process for introducing additional phases for multiphase (composite) materials
US8097175B2 (en) * 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8425982B2 (en) * 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US9487600B2 (en) * 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8900963B2 (en) * 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
US9087699B2 (en) * 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US9411237B2 (en) * 2013-03-14 2016-08-09 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing
US10189704B2 (en) * 2013-06-15 2019-01-29 Brookhaven Science Associates, Llc Formation of superhydrophobic surfaces
US8986562B2 (en) * 2013-08-07 2015-03-24 Ultratech, Inc. Methods of laser processing photoresist in a gaseous environment
US9659860B2 (en) * 2013-08-21 2017-05-23 Intel Corporation Method and structure to contact tight pitch conductive layers with guided vias
US9177795B2 (en) * 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
EP3026692A1 (en) * 2014-11-25 2016-06-01 IMEC vzw Method for manufacturing pillar or hole structures in a layer of a semiconductor device, and associated semiconductor structure
US10259907B2 (en) * 2015-02-20 2019-04-16 Az Electronic Materials (Luxembourg) S.À R.L. Block copolymers with surface-active junction groups, compositions and processes thereof
TWI669337B (zh) * 2015-02-26 2019-08-21 美商羅門哈斯電子材料有限公司 用於定向自組裝的共聚物調配物、其製造方法以及包括其的物件
US9646883B2 (en) * 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
KR102637883B1 (ko) * 2015-12-11 2024-02-19 아이엠이씨 브이제트더블유 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용
US9899220B2 (en) * 2015-12-15 2018-02-20 Imec Vzw Method for patterning a substrate involving directed self-assembly
US9982097B2 (en) * 2016-02-11 2018-05-29 International Business Machines Corporation Thin film self assembly of topcoat-free silicon-containing diblock copolymers
EP3208829A1 (en) * 2016-02-19 2017-08-23 IMEC vzw Metal or ceramic material hardened pattern
US20170298503A1 (en) * 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10204782B2 (en) * 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
WO2018009882A1 (en) * 2016-07-08 2018-01-11 Uchicago Argonne, Llc Functionalized foams
US10755942B2 (en) * 2016-11-02 2020-08-25 Massachusetts Institute Of Technology Method of forming topcoat for patterning
CN108227412A (zh) * 2016-12-15 2018-06-29 Imec 非营利协会 光刻掩模层
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11725275B2 (en) * 2017-07-13 2023-08-15 Uchicago Argonne, Llc Low refractive index surface layers and related methods

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120241411A1 (en) * 2011-03-24 2012-09-27 Uchicago Argonne Llc Sequential infiltration synthesis for advanced lithography
US20130256265A1 (en) * 2011-03-24 2013-10-03 Uchicago Argonne Llc Sequential Infiltration Synthesis for Enhancing Multiple-Patterning Lithography
CN103676493A (zh) * 2012-09-21 2014-03-26 中国科学院微电子研究所 降低线条粗糙度的混合光刻方法
US20140346142A1 (en) * 2013-05-25 2014-11-27 HGST Netherlands B.V. Method for making a chemical contrast pattern using block copolymers and sequential infiltration synthesis
US20150031207A1 (en) * 2013-07-29 2015-01-29 Applied Materials, Inc. Forming multiple gate length transistor gates using sidewall spacers
US20150225850A1 (en) * 2014-02-07 2015-08-13 HGST Netherlands B.V. Method for line density multiplication using block copolymers and sequential infiltration synthesis
CN105390377A (zh) * 2014-08-28 2016-03-09 台湾积体电路制造股份有限公司 用于集成电路图案化的方法
US20160300756A1 (en) * 2015-04-12 2016-10-13 Tokyo Electron Limited Subtractive methods for creating dielectric isolation structures within open features

Also Published As

Publication number Publication date
US10824078B2 (en) 2020-11-03
US20180173109A1 (en) 2018-06-21

Similar Documents

Publication Publication Date Title
CN108227412A (zh) 光刻掩模层
TWI651594B (zh) 有機膜形成用化合物、有機膜形成用組成物、有機膜形成方法及圖案形成方法
US10059820B2 (en) Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
CN102428022B (zh) 使用分段预图案的定向自组装嵌段共聚物
US9152053B2 (en) Method of forming pattern
Liu et al. Integration of block copolymer directed assembly with 193 immersion lithography
CN101894794B (zh) 使用聚合物定向自组装形成子平版印刷特征的方法
US8623458B2 (en) Methods of directed self-assembly, and layered structures formed therefrom
US8828493B2 (en) Methods of directed self-assembly and layered structures formed therefrom
TWI632437B (zh) 用於形成凸紋影像的方法
US8853856B2 (en) Methodology for evaluation of electrical characteristics of carbon nanotubes
US20110147983A1 (en) Methods of directed self-assembly and layered structures formed therefrom
TW200936635A (en) Hardmask composition having antireflective properties and method of patterning material using the same
CN104364713A (zh) 利用嵌段共聚物形成图案及制品
KR20210018548A (ko) Euv 레지스트 및 하드 마스크 선택도를 개선하기 위한 패터닝 방식
Takei et al. Silicon-containing spin-on underlayer material for step and flash nanoimprint lithography
KR20190112157A (ko) 패턴 전사 및 리소그래피 결함을 감소시키기 위한 방법
CN110416068A (zh) 半导体装置的形成方法
Frommhold et al. High aspect ratio etching using a fullerene derivative spin-on-carbon hardmask
CN111948903A (zh) 有机膜形成用组成物、图案形成方法、以及聚合物
Dai et al. Nanocontact printing using a hydrogen silsesquioxane stamp with low E-beam dose
US20230161240A1 (en) Manufacturing method of euv photo masks
Suhm et al. Contact printing to the 45-nm node using a binary mask and 248-nm lithography
KR20230067528A (ko) 반도체 기판 패턴 도괴 억제용 충전막 형성 재료 및 반도체 기판의 처리 방법
Selinidis et al. Increasing effective resolution through surface conditioners for 1x imprint templates and photo mask applications beyond 65nm

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination