KR20210018548A - Euv 레지스트 및 하드 마스크 선택도를 개선하기 위한 패터닝 방식 - Google Patents

Euv 레지스트 및 하드 마스크 선택도를 개선하기 위한 패터닝 방식 Download PDF

Info

Publication number
KR20210018548A
KR20210018548A KR1020217003858A KR20217003858A KR20210018548A KR 20210018548 A KR20210018548 A KR 20210018548A KR 1020217003858 A KR1020217003858 A KR 1020217003858A KR 20217003858 A KR20217003858 A KR 20217003858A KR 20210018548 A KR20210018548 A KR 20210018548A
Authority
KR
South Korea
Prior art keywords
layer
patterned
photoresist
intermediate layer
substrate
Prior art date
Application number
KR1020217003858A
Other languages
English (en)
Other versions
KR102532238B1 (ko
Inventor
낸시 풍
치-이 랑
호-영 데이비드 황
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210018548A publication Critical patent/KR20210018548A/ko
Application granted granted Critical
Publication of KR102532238B1 publication Critical patent/KR102532238B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/46Antireflective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/02167Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • H01L31/02168Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells the coatings being antireflective or having enhancing optical properties for the solar cells

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Sustainable Energy (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

극자외선(EUV) 리소그래피를 위한 방법들 및 막 적층체들이 설명된다. 막 적층체는, 하드 마스크, 최하부 층, 중간 층, 및 포토레지스트를 갖는 기판을 포함한다. 포토레지스트의 식각은 중간 층에 대해 고도로 선택적이고, 중간 층의 개질은 최하부 층에 대한 고도로 선택적인 식각을 허용한다.

Description

EUV 레지스트 및 하드 마스크 선택도를 개선하기 위한 패터닝 방식
본 개시내용은 일반적으로, 높은 물질 층 선택도를 갖는 패터닝 방법들에 관한 것이다. 특히, 본 개시내용은, 패터닝 응용들에 대한 극자외선(EUV) 레지스트들 및 하드 마스크 하부층들의 선택도를 개선하기 위한 방법들에 관한 것이다.
포토리소그래피는, 기판, 예컨대 반도체 웨이퍼 상으로의 네거티브 또는 포지티브 이미지들의 전사를 위해, 감광성 막들인 포토레지스트들을 이용한다. 포토레지스트로 기판을 코팅하는 것에 후속하여, 코팅된 기판은 활성화 방사선의 소스에 노출되며, 이는, 표면의 노출된 영역들에서 화학적 변환을 야기한다. 이어서, 포토레지스트 코팅된 기판은, 이용된 포토레지스트의 유형에 따라, 코팅된 기판의 방사선 노출된 영역들 또는 노출되지 않은 영역들을 용해시키거나 다른 방식으로 제거하기 위해 현상제 용액으로 처리된다.
그러나, 30 나노미터 이하의 크기들을 갖는 피쳐들의 생성을 위한 리소그래피 기법들은 다수의 단점들로 어려움을 겪는다. 예컨대, 그러한 기법들에 의해 생성되는 레지스트 막의 라인 폭 변동들은, 이러한 범위에서 전형적으로 요구되는 엄격한 치수 공차들, 예컨대, 대략, 레지스트 막의 분자 성분들의 규모들의 공차들을 고려할 때, 수용가능하기에는 너무 클 수 있다. 그러한 라인 폭 변동들은 라인 가장자리 거칠기(LER) 및/또는 라인 폭 거칠기(LWR)로 분류될 수 있다.
라인 가장자리 거칠기 및 라인 폭 거칠기는, 디바이스 특성들의 변동들로 이어질 수 있는 라인 폭 변동들을 반영한다. 집적 회로들에 대한 임계 치수들이 계속 축소됨에 따라, 라인 폭 변동들은 리소그래피에 대한 임계 치수(CD) 오차 예산에서 점점 더 중요한 역할을 할 것이다. 레지스트 패턴들에서 LER 및 LWR의 몇몇 의심되는 원인들은 레티클 품질, 공간(aerial) 이미지 품질, 및 레지스트 물질 특성들을 포함한다.
극자외선(EUV) 리소그래피(EUVL)는 차세대 리소그래피 기법으로서 유망하다. EUV 레지스트들의 사용은 패터닝 프로세스들을 단순화하여, 종래의 193i 프로세스보다 더 적은 마스크들을 요구한다. 그러나, EUV 처리량은 종래의 193i 프로세스보다 느리고 더 낮은 식각 선택도를 갖는다. EUV 레지스트의 두께는 약 100 내지 250 Å이어야 한다. EUV 레지스트 방식이 효과적으로 작용하기 위해, 중간 층(ML) 식각 프로세스는 포토레지스트에 대하여 고도로 선택적이어야 한다. 현재의 ML 프로세스들은, 약 1:1의 선택도를 갖는 플루오린 화학물질을 사용하거나, 더 높은 선택도를 달성하기 위해 중합체 덤프에 의존한다. 중합체 덤프 프로세스에서, LER 및 LWR은 공간 임계 치수들을 증가시키고 축소시킨다. 따라서, 증가된 식각 선택도로 기판을 패터닝하는 개선된 물질들, 막 적층체들 및/또는 방법들에 대한 필요성이 존재한다.
본 개시내용의 하나 이상의 실시예는 기판을 식각하는 방법들에 관한 것이다. 상부에 막 적층체를 갖는 기판이 제공된다. 막 적층체는, 하드 마스크 상의 최하부 층, 최하부 층 상의 중간 층, 및 중간 층 상의 패터닝된 포토레지스트를 포함한다. 패터닝된 중간 층을 형성하고 최하부 층의 부분들을 노출시키기 위해, 포토레지스트 통해 중간 층이 식각된다. 중간 층은 포토레지스트에 대해 선택적으로 식각된다. 패터닝된 중간 층을 개질된 패터닝된 중간 층으로 변환하기 위해, 기판이 반응물에 노출된다. 패터닝된 최하부 층을 형성하고 기판의 부분들을 노출시키기 위해, 개질된 패터닝된 중간 층을 통해 최하부 층이 식각된다. 최하부 층은, 개질된 패터닝된 중간 층에 대해 선택적으로 식각된다.
본 개시내용의 부가적인 실시예들은 EUV 패터닝 방법들에 관한 것이다. 기판 구조 및 하드 마스크 구조를 포함하는 기판이 제공된다. 기판 구조는, 상부에 금속 하드 마스크가 형성되는 저-k 유전체를 포함한다. 하드 마스크 구조는, 금속 하드 마스크 상에 형성되는 최하부 층, 최하부 층 상에 형성되는 중간 층, 및 중간 층 상에 형성되는 포토레지스트를 포함한다. 최하부 층은 다이아몬드형 탄소 물질을 포함한다. 포토레지스트는, EUV 방사선 및 현상제를 사용하여 패터닝되어 중간 층의 부분들을 노출시키고 포토레지스트의 잔류물을 남긴다. 포토레지스트 잔류물은, O2, N2, H2, 또는 HBr 중 하나 이상을 포함하는 플라즈마에 기판을 노출시킴으로써 제거된다. 중간 층은, 최하부 층의 부분들을 노출시키고 패터닝된 중간 층을 형성하도록 포토레지스트에 대하여 선택적으로 식각된다. 패터닝된 포토레지스트는 제거된다. 패터닝된 중간 층은, 패터닝된 중간 층을 개질된 패터닝된 중간 층으로 변환하기 위해 산화제에 노출된다. 산화제는 유도성 결합된 O2 플라즈마를 포함한다. 금속 하드 마스크의 부분들을 노출시키고 패터닝된 최하부 층을 형성하기 위해, 개질된 패터닝된 중간 층에 대하여 최하부 층이 선택적으로 식각된다. 개질된 패터닝된 중간 층은 제거된다. 저-k 유전체의 부분들을 노출시키고 패터닝된 하드 마스크를 형성하기 위해, 패터닝된 최하부 층에 대하여 금속 하드 마스크가 식각된다. 패터닝된 최하부 층은 제거된다. 저-k 유전체는 패터닝된 하드 마스크를 통해 식각되고, 패터닝된 하드 마스크는 제거된다.
본 개시내용의 추가적인 실시예들은 EUV 패터닝을 위한 막 적층체들에 관한 것이다. 막 적층체들은 저-k 유전체를 포함하며, 저-k 유전체 상에 하드 마스크가 있다. 하드 마스크는 TiN 또는 WC 중 하나 이상을 포함하고 약 200 Å 이하의 두께를 갖는다. 약 200 Å 이하의 두께를 갖는, 산화규소를 포함하는 임의적 층이 하드 마스크 상에 있다. 임의적 층 또는 하드 마스크 상에 최하부 층이 있다. 최하부 층은, 약 300 Å 이하의 두께를 갖는 다이아몬드형 탄소를 포함한다. 최하부 층 상에 중간 층이 있다. 중간 층은 약 200 Å 이하의 두께를 갖고, 최하부 반사방지 코팅(BARC), 유전체 반사방지 코팅(DARC), 유기 BARC 또는 도핑된 규소 중 하나 이상을 포함한다. 중간 층 상에, 패터닝된 포토레지스트가 있다. 패터닝된 포토레지스트는, 약 280 Å 이하의 두께를 갖는 유기 레지스트 또는 약 130 Å 이하의 두께를 갖는 금속 산화물 포토레지스트를 포함한다.
본 발명의 상기 언급된 특징들이 상세하게 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이러한 실시예들 중 일부가 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 예시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 유의되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 본 개시내용의 하나 이상의 실시예에 따른 방법의 흐름도를 도시한다.
도 2a 내지 도 2n은, 도 1의 방법의 개략적인 표현들을 예시한다.
이해를 용이하게 하기 위해서, 도면들에 공통된 동일한 요소들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 요소들 및 특징들은 추가적인 열거가 없이도 다른 실시예들에 유익하게 포함될 수 있는 것으로 고려된다.
본 발명의 몇몇 예시적인 실시예들을 설명하기 전에, 본 발명은 하기의 설명에서 기술되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않음이 이해되어야 한다. 본 발명은 다른 실시예들이 가능하며, 다양한 방식들로 실시되거나 수행되는 것이 가능하다.
본원에서 사용되는 바와 같은 "기판"은, 제조 프로세스 동안 그 위에서 막 처리가 수행되는 임의의 기판 또는 기판 상에 형성된 물질 표면을 지칭한다. 예컨대, 처리가 수행될 수 있는 기판 표면은, 응용에 따라, 규소, 산화규소, 응력가해진 규소(strained silicon), 절연체상 규소(SOI; silicon on insulator), 탄소 도핑된 규소 산화물들, 비정질 규소, 도핑된 규소, 게르마늄, 갈륨 비소화물, 유리, 사파이어와 같은 물질들, 및 임의의 다른 물질들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 물질들을 포함한다. 기판들은, 비-제한적으로, 반도체 웨이퍼들을 포함한다. 기판들은, 기판 표면을 연마, 식각, 환원, 산화, 히드록실화, 어닐링, UV 경화, e-빔 경화, 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 본 발명에서, 기판 자체의 표면 상에 직접적으로 막 처리를 하는 것에 부가하여, 개시되는 막 처리 단계들 중 임의의 막 처리 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이, 기판 상에 형성된 하부층 상에 수행될 수 있으며, "기판 표면"이라는 용어는 맥락이 나타내는 바에 따라 그러한 하부층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다.
본원에서 사용되는 바와 같이, "극자외선", "EUV" 등은 10 nm 내지 124 nm의 대락적인 범위 내의 방사선을 지칭한다. 일부 실시예들에서, EUV 방사선(EUV 광으로 또한 지칭됨)은 10 nm 내지 15 nm의 범위 내에 있다. 하나 이상의 실시예에서, 약 13.5 nm의 파장의 EUV 광이 이용된다.
본 개시내용의 일부 실시예들은 유리하게, 레지스트 물질에 대한 ML 개방에서의 높은 선택도를 달성하기 위해 하나의 물질로부터 다른 물질로의 중간 층의 변환을 수반하는 패터닝 방식들을 제공한다. 본 개시내용의 일부 실시예들은 유리하게, 최하부 층에 대한 식각 선택도를 증가시키도록 중간 층을 개질하는 방법들을 제공한다. 일부 실시예들은 유리하게, EUV 레지스트에 대한 높은 선택도를 달성하기 위해 중간 층에 대해 하나의 물질(예컨대, Si 또는 B)을 사용하는 패터닝 방식들을 제공한다. 중간 층이 개방된 후에, 중간 층은 최하부 층에 대한 높은 선택도를 달성하기 위한 다른 물질(예컨대, SiO 또는 BO)로 변환될 수 있다. 일부 실시예들에서, 중간 층의 (예컨대, Si에서 SiO로의) 변환은 중간 층이 (적용가능한 경우) 습식 식각에 의해 제거되는 것을 가능하게 한다.
현재의 중간 층들은, 식각 프로세스들 동안 조성을 크게 변화시키지 않는 산질화규소(SiON) 유형 막들을 사용한다. 본 개시내용의 일부 실시예들은 유리하게, 산화규소(SiO)로 변환되어 BL 개방 프로세스 동안 최하부 층에 대한 중간 층의 선택도를 증가시킬 수 있는 비정질 규소(a-Si)의 중간 층들을 제공한다. 일부 실시예들에서, a-Si는 중간 층 식각 동안 포토레지스트에 대하여 고도로 선택적(〉10:1)이고, a-Si로의 변환 후에, 최하부 층 식각 동안 최하부 층에 대하여 고도로 식각 선택적이게 된다. 일부 실시예들에서, 저밀도 a-Si는, 막 내 산소 침투를 증가시킴으로써 산화규소로의 변환을 향상시킨다.
본 개시내용의 일부 실시예들은, 규소, 비정질 규소, 도핑된 비정질 규소, 붕소 또는 도핑된 붕소 중 하나 이상을 포함하는 중간 층들을 제공한다. 유의한 임계 치수(CD) 챔버 또는 중합체 형성 없이 (플루오린 화학물질에 대하여) EUV 포토레지스트에 대해 더 높은 선택도를 갖는 ML 개방에 염소(Cl2) 화학 식각이 사용될 수 있다. a-Si 막은, 더 높은 식각률을 허용하는, 비교적 밀도가 낮은 다공성일 수 있다. 그러나, 낮은 밀도 막들은 최하부 층에 대해, 특히, 높은 바이어스 전위가 인가되는 고종횡비(HAR) 식각에 대해 이상적인 마스크들이 아니다. 선택도를 증가시키기 위해, 일부 실시예들은 다공성 막을 산화물 막으로(예컨대, a-Si에서 SiO로) 변환한다. 변환된 산화물 막은 더 높은 밀도를 갖고 하부층(예컨대, 탄소)에 대해 더 높은 선택도를 달성할 수 있다.
도 1은, 기판을 패터닝하기 위한 예시적인 방법(100)을 예시한다. 도 2a 내지 도 2n은, 도 1의 방법(100)에 따른 기판 패터닝 프로세스 동안의 막 적층체(200)의 개략적인 단면도들을 예시한다. 110에서, 막 적층체(200)가 형성된다. 도 2a에 예시된 막 적층체(200)는, 단지 설명의 목적들을 위해, 기판 구조(204) 및 하드 마스크 구조(208)로 분리된다. 하드 마스크 구조(208)는, 포토레지스트(260)(PR), 중간 층(250)(ML), 및 최하부 층(240)(BL)을 포함한다.
아래에 논의될 바와 같이, 기판 구조(204)는, 패터닝 응용의 표적인 가장 하단 층을 갖는 다수의 층들로 만들어질 수 있다. 일부 실시예들의 가장 하단 층은 (저-k) 유전체 층(210)이고, 기판으로 또한 지칭될 수 있다. 이와 관련하여, 예시된 기판 구조(204)는, 유전체 층(210), 하드 마스크(220), 및 임의적 층(230)을 포함한다. 예시된 막 적층체(200)가 유전체 층(210)을 가장 하단 층으로서 갖지만, 통상의 기술자는, 상부에 유전체 층(210)이 형성되는 하나 이상의 부가적인 막 또는 층이 존재할 수 있다는 것을 인지할 것이다.
예시된 방법(100)은 막 적층체(200)의 형성으로 시작되고, 패터닝된 기판(도 2h에 도시된 패터닝된 유전체 층(212))을 형성하기 위해, 개별 프로세스들/서브-프로세스들을 통해 이동한다. 그러나, 통상의 기술자는, 방법이 예시된 프로세스들 중 일부, 예시된 프로세스 전부, 또는 부가적인 예시되지 않은 프로세스들을 포함할 수 있다는 것을 인지할 것이다.
120에서, 도 2b에 도시된 바와 같이, 패턴이 포토레지스트(260)에 정의된다. 이는 또한, 포토레지스트(260)를 패터닝하는 것 또는 패터닝된 포토레지스트(262)를 형성하는 것으로 지칭될 수 있다. 포토레지스트(260)를 패터닝하는 것은, 통상의 기술자에게 알려져 있는 임의의 적합한 리소그래피 프로세스에 의해 행해질 수 있다. 일부 실시예들에서, 포토레지스트(260)를 패터닝하는 것은, 포토레지스트(260)를 패터닝된 EUV 방사선 소스 및 현상제에 노출시키는 것을 포함한다. 현상제는, 포토레지스트의 일부분을 제거하여 중간 층의 부분들을 노출시킬 수 있다. 일부 실시예들에서, 포토레지스트(260)는 네거티브 톤 포토레지스트이고, 현상제는, 방사선 소스에 노출되지 않은, 포토레지스트(260)의 부분들을 제거한다. 일부 실시예들에서, 포토레지스트(260)는 포지티브 톤 포토레지스트이고, 현상제는, 방사선 소스에 노출된, 포토레지스트(260)의 부분들을 제거한다.
일부 실시예들의 포토레지스트(260)는, 유기 포토레지스트 또는 금속 산화물 포토레지스트 중 하나 이상을 포함한다. 일부 실시예들에서, 유기 레지스트는, 화학적으로 증폭된 레지스트(CAR)로 또한 지칭되는 유기 포토레지스트를 포함한다. 유기 포토레지스트는, 약 280 Å 이하의 두께를 가질 수 있다. 일부 실시예들에서, 유기 포토레지스트는, 약 270 Å, 260 Å, 250 Å, 240 Å, 230 Å, 220 Å, 210 Å, 또는 200 Å 이하의 두께를 갖는다. 일부 실시예들에서, 유기 포토레지스트는, 약 190 Å 내지 약 280 Å의 범위, 또는 약 200 Å 내지 약 270 Å의 범위, 또는 약 210 Å 내지 약 260 Å의 범위, 또는 약 220 Å 내지 약 250 Å의 범위 내의 두께를 갖는다.
일부 실시예들에서, 포토레지스트(260)는 금속 산화물 포토레지스트를 포함한다. 일부 실시예들에서, 금속 산화물은, 금속 원자, 및 탄소(C), 수소(H), 산소(O), 또는 질소(N) 중 하나 이상을 포함한다. 일부 실시예들에서, 금속 산화물 포토레지스트는, 약 130 Å, 120 Å, 110 Å, 100 Å, 90 Å, 또는 80 Å 이하의 두께를 갖는다. 일부 실시예들에서, 금속 산화물 포토레지스트는, 약 70 Å 내지 약 130 Å의 범위, 또는 약 80 Å 내지 약 120 Å의 범위, 또는 약 90 Å 내지 약 110 Å의 범위 내의 두께, 또는 약 100 Å의 두께를 갖는다.
일부 실시예들에서, 포토레지스트(260)를 패터닝하는 것은 개구들(263)을 갖는 패터닝된 포토레지스트(262)를 형성한다. 잔류물(264)은 개구들(263)에 또는 패터닝된 포토레지스트(262)에 남을 수 있다. 130에서, 잔류물(264)은, 패터닝된 포토레지스트(265)를 형성하기 위해 세정 프로세스에서 제거된다. 잔류물(264)은 구어체로 "스컴(scum)"으로 알려져 있을 수 있고, "세정 프로세스는 "스컴제거"로 지칭된다. 도 2b의 패터닝된 포토레지스트(262)는 잔류물(264)을 예시한다. 도 2c에 예시된 패터닝된 포토레지스트(265)는 세정 프로세스 이후이므로, 잔류물(264)이 제거되어 있다.
잔류물(264)을 제거하는 것은 임의의 적합한 프로세스에 의해 행해질 수 있다. 일부 실시예들에서, 포토레지스트로부터 잔류물(264)을 제거하는 것은, 막 적층체(200)를, HBr, 산소 가스(O2), 질소 가스(N2), 수소 가스(H2), 아르곤(Ar), 또는 헬륨(He) 중 하나 이상을 포함하는 플라즈마에 노출시키는 것을 포함한다.
140에서, 패터닝된 포토레지스트(262)에 형성된 패턴이 중간 층(250)에 전사되어 패터닝된 중간 층(252)을 형성한다. 패터닝된 중간 층(252)은, 도 2d에 도시된 바와 같이, 개구들(256)을 갖고 최하부 층(240)의 부분들(241)을 노출시킨다. 이러한 프로세스는 또한 중간 층(ML) 개방으로 지칭된다. 중간 층(250)은, 패터닝된 포토레지스트(262)에 대해 중간 층(250)을 선택적으로 식각함으로써 패터닝된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "패터닝된 포토레지스트에 대해 중간 층을 선택적으로 식각" 등과 같은 어구들은, 제1 층(즉, 이러한 예에서는 중간 층)이 제2 층(즉, 이러한 예에서는 패터닝된 포토레지스트)보다 빠른 식각률로 식각된다는 것을 의미한다. 통상의 기술자는, 이러한 어구가 층들의 물리적 배향을 암시하는 것이 아니라 오히려 상대적인 식각률이 설명된다는 것을 인지할 것이다. 다른 방식으로 언급하자면, 중간 층(250)은, 패터닝된 포토레지스트(262)에 대하여 중간 층(250)을 선택적으로 식각함으로써 패터닝된다.
일부 실시예들의 중간 층(250)은, 포토레지스트(260) 및 최하부 층(240)에 대해 양호한 접착력을 갖는 물질을 포함한다. 일부 실시예들에서, 중간 층(250)은, 포토레지스트(260)의 패터닝 동안 잔류물(264)의 형성을 감소시키거나 제거하는 물질을 포함한다. 일부 실시예들에서, 중간 층(250)은, 최하부 반사방지 코팅(BARC), 유전체 반사방지 코팅(DARC), 유기 BARC(예컨대, 유기 염기를 가짐), 도핑된 규소(예컨대, 인 도핑된 규소) 또는 도핑된 붕소 막 중 하나 이상을 포함한다. 일부 실시예들에서, 중간 층(250)은 규소 또는 붕소 중 하나 이상을 포함한다. 일부 실시예들에서, 중간 층(250)은 실질적으로 비정질이다. 하나 이상의 실시예에서, 중간 층(250)은 본질적으로 비정질 규소(a-Si)로 이루어진다. 이러한 방식으로 사용되는 바와 같이, "본질적으로 ~로 이루어진다"라는 용어는, 대상 막의 약 90 %, 95 %, 98 %, 99 %, 99.5 % 이상이 언급된 물질이라는 것을 의미한다. 일부 실시예들에서, 중간 층(250)은 본질적으로 붕소로 이루어진다.
중간 층(250)의 두께는 변할 수 있다. 일부 실시예들에서, 중간 층(250)은, 약 200 Å, 190 Å, 180 Å, 170 Å, 또는 160 Å 이하의 두께를 갖는다.
일부 실시예들에서, 중간 층(250)은, 막 적층체(200)를 본질적으로 염소 기재 식각제로 이루어지거나 그를 포함하는 식각제에 노출시킴으로써 식각된다.
150에서, 도 2e에 도시된 바와 같이, 패터닝된 중간 층(252)을 개질된 패터닝된 중간 층(254)으로 변환하기 위해, 패터닝된 중간 층(252)이 반응물에 노출된다. 일부 실시예들에서, 개질된 패터닝된 중간 층(254)은 패터닝된 중간 층(252)의 물질의 산화물을 포함한다. 일부 실시예들에서, 패터닝된 중간 층(252)을 개질하는 것은, 최하부 층(240)에 대한 패터닝된 중간 층(252)의 식각 선택도를 증가시킨다.
예시된 실시예에서, 패터닝된 포토레지스트(262)가 또한 개질된 패터닝된 포토레지스트(266)(예컨대, 포토레지스트 물질의 산화물)로 변환된다. 일부 실시예들에서, 반응물은, 개질된 패터닝된 중간 층(254)을 형성하는 것과 동일한 프로세스에서 패터닝된 포토레지스트(264)를 제거한다. 패터닝된 포토레지스트(264)는, 도 2f에 예시된 바와 같이, 개질된 패터닝된 중간 층(254)의 형성 전에 또는 그 동안에 제거될 수 있다. 일부 실시예들에서, 패터닝된 포토레지스트(264) 또는 개질된 패터닝된 포토레지스트(266)는 개질된 패터닝된 중간 층(254)의 형성 후에 제거된다.
반응물은, 최하부 층(240)에 대한 중간 층(250)의 식각 선택도를 변경할 수 있는 임의의 적합한 반응물일 수 있다. 일부 실시예들에서, 반응물은 산소(O2) 유도성 결합된 플라즈마를 포함한다. 일부 실시예들에서, 반응물은, 유도성 결합된 플라즈마(ICP) 소스, 용량성 결합된 플라즈마(CCP) 소스, 마이크로파 플라즈마 소스, 또는 반응물을 활성이게 할 플라즈마 또는 라디칼들을 생성하기에 충분한 임의의 에너지 소스 중 하나 이상에 의해 생성되는 산소(O2) 플라즈마를 포함한다. 일부 실시예들에서, 중간 층(250)은, 포토레지스트 또는 최하부 층과 상이한 선택도를 갖도록 비-산소 풍부 물질과 산소 풍부 물질 사이에서 전후로 변환될 수 있는 물질을 포함한다.
160에서, 개질된 중간 층(254)의 형성 후에, 최하부 층(240)이, 도 2g에 도시된 바와 같이, 개질된 중간 층(254)의 개구들(256)을 통해 식각될 수 있다. 도 2g에 예시된 패턴 전사는, 개구들(244)을 갖는 패터닝된 최하부 층(242)을 형성하고 기판 구조(204)의 부분들을 노출시킨다. 패터닝된 최하부 층(242)은, 개질된 패터닝된 중간 층(254)에 대해(대하여) 선택적으로 식각된다. 이러한 프로세스는 또한 최하부 층(BL) 개방으로 지칭될 수 있다.
최하부 층(240)은 임의의 적합한 물질로 만들어질 수 있다. 일부 실시예들에서, 최하부 층(240)은, 포토레지스트에 대한 양호한 접착력, 중간 층 물질에 대한 양호한 접착력, 하부의 임의적 층 또는 하드 마스크에 대한 양호한 접착력, 높은 모듈러스, 비정질 또는 낮은 응력 중 하나 이상을 갖는 물질을 포함한다. 일부 실시예들의 최하부 층(240)은 다이아몬드형 탄소 물질을 포함한다. 일부 실시예들에서, 다이아몬드형 탄소 물질은, 높은 밀도(예컨대, 〉1.8 g/cc), 높은 모듈러스(예컨대, 〉150 GPa), 및 낮은 응력(예컨대, 〈-500 MPa)을 갖는다. 일부 실시예들에서, 다이아몬드형 탄소 물질은 높은 sp3 탄소 함량을 갖는다. 하나 이상의 실시예에서, 다이아몬드형 탄소 물질 중의 sp3 혼성화된 탄소 원자들의 양/백분율은, 적어도 40, 45, 50, 55, 60, 65, 70, 75, 80, 또는 85 퍼센트의 sp3 혼성화된 탄소 원자들이다. 일부 실시예들에서, 다이아몬드형 탄소 물질은 약 50 내지 약 90 퍼센트의 sp3 혼성화된 탄소 원자들을 함유할 수 있다. 다이아몬드형 탄소 물질은 약 60 내지 약 70 퍼센트의 sp3 혼성화된 탄소 원자들을 함유할 수 있다. 일부 실시예들에서, 최하부 층(240)은, 플라즈마 강화 화학 기상 증착, 플라즈마 강화 원자 층 증착, 또는 스핀-온 탄소에 의해 증착되는 탄소 막을 포함한다.
최하부 층(240)은 임의의 적합한 두께를 가질 수 있다. 일부 실시예들에서, 최하부 층(240)은, 약 300 Å, 290 Å, 280 Å, 270 Å, 260 Å, 또는 250 Å 이하의 두께를 갖는다.
패터닝된 최하부 층(242)을 형성하기 위해 최하부 층(240)을 선택적으로 식각하는 것은, 통상의 기술자에게 알려져 있는 임의의 적합한 프로세스에 의해 행해질 수 있다. 일부 실시예들에서, 최하부 층(240)은, 이방성 식각 프로세스에 의해, 개질된 패터닝된 중간 층(254)에 대하여 선택적으로 식각된다.
최하부 층(240)을 선택적으로 식각한 후에, 도 2h에 도시된 바와 같이, 개질된 패터닝된 중간 층(254)이 제거될 수 있다. 일부 실시예들에서, 최하부 층(240)을 식각하는 것 및 개질된 패터닝된 중간 층(254)을 제거하는 것은 실질적으로 동일한 프로세스에서 발생한다.
도면들에 예시된 기판 구조(204)는, 상부에 하드 마스크(220)가 형성된 유전체 층(210)을 포함한다. 하드 마스크(220)는 상부에 형성된 임의적 층(230)을 갖는다. 일부 실시예들의 임의적 층(230)은 본질적으로 산화규소로 이루어지거나 그를 포함한다. 하드 마스크 구조(208)는 하드 마스크(220) 또는 임의적 층(230) 상에 형성된다.
일부 실시예들에서, 임의적 층(230)은 본질적으로 이산화규소로 이루어지거나 그를 포함하고, 약 200 Å, 190 Å, 180 Å, 170 Å, 또는 160 Å 이하의 두께를 갖는다.
일부 실시예들에서, 하드 마스크는 본질적으로 질화티타늄 또는 탄화텅스텐 중 하나 이상으로 이루어지거나 그를 포함하고, 하드 마스크는 약 200 Å, 190 Å, 180 Å, 170 Å, 또는 160 Å 이하의 두께를 갖는다.
일부 실시예들에서, 유전체 층(210)은 저-k 유전체를 포함한다. 유전체 층(210)은 임의의 적합한 두께를 가질 수 있다. 일부 실시예들에서, 저-k 유전체는 SiCOH를 포함한다.
도 2i에서, 개구들(234)을 갖는 패터닝된 임의적 층(232)을 형성하기 위해 임의적 층(230)이 패터닝된다. 패터닝된 임의적 층(232)의 형성 후에 또는 그 동안에, 도 2j에 도시된 바와 같이, 패터닝된 최하부 층(242)이 제거될 수 있다. 임의적 층(230)으로의 패턴 전사 및 패터닝된 최하부 층(242)의 제거는 도 1의 방법(100)에서의 열거된 프로세스를 갖지 않는다. 임의적 층(230)이 존재하는 경우, 이러한 프로세스는 160과 170 사이에서 발생할 것이다.
도 2k에서, 그리고 방법(100)에서는 170에서, 패터닝된 하드 마스크(222) 및 개구들(224)을 형성하기 위해 패턴이 하드 마스크(220)에 전사될 수 있다. 패터닝된 하드 마스크(222)를 형성하는 동안 또는 그 후에, 도 2l에 예시된 바와 같이, 패터닝된 임의적 층(232)(또는 임의적 층(222)이 존재하지 않는 경우, 패터닝된 최하부 층(242))이 제거될 수 있다.
방법(100)의 180에서, 도 2m에 도시된 바와 같이, 패터닝된 유전체 층(212)을 형성하기 위해 기판 또는 유전체 층(210)이 패터닝된 하드 마스크(222)의 개구들(224)을 통해 패터닝될 수 있다. 유전체 층(212)의 패턴은, 응용에 따라, 개구들(214), 트렌치들, 또는 비아들로서 나타난다. 패터닝된 유전체 층(212)을 형성하는 동안 또는 그 후에, 도 2n에 예시된 바와 같이, 패터닝된 하드 마스크(222)가 임의의 적합한 프로세스에 의해 제거될 수 있다.
본 명세서 전반에 걸쳐 "일 실시예", "특정 실시예들", "하나 이상의 실시예" 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명되는 특정 특징, 구조, 물질, 또는 특성이 본 발명의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 위치들에서의 "하나 이상의 실시예에서", "특정 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 문구들의 출현들이 반드시 본 발명의 동일한 실시예를 지칭하는 것은 아니다. 또한, 특정 특징들, 구조들, 물질들, 또는 특성들은 하나 이상의 실시예에서 임의의 적합한 방식으로 조합될 수 있다.
본원의 발명이 특정 실시예들을 참조하여 설명되었지만, 이러한 실시예들은 단지 본 발명의 원리들 및 응용들을 예시한다는 것이 이해되어야 한다. 본 발명의 사상 및 범위를 벗어나지 않으면서 본 발명의 방법 및 장치에 대해 다양한 수정들 및 변형들이 이루어질 수 있다는 것이 관련 기술분야의 통상의 기술자들에게 명백할 것이다. 따라서, 본 발명은 첨부된 청구항들 및 그 등가물들의 범위 내에 있는 수정들 및 변형들을 포함하는 것으로 의도된다.

Claims (15)

  1. 기판을 식각하는 방법으로서,
    상부에 막 적층체를 갖는 기판을 제공하는 단계 ― 상기 막 적층체는, 하드 마스크 상의 최하부 층, 상기 최하부 층 상의 중간 층, 및 상기 중간 층 상의 패터닝된 포토레지스트를 포함함 ―;
    패터닝된 중간 층을 형성하고 상기 최하부 층의 부분들을 노출시키기 위해, 상기 포토레지스트를 통해 상기 중간 층을 식각하는 단계 ― 상기 중간 층은 상기 포토레지스트에 대해 선택적으로 식각됨 ―;
    상기 패터닝된 중간 층을 개질된 패터닝된 중간 층으로 변환하기 위해, 상기 기판을 반응물에 노출시키는 단계; 및
    패터닝된 최하부 층을 형성하고 상기 기판의 부분들을 노출시키기 위해, 상기 개질된 패터닝된 중간 층을 통해 상기 최하부 층을 식각하는 단계 ― 상기 최하부 층은 상기 개질된 패터닝된 중간 층에 대해 선택적으로 식각됨 ― 를 포함하는, 기판을 식각하는 방법.
  2. 제1항에 있어서,
    상기 포토레지스트를 패터닝하는 단계를 더 포함하는, 기판을 식각하는 방법.
  3. 제2항에 있어서,
    상기 포토레지스트를 패터닝하는 단계는, 상기 포토레지스트에 개구들을 형성하기 위해 EUV 방사선을 사용하여 상기 포토레지스트를 리소그래피 방식으로 패터닝하는 단계 ― 상기 개구들에 포토레지스트 잔류물이 있음 ―, 및 상기 포토레지스트로부터 상기 잔류물을 세정하는 단계를 포함하는, 기판을 식각하는 방법.
  4. 제3항에 있어서,
    상기 포토레지스트로부터 상기 잔류물을 세정하는 단계는, 상기 기판을 HBr, 산소 가스(O2), 질소 가스(N2), 또는 수소 가스(H2) 중 하나 이상을 포함하는 플라즈마에 노출시키는 단계를 포함하는, 기판을 식각하는 방법.
  5. 제1항에 있어서,
    상기 최하부 층은 다이아몬드형 탄소 물질을 포함하고, 상기 최하부 층은 약 300 Å 이하의 두께를 갖는, 기판을 식각하는 방법.
  6. 제1항에 있어서,
    상기 중간 층은 규소 또는 붕소를 포함하는, 기판을 식각하는 방법.
  7. 제6항에 있어서,
    상기 중간 층은 실질적으로 비정질인, 기판을 식각하는 방법.
  8. 제1항에 있어서,
    상기 포토레지스트에 대해 상기 중간 층을 선택적으로 식각하는 것은, 상기 기판을 염소 기재 식각제에 노출시키는 것을 포함하는, 기판을 식각하는 방법.
  9. 제1항에 있어서,
    상기 반응물은 O2 유도성 결합된 플라즈마를 포함하는, 기판을 식각하는 방법.
  10. 제10항에 있어서,
    상기 반응물은, 상기 개질된 패터닝된 중간 층을 형성하는 것과 동시에 상기 포토레지스트를 제거하는, 기판을 식각하는 방법.
  11. 제10항에 있어서,
    상기 패터닝된 중간 층을 개질하는 것은, 상기 최하부 층에 대한 식각 선택도를 증가시키는, 기판을 식각하는 방법.
  12. 제1항에 있어서,
    상기 포토레지스트는, 약 280 Å 이하의 두께를 갖는 유기 레지스트 또는 약 130 Å 이하의 두께를 갖는 금속 산화물 포토레지스트 중 하나 이상을 포함하는, 기판을 식각하는 방법.
  13. 제1항에 있어서,
    상기 기판은 기판 구조를 포함하고, 상기 기판 구조는 상부에 형성된 하드 마스크를 갖는 저-k 유전체를 포함하고, 상기 하드 마스크는 상부에 형성된 임의적 이산화규소 층을 갖고, 상기 막 적층체는 상기 하드 마스크 또는 상기 임의적 이산화규소 층 상에 형성되는, 기판을 식각하는 방법.
  14. 제1항에 있어서,
    상기 개질된 패터닝된 중간 층을 제거하는 단계;
    패터닝된 임의적 이산화규소 층을 형성하기 위해, 상기 패터닝된 최하부 층을 통해 임의적 이산화규소 층을 식각하는 단계;
    상기 패터닝된 최하부 층을 제거하는 단계;
    패터닝된 하드 마스크를 형성하기 위해 상기 패터닝된 임의적 이산화규소 층을 통해 상기 하드 마스크를 식각하는 단계;
    패터닝된 기판을 형성하기 위해 상기 패터닝된 하드 마스크를 통해 저-k 유전체를 식각하는 단계; 및
    상기 패터닝된 하드 마스크를 제거하는 단계를 더 포함하는, 기판을 식각하는 방법.
  15. EUV 패터닝을 위한 막 적층체로서,
    저-k 유전체;
    상기 저-k 유전체 상의 하드 마스크 ― 상기 하드 마스크는 TiN 또는 WC 중 하나 이상을 포함하고 약 200 Å 이하의 두께를 가짐 ―;
    상기 하드 마스크 상의, 약 200 Å 이하의 두께를 갖는, 산화규소를 포함하는 임의적 층;
    상기 임의적 층 또는 상기 하드 마스크 상의 최하부 층 ― 상기 최하부 층은 다이아몬드형 탄소를 포함하고 약 300 Å 이하의 두께를 가짐 ―;
    상기 최하부 층 상의 중간 층 ― 상기 중간 층은 약 200 Å 이하의 두께를 갖고, 상기 중간 층은 최하부 반사방지 코팅(BARC), 유전체 반사방지 코팅(DARC), 유기 BARC 또는 도핑된 규소 중 하나 이상을 포함함 ―; 및
    상기 중간 층 상의 패터닝된 포토레지스트 ― 상기 패터닝된 포토레지스트는, 약 280 Å 이하의 두께를 갖는 유기 레지스트 또는 약 130 Å 이하의 두께를 갖는 금속 산화물 포토레지스트를 포함함 ― 를 포함하는, EUV 패터닝을 위한 막 적층체.
KR1020217003858A 2018-07-09 2019-07-09 Euv 레지스트 및 하드 마스크 선택도를 개선하기 위한 패터닝 방식 KR102532238B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862695745P 2018-07-09 2018-07-09
US62/695,745 2018-07-09
US16/504,646 US11437238B2 (en) 2018-07-09 2019-07-08 Patterning scheme to improve EUV resist and hard mask selectivity
US16/504,646 2019-07-08
PCT/US2019/040931 WO2020014179A1 (en) 2018-07-09 2019-07-09 Patterning scheme to improve euv resist and hard mask selectivity

Publications (2)

Publication Number Publication Date
KR20210018548A true KR20210018548A (ko) 2021-02-17
KR102532238B1 KR102532238B1 (ko) 2023-05-16

Family

ID=69102261

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217003858A KR102532238B1 (ko) 2018-07-09 2019-07-09 Euv 레지스트 및 하드 마스크 선택도를 개선하기 위한 패터닝 방식

Country Status (5)

Country Link
US (2) US11437238B2 (ko)
KR (1) KR102532238B1 (ko)
CN (1) CN112424693B (ko)
TW (1) TWI821329B (ko)
WO (1) WO2020014179A1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114223050A (zh) * 2019-08-01 2022-03-22 应用材料公司 图案化金属氧化物光刻胶的剂量减量
US11651961B2 (en) * 2019-08-02 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning process of a semiconductor structure with enhanced adhesion
CN116705595A (zh) 2020-01-15 2023-09-05 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
JP2021145031A (ja) 2020-03-11 2021-09-24 キオクシア株式会社 半導体装置の製造方法
JP2022049828A (ja) 2020-09-17 2022-03-30 キオクシア株式会社 パターン形成方法および半導体装置の製造方法
US11079682B1 (en) 2020-11-13 2021-08-03 Tokyo Electron Limited Methods for extreme ultraviolet (EUV) resist patterning development
US11830736B2 (en) * 2021-02-18 2023-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer photo etching mask including organic and inorganic materials
US20240063019A1 (en) * 2022-08-16 2024-02-22 Tokyo Electron Limited Patterning a Semiconductor Substrate
US20240266185A1 (en) * 2023-02-07 2024-08-08 Applied Materials, Inc. Selective etching of silicon-containing material relative to metal-doped boron films
CN116207039B (zh) * 2023-04-28 2023-07-21 合肥晶合集成电路股份有限公司 半导体结构的制作方法以及半导体结构

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020048610A (ko) * 2000-12-18 2002-06-24 박종섭 반도체소자의 감광막 제거 방법
WO2007082745A1 (en) * 2006-01-18 2007-07-26 Universite Catholique De Louvain Selective etching for semiconductor devices
KR20080004212A (ko) * 2006-07-05 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 형성 방법
KR20080085280A (ko) * 2007-03-19 2008-09-24 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US20100327412A1 (en) * 2009-06-29 2010-12-30 Globalfoundries Inc. Method of semiconductor manufacturing for small features
KR20140051090A (ko) * 2012-10-22 2014-04-30 도쿄엘렉트론가부시키가이샤 구리층을 에칭하는 방법 및 마스크

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5780338A (en) * 1997-04-11 1998-07-14 Vanguard International Semiconductor Corporation Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US7247555B2 (en) * 2004-01-29 2007-07-24 Chartered Semiconductor Manufacturing Ltd. Method to control dual damascene trench etch profile and trench depth uniformity
US20070212649A1 (en) * 2006-03-07 2007-09-13 Asml Netherlands B.V. Method and system for enhanced lithographic patterning
KR100875660B1 (ko) 2006-05-02 2008-12-26 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP4996155B2 (ja) 2006-07-18 2012-08-08 株式会社東芝 半導体装置及びその製造方法
US8158334B2 (en) * 2008-01-14 2012-04-17 International Business Machines Corporation Methods for forming a composite pattern including printed resolution assist features
US20110079918A1 (en) 2009-10-01 2011-04-07 Applied Materials, Inc. Plasma-based organic mask removal with silicon fluoride
US20110162674A1 (en) 2009-10-26 2011-07-07 Applied Materials, Inc. In-situ process chamber clean to remove titanium nitride etch by-products
US20120318773A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8673765B2 (en) * 2012-06-01 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for back end of line semiconductor device processing
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US8748322B1 (en) 2013-04-16 2014-06-10 Applied Materials, Inc. Silicon oxide recess etch
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN108475640B (zh) 2016-01-20 2023-06-06 应用材料公司 用于侧向硬模凹槽减小的混合碳硬模
US10804138B2 (en) * 2017-09-22 2020-10-13 United Microelectronics Corp. Method for fabricating a semiconductor device
US11300881B2 (en) * 2018-10-23 2022-04-12 International Business Machines Corporation Line break repairing layer for extreme ultraviolet patterning stacks
US10658180B1 (en) * 2018-11-01 2020-05-19 International Business Machines Corporation EUV pattern transfer with ion implantation and reduced impact of resist residue

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020048610A (ko) * 2000-12-18 2002-06-24 박종섭 반도체소자의 감광막 제거 방법
WO2007082745A1 (en) * 2006-01-18 2007-07-26 Universite Catholique De Louvain Selective etching for semiconductor devices
KR20080004212A (ko) * 2006-07-05 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 형성 방법
KR20080085280A (ko) * 2007-03-19 2008-09-24 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US20100327412A1 (en) * 2009-06-29 2010-12-30 Globalfoundries Inc. Method of semiconductor manufacturing for small features
KR20140051090A (ko) * 2012-10-22 2014-04-30 도쿄엘렉트론가부시키가이샤 구리층을 에칭하는 방법 및 마스크

Also Published As

Publication number Publication date
CN112424693A (zh) 2021-02-26
TW202006823A (zh) 2020-02-01
US11437238B2 (en) 2022-09-06
CN112424693B (zh) 2024-05-31
TWI821329B (zh) 2023-11-11
US20220367186A1 (en) 2022-11-17
KR102532238B1 (ko) 2023-05-16
US20200013620A1 (en) 2020-01-09
WO2020014179A1 (en) 2020-01-16

Similar Documents

Publication Publication Date Title
KR102532238B1 (ko) Euv 레지스트 및 하드 마스크 선택도를 개선하기 위한 패터닝 방식
US10141188B2 (en) Resist having tuned interface hardmask layer for EUV exposure
US7482280B2 (en) Method for forming a lithography pattern
US8008206B2 (en) Double patterning strategy for contact hole and trench in photolithography
US8940643B2 (en) Double patterning strategy for contact hole and trench in photolithography
US7078351B2 (en) Photoresist intensive patterning and processing
US7354847B2 (en) Method of trimming technology
US8470708B2 (en) Double patterning strategy for contact hole and trench in photolithography
KR100876892B1 (ko) 반도체 소자의 제조방법
US8178287B2 (en) Photoresist composition and method of forming a resist pattern
US20220244636A1 (en) Method For Reducing Lithography Defects and Pattern Transfer
JP2008535280A (ja) Arc材料のcd低減のためのエッチングプロセス
JP3504247B2 (ja) 半導体装置の製造方法
KR20210031491A (ko) 루테늄 하드 마스크 공정
KR20080085280A (ko) 반도체 소자의 패턴 형성 방법
US20230326755A1 (en) Patterning Features with Metal Based Resists
US6902870B1 (en) Patterning of dielectric with added layers of materials aside from photoresist for enhanced pattern transfer
Sipani et al. Fundamental characterization of silicon-containing spin-on hardmask for 193nm photolithography
TW505978B (en) Residue-free bi-layer lithographic process
JPH0722396A (ja) ドライエッチング方法
TW202427576A (zh) 半導體基板的圖案化
US20090283714A1 (en) Etching gas for removing organic layers

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant