CN112424693A - 提高euv光刻胶及硬掩模选择性的图案化方案 - Google Patents

提高euv光刻胶及硬掩模选择性的图案化方案 Download PDF

Info

Publication number
CN112424693A
CN112424693A CN201980045846.8A CN201980045846A CN112424693A CN 112424693 A CN112424693 A CN 112424693A CN 201980045846 A CN201980045846 A CN 201980045846A CN 112424693 A CN112424693 A CN 112424693A
Authority
CN
China
Prior art keywords
photoresist
patterned
intermediate layer
layer
angstroms
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980045846.8A
Other languages
English (en)
Other versions
CN112424693B (zh
Inventor
南希·冯
志一·郎
和勇·大卫·黄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN112424693A publication Critical patent/CN112424693A/zh
Application granted granted Critical
Publication of CN112424693B publication Critical patent/CN112424693B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/46Antireflective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/02167Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • H01L31/02168Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells the coatings being antireflective or having enhancing optical properties for the solar cells

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Electromagnetism (AREA)
  • Sustainable Energy (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

描述用于极紫外(EUV)光刻的方法及膜堆叠。所述膜堆叠包括具有硬掩模的基板、底层、中间层及光刻胶。所述光刻胶的蚀刻对于所述中间层有高度选择性,且所述中间层的改质容许相对于所述底层的高选择性蚀刻。

Description

提高EUV光刻胶及硬掩模选择性的图案化方案
技术领域
本案揭露内容大致上关于具有高材料层选择性的图案化方法。特别的是,本案揭露内容关于提高用于图案化应用的极紫外(EUV)光刻胶和硬掩模下层的选择性的方法。
背景技术
光刻采用光刻胶(其为光敏膜)来将负或正影像转印到基板(例如半导体晶片)上。在用光刻胶涂布基板后,将经涂布的基板暴露于活化辐射源,而引发表面的暴露区域中的化学转变。然后,根据所用的光刻胶的类型,用显影剂溶液处理涂布有光刻胶的基板,以溶解或以其它方式移除涂布的基板的辐射暴露区域或未暴露的区域。
然而,用于产生尺寸为三十纳米或更小的特征的光刻技术遭遇到许多缺点。例如,鉴于在此范围内一般要求的严密的尺寸公差(例如,光刻胶膜的分子成分的尺度量级的公差),由这样的技术产生的光刻胶膜的线宽差异可能太大而无法被接受。这种线宽差异可以分类为线边缘粗糙度(LER)及/或线宽粗糙度(LWR)。
线边缘粗糙度和线宽粗糙度反映了可能导致器件特性差异的线宽波动。随着集成电路的关键尺寸不断缩小,线宽波动将在光刻的关键尺寸(CD)误差预算中发挥愈来愈重要的作用。光刻胶图案中的几种可疑的LER和LWR来源包括掩模版(reticle)的品质、空拍影像品质、及光刻胶材料性质。
极紫外(EUV)光刻(EUVL)显示出作为下一代光刻技术的希望。使用EUV光刻胶会简化图案化工艺,比传统的193i工艺需要更少的掩模。然而,比起传统193i工艺,EUV处理量较缓慢,且具有较低的蚀刻选择性。EUV光刻胶的厚度应约为100-250埃。对于有效运作的EUV光刻胶方案而言,中间层(ML)蚀刻工艺应相对于光刻胶具有高选择性。目前的ML工艺使用具有约1:1选择性的氟化学条件(fluorine chemistry),或依赖聚合物储放以达成更高的选择性。在聚合物储放工艺中,LER和LWR增加且缩小空间关键尺寸。因此,需要改良的材料、膜堆叠及/或以增加的蚀刻选择性图案化基板的方法。
发明内容
本案揭露内容的一或多个实施方式涉及蚀刻基板的方法。提供其上具有膜堆叠的基板。所述膜堆叠包括在硬掩模上的底层、在所述底层上的中间层、及在所述中间层上的图案化光刻胶。穿过所述光刻胶蚀刻所述中间层,以形成图案化中间层并且暴露底层的多个部分。所述中间层相对于所述光刻胶被选择性蚀刻。将所述基板暴露于反应物以将所述图案化中间层转换成改质的图案化中间层。穿过所述改质的图案化中间层蚀刻所述底层,以形成图案化的底层并且暴露所述基板的多个部分。所述底层相对于所述改质的图案化中间层被选择性蚀刻。
本案揭露内容的另外的实施方式涉及EUV图案化方法。提供包括基板结构及硬掩模结构的基板。所述基板结构包括低k电介质,且所述低k电介质上形成有金属硬掩模。所述硬掩模结构包括形成在所述金属硬掩模上的底层、形成在所述底层上的中间层、及形成在所述中间层上的光刻胶。所述底层包括类金刚石碳材料。使用EUV辐射和显影剂图案化所述光刻胶,以暴露所述中间层的多个部分并且留下所述光刻胶的残余物。通过将所述基板暴露于包含O2、N2、H2或HBr中的一或多种的等离子体来移除光刻胶残余物。相对于所述光刻胶选择性蚀刻所述中间层,以暴露所述底层的多个部分并且形成图案化中间层。移除图案化的光刻胶。将所述图案化中间层暴露于氧化剂,而将所述图案化中间层转换成改质的图案化中间层。所述氧化剂包括电感耦合的O2等离子体。相对于所述改质的图案化中间层而选择性蚀刻所述底层,以暴露所述金属硬掩模的多个部分且形成图案化的底层。移除所述改质的图案化中间层。相对于所述图案化的底层蚀刻所述金属硬掩模,以暴露所述低k电介质的多个部分且形成图案化的硬掩模。移除所述图案化的底层。穿过所述图案化的硬掩模蚀刻所述低k电介质,并且移除所述图案化的硬掩模。
本案揭露内容的进一步实施方式涉及用于EUV图案化的膜堆叠。所述膜堆叠包括低k电介质,在所述低k电介质上具有硬掩模。所述硬掩模包括TiN或WC中的一或多种,并且具有小于或等于约200埃的厚度。在所述硬掩模上具有一可选层,所述可选层包括氧化硅,具有小于或等于约200埃的厚度。底层位于所述可选层上或所述硬掩模上。所述底层包括类金刚石碳,具有小于或等于约300埃的厚度。中间层位于所述底层上。所述中间层的厚度小于或等于约200埃,且所述中间层包括下述之一或多者:底部抗反射涂层(BARC)、介电抗反射涂层(DARC)、有机BARC或掺杂硅。图案化的光刻胶位于所述中间层上。所述图案化的光刻胶包括:有机光刻胶,具有小于或等于约280埃的厚度;或金属氧化物光刻胶,具有小于或等于约130埃的厚度。
附图说明
为了可以详细地理解本发明的上述特征的方式,可通过参考实施方式(其中一些实施方式示于附图中)而获得上文简要概述的本发明的更特定的描述。然而,应注意,附图仅示出本发明的典型实施方式,因此不应将这些附图视为对本发明范围的限制,因为本发明可容许其他等效实施方式。
图1描绘根据本案揭露内容的一或多个实施方式的方法的流程图;及
图2A至2N示出图1的方法的示意图。
为了助于理解,已尽可能使用相同的附图标记表示图中共用的相同元件。考虑到一个实施方式的元件和特征可以有利地并入其他实施方式中而无需赘述。
具体实施方式
在描述本发明的若干示例性实施方式之前,应理解本发明不限于以下描述中所阐述的构造或处理步骤的细节。本发明能有其他实施方式并且能以各种方式实行或实施。
本文所用的“基板”是指在制造工艺期间在其上执行膜处理的任何基板或基板上所形成的材料表面。例如,上面能够执行处理的基板表面包括诸如下述材料的材料(视应用而定):硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂的氧化硅、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石、以及任何其他材料,诸如金属、金属氮化物、金属合金及其他导电材料。基板包括但不限于半导体晶片。可将基板暴露于预处理工艺,以研磨、蚀刻、还原、氧化、羟基化、退火、UV固化、电子束固化及/或烘烤基板表面。除了直接在基板表面本身上进行膜处理之外,在本发明中,所揭露的任何膜处理步骤也可在基板上形成的下层上进行,如下文更详细揭露,且术语“基板表面”意欲包括上下文所指出的这种下层。因此,举例而言,在已经将膜/层或部分膜/层沉积到基板表面上的情况中,新沉积的膜/层的暴露表面变成基板表面。
如本文所用,“极UV”、“EUV”或类似者是指大约在10nm至124nm的范围内的辐射。在一些实施方式中,EUV辐射(也称为EUV光)在10nm至15nm的范围内。在一或多个实施方式中,使用波长为约13.5nm的EUV光。
本案揭露内容的一些实施方式有利地提供图案化方案,这些方案涉及将中间层从一种材料转换成另一种材料,以在ML开口(ML opening)时实现相对于光刻胶材料的高选择性。本案揭露内容的一些实施方式有利地提供改质中间层以增加相对于底层的蚀刻选择性的方法。一些实施方式有利地提供将一种材料(例如,Si或B)用于中间层的图案化方案,以达成对EUV光刻胶的高选择性。在已将中间层开口之后,可将中间层转换成另一种材料(例如,SiO或BO)以达成对底层的高选择性。在一些实施方式中,中间层的转换(例如,Si到SiO)使中间层能够通过湿蚀刻(如果适用)而移除。
当前的中间层使用氮氧化硅(SiON)类型的膜,该类型的膜在蚀刻工艺期间不会显著地改变组成。本案揭露内容的一些实施方式有利地提供非晶硅(a-Si)的中间层,该非晶硅能够转换为氧化硅(SiO),从而在BL开口工艺期间增加中间层对底层的选择性。在一些实施方式中,a-Si在中间层蚀刻期间相对于光刻胶呈高度蚀刻选择性(>10:1),且在转换之后,a-Si变得在底层蚀刻期间相对于底层呈高度蚀刻选择性。在一些实施方式中,低密度a-Si通过增加膜内的氧渗透而增强了成为氧化硅的转换。
本案揭露内容的一些实施方式提供中间层,所述中间层包括硅、非晶硅、掺杂的非晶硅、硼或掺杂的硼之一或多者。氯(Cl2)化学蚀刻能用于ML开口,该氯化学蚀刻对于EUV光刻胶具有更高的选择性(相对于氟化学条件),而没有显著的临界尺寸(CD)室或聚合物形成。a-Si膜能够是多孔的,具有相对低的密度,而允许更高的蚀刻速率。然而,低密度膜不是底层的理想掩模,尤其对于施加高偏置电位的高深宽比(HAR)蚀刻而言更是如此。为了增加选择性,一些实施方式将多孔膜转换成氧化物膜(例如,a-Si至SiO)。转换的氧化物膜可具有更高的密度,并且达成对底层(例如,碳)的更高选择性。
图1绘示用于图案化基板的示例性方法100。图2A至图2N绘示根据图1的方法100的基板图案化工艺期间的膜堆叠200的示意截面图。在110,形成膜堆叠200。仅为了说明的目的,图2A中绘示的膜堆叠200被分成基板结构204和硬掩模结构208。该硬掩模结构208包括光刻胶260(PR)、中间层250(ML)和底层240(BL)。
如下文将探讨的,基板结构204可由多层构成,最底层是图案化应用的目标。一些实施方式的最底层是(低k)介电层210,并且也可称作基板。在此方面,所绘示的基板结构204包括介电层210、硬掩模220和可选层230。虽然所绘示的膜堆叠200具有介电层210作为最底层,但技术人员会认识到,可有一或更多个额外的膜或层而该介电层210形成于这些额外膜或层上。
所示的方法100开始于膜堆叠200的形成,并且移动经过各个工艺/子工艺以形成图案化的基板(图2H中所示的图案化的介电层212)。然而,技术人员会认识到,所述方法可以包括所说明的工艺的其中一些,所说明的工艺的全部、或额外的未说明的工艺。
在120,且在图2B中所示,在光刻胶260中界定图案。这也可以称作将光刻胶260图案化或形成图案化的光刻胶262。将光刻胶260图案化能够通过技术人员已知的任何适合的光刻工艺完成。在一些实施方式中,将光刻胶260图案化包括将光刻胶260暴露于图案化的EUV辐射源和显影剂。该显影剂能够移除一部分光刻胶,以暴露中间层的多个部分。在一些实施方式中,光刻胶260是负性光刻胶,且显影剂移除并未暴露于辐射源的光刻胶260的部分。在一些实施方式中,光刻胶260是正性光刻胶,并且显影剂移除已经暴露于辐射源的光刻胶260的部分。
一些实施方式的光刻胶260包括有机光刻胶或金属氧化物光刻胶中的一种或多种。在一些实施方式中,有机光刻胶包括一有机光刻胶,也称为化学放大光刻胶(CAR)。有机光刻胶可具有小于或等于约280埃的厚度。在一些实施方式中,有机光刻胶的厚度小于或等于约270埃、260埃、250埃、240埃、230埃、220埃、210埃或200埃。在一些实施方式中,有机光刻胶的厚度在约190埃至约280埃的范围内、或在约200埃至约270埃的范围内、或在约210埃至约260埃的范围内、或在约220埃至约250埃的范围内。
在一些实施方式中,光刻胶260包括金属氧化物光刻胶。在一些实施方式中,金属氧化物包含金属原子和下述的一或多者:碳(C)、氢(H)、氧(O)或氮(N)。在一些实施方式中,金属氧化物光刻胶的厚度小于或等于约130埃、120埃、110埃、100埃、90埃、或80埃。在一些实施方案中,金属氧化物光刻胶的厚度范围为约70埃至约130埃、或者范围为约80埃至约120埃、或者范围为约90埃至约110埃、或为约100埃。
在一些实施方式中,将光刻胶260图案化而形成具有开口263的图案化的光刻胶262。残余物264可留在开口263中或图案化的光刻胶262中。在130,在清洁工艺中移除残余物264,以形成图案化的光刻胶265。该残余物264可俗称为“残渣(scum)”,而清洁工艺称为“去渣(descumming)”。图2B中的图案化的光刻胶262绘示出残余物264。图2C中绘示的图案化的光刻胶265是在清洁工艺之后,如此则残余物264已移除。
能够通过任何适合的工艺移除残余物264。在一些实施方式中,从光刻胶移除残余物264包括将膜堆叠200暴露于等离子体,所述等离子体包括HBr、氧气(O2)、氮气(N2)、氢气(H2)、氩(Ar)或氦(He)之一或多者。
在140,在图案化的光刻胶262中形成的图案被转印到中间层250,以形成图案化的中间层252。图案化的中间层252具有开口256,且暴露底层240的部分241,如图2D所示。该工艺也称为中间层(ML)开口。通过相对于图案化的光刻胶262选择性蚀刻中间层250而使中间层250图案化。如在本说明书和所附权利要求书中所使用,如“相对于图案化的光刻胶选择性蚀刻中间层”的措辞及类似措辞意指以比第二层(即,在此示例中为图案化的光刻胶)更快的速率蚀刻第一层(即,在此示例中为中间层)。技术人员会认识到,该措辞并非暗示层的物理定位;相反地,描述了相对蚀刻速率。换句话说,通过相对于图案化的光刻胶262选择性蚀刻中间层250,而使中间层250图案化。
一些实施方式的中间层250包括对光刻胶260和底层240有良好粘着性的材料。在一些实施方式中,中间层250包括在图案化光刻胶260期间减少或消除残余物264的形成的材料。在一些实施方式中,中间层250包括下述一或多者:底部抗反射涂层(BARC)、介电抗反射涂层(DARC)、有机BARC(例如,具有有机碱)、掺杂硅(例如,磷掺杂的硅)或掺杂的硼膜。在一些实施方式中,中间层250包括硅或硼之一或多者。在一些实施方式中,中间层250实质上为非晶的。在一或多个实施方式中,中间层250基本上由非晶硅(a-Si)组成。如以这种方式使用的,用语“基本上由……组成”是指,所述的膜为大于或等于约90%、95%、98%、99%或99.5%的所述材料。在一些实施方式中,中间层250基本上由硼组成。
中间层250的厚度可变化。在一些实施方式中,中间层250的厚度小于或等于约200埃、190埃、180埃、170埃或160埃。
在一些实施方式中,通过将膜堆叠200暴露于包含基于氯的蚀刻剂或基本上由基于氯的蚀刻剂组成的蚀刻剂,而蚀刻中间层250。
在150,如图2E中所示,将图案化的中间层252暴露于反应物,以将图案化的中间层252转换成改质的图案化中间层254。在一些实施方式中,改质的图案化中间层254包括图案化的中间层252的材料的氧化物。在一些实施方式中,将图案化的中间层252改质增加了图案化的中间层252相对于底层240的蚀刻选择性。
在所说明的实施方式中,图案化的光刻胶262也转换为改质的图案化光刻胶266(例如,光刻胶材料的氧化物)。在一些实施方式中,反应物在与形成改质的图案化中间层254相同的工艺中移除图案化的光刻胶264。能够在形成改质的图案化中间层254之前或期间移除图案化的光刻胶264,如图2F中所绘示。在一些实施方式中,在形成改质的图案化中间层254之后,移除图案化的光刻胶264或改质的图案化光刻胶266。
反应物可以是任何适合的反应物,所述反应物能够改变中间层250相对于底层240的蚀刻选择性。在一些实施方式中,反应物包括氧(O2)电感耦合等离子体。在一些实施方式中,反应物包括由下述一或多者所生成的氧(O2)等离子体:电感耦合等离子体(ICP)源、电容耦合等离子体(CCP)源、微波等离子体源或足以生成等离子体或自由基以活化所述反应物的任何能量源。在一些实施方式中,中间层250包括能够在非富氧和富氧材料之间来回地转换的材料,以具有与光刻胶或底层不同的选择性。
在160,在形成改质的中间层254之后,能够穿过改质的中间层254中的开口256蚀刻底层240,如图2G所示。图2G中绘示的图案转印形成具有开口244的图案化的底层242,并且暴露基板结构204的多个部分。图案化的底层242相关于(相对于)改质的图案化中间层254而被选择性蚀刻。该工艺也可称为底层(BL)开口。
底层240可以由任何适合的材料制成。在一些实施方式中,底层240所包括的材料具有下述一或多者的性质:与光刻胶的良好粘着、与中间层材料的良好粘着、与下面的可选层或硬掩模的良好粘着、高模量、非晶或低应力。一些实施方式的底层240包括类金刚石碳材料。在一些实施方式中,类金刚石碳材料具有高密度(例如,>1.8g/cc)、高模量(例如,>150GPa)及低应力(例如,<-500MPa)。在一些实施方式中,类金刚石碳材料具有高sp3碳含量。在一或多个实施方式中,类金刚石碳材料中sp3杂化碳原子的数量/百分比为至少40%、45%、50%、55%、60%、65%、70%、75%、80%或85%的sp3杂化碳原子。在一些实施方式中,类金刚石碳材料可含有约50%至约90%的sp3杂化碳原子。类金刚石碳材料可含有约60%至约70%的sp3杂化碳原子。在一些实施方式中,底层240包括通过下述方式沉积的碳膜:等离子体增强化学气相沉积、等离子体增强原子层沉积、或旋涂碳。
底层240可以具有任何适合的厚度。在一些实施方式中,底层240的厚度小于或等于约300埃、290埃、280埃、270埃、260埃或250埃。
选择性地蚀刻底层240以形成图案化的底层242能够通过技术人员所知的任何适合工艺完成。在一些实施方式中,通过各向异性蚀刻工艺相对于改质的图案化中间层254选择性地蚀刻底层240。
在选择性地蚀刻底层240之后,可以移除改质的图案化中间层254,如图2H所示。在一些实施方式中,蚀刻底层240和移除改质的图案化中间层254在实质相同的工艺中发生。
图中所绘示的基板结构204包括介电层210,介电层210上形成有硬掩模220。硬掩模220具有形成在该硬掩模220上的可选层230。一些实施方式的可选层230包括氧化硅或基本上由氧化硅组成。硬掩模结构208形成在硬掩模220上或可选层230上。
在一些实施方式中,可选层230包括二氧化硅或基本上由二氧化硅组成,并且具有小于或等于约200埃、190埃、180埃、170埃或160埃的厚度。
在一些实施方式中,硬掩模包括氮化钛或碳化钨之一或多种或基本上由氮化钛或碳化钨之一或多种组成,并且所述硬掩模的厚度小于或等于约200埃、190埃、180埃、170埃或160埃。
在一些实施方式中,介电层210包括低k电介质。介电层210可以具有任何适合的厚度。在一些实施方式中,低k电介质包括SiCOH。
在图2I中,将可选层230图案化,而形成具有开口234的图案化的可选层232。在形成图案化的可选层232之后或期间,可以移除图案化的底层242,如图2J所示。在图1的方法100中没有列出将图案转印到可选层230和移除图案化的底层242的工艺。如果存在可选层230,则该工艺会是在160和170之间发生。
在图2K中,且在方法100的170中,图案可被转印到硬掩模220,以形成图案化的硬掩模222和开口224。在形成图案化的硬掩模222期间或之后,可以移除图案化的可选层232(或图案化的底层242——如果没有可选层222),如图2L所绘示。
在方法100的180,可穿过图案化的硬掩模222中的开口224图案化基板或介电层210,以形成图案化的介电层212,如图2M所示。根据应用,介电层212中的图案呈现开口214、沟槽或通孔。在形成图案化的介电层212期间或之后,可以通过任何适合的工艺移除图案化的硬掩模222,如图2N所绘示。
在整个本说明书中对“一个实施方式”、“某些实施方式”、“一或多个实施方式”或“一实施方式”的指称意指与该实施方式相关描述的特定特征、结构、材料或特性包括在本发明的至少一个实施方式中。因此,在整个说明书中多处出现的诸如“在一或多个实施方式中”、“在某些实施方式中”、“在一个实施方式中”或“在一实施方式中”的措辞不见得是指本发明的相同实施方式。此外,所述特定特征、结构、材料或特性可在一或多个实施方式中以任何适合的方式组合。
尽管已经参考特定实施方式描述了本发明,但是应该理解,这些实施方式仅仅是说明本发明的原理和应用。对于本领域技术人员显而易见的是在不背离本发明的精神和范围的情况下,能够对本发明的方法和设备进行各种修改及变化。因此,旨在使本发明包括在所附的权利要求书及其等同物的范围内的修改和变化。

Claims (15)

1.一种蚀刻基板的方法,所述方法包括:
提供其上具有膜堆叠的基板,所述膜堆叠包括在所述硬掩模上的底层、在所述底层上的中间层及在所述中间层上的图案化的光刻胶;
穿过所述光刻胶蚀刻所述中间层,而形成图案化的中间层并且暴露所述底层的多个部分,其中相对于所述光刻胶选择性蚀刻所述中间层;
将所述基板暴露于反应物以将所述图案化的中间层转换成改质的图案化中间层;及
穿过所述改质的图案化中间层蚀刻所述底层,而形成图案化的底层并且暴露所述基板的多个部分,其中相对于所述改质的图案化中间层选择性蚀刻所述底层。
2.如权利要求1所述的方法,进一步包括图案化所述光刻胶。
3.如权利要求2所述的方法,其中图案化所述光刻胶包括:使用EUV辐射光刻图案化(lithographically pattern)所述光刻胶,而在所述光刻胶中形成多个开口且在所述开口中具有光刻胶残余物;以及清洁所述残余物的所述光刻胶。
4.如权利要求3所述的方法,其中从所述光刻胶清洁所述残余物包括将所述基板暴露于等离子体,所述等离子体包括下述之一或多者:HBr、氧气(O2)、氮气(N2)或氢气(H2)。
5.如权利要求1所述的方法,其中所述底层包括类金刚石碳材料,且所述底层的厚度小于或等于约300埃。
6.如权利要求1所述的方法,其中所述中间层包括硅或硼。
7.如权利要求6所述的方法,其中所述中间层是实质上非晶的。
8.如权利要求1所述的方法,其中相对于所述光刻胶选择性蚀刻所述中间层包括将所述基板暴露至基于氯的蚀刻剂。
9.如权利要求1所述的方法,其中所述反应物包括O2电感耦合等离子体。
10.如权利要求10所述的方法,其中在形成所述改质的图案化中间层的同时,所述反应物移除所述光刻胶。
11.如权利要求10所述的方法,其中将所述图案化的中间层改质增加相对于所述底层的蚀刻选择性。
12.如权利要求1所述的方法,其中所述光刻胶包括下述一或多者:有机光刻胶,具有小于或等于约280埃的厚度;或金属氧化物光刻胶,厚度为小于或等于约130埃。
13.如权利要求1所述的方法,其中所述基板包括基板结构,所述基板结构包括低k电介质,在所述低k电介质上形成有硬掩模,所述硬掩模上形成有可选二氧化硅层,且所述膜堆叠形成于所述硬掩模或所述可选二氧化硅层上。
14.如权利要求1所述的方法,进一步包括:
移除所述改质的图案化中间层;
穿过所述图案化的底层蚀刻所述可选二氧化硅层,以形成图案化的可选二氧化硅层;
移除所述图案化的底层;
穿过所述图案化的可选二氧化硅层蚀刻所述硬掩模,以形成图案化的硬掩模;
穿过所述图案化的硬掩模蚀刻所述低k电介质,以形成图案化的基板;及
移除所述图案化的硬掩模。
15.一种用于EUV图案化的膜堆叠,所述膜堆叠包括:
低k电介质;
硬掩模,位于所述低k电介质上,所述硬掩模包括TiN或WC中的一或多种,并且具有小于或等于约200埃的厚度;
可选层,所述可选层包括氧化硅且位于所述硬掩模上,具有小于或等于约200埃的厚度;
底层,位于所述可选层上或所述硬掩模上,所述底层包括类金刚石碳,且具有小于或等于约300埃的厚度;
中间层,位于所述底层上,所述中间层的厚度小于或等于约200埃,所述中间层包括下述之一或多者:底部抗反射涂层(BARC)、介电抗反射涂层(DARC)、有机BARC或掺杂硅;及
图案化的光刻胶,位于所述中间层上,所述图案化的光刻胶包括:有机光刻胶,具有小于或等于约280埃的厚度;或金属氧化物光刻胶,具有小于或等于约130埃的厚度。
CN201980045846.8A 2018-07-09 2019-07-09 提高euv光刻胶及硬掩模选择性的图案化方案 Active CN112424693B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862695745P 2018-07-09 2018-07-09
US62/695,745 2018-07-09
US16/504,646 US11437238B2 (en) 2018-07-09 2019-07-08 Patterning scheme to improve EUV resist and hard mask selectivity
US16/504,646 2019-07-08
PCT/US2019/040931 WO2020014179A1 (en) 2018-07-09 2019-07-09 Patterning scheme to improve euv resist and hard mask selectivity

Publications (2)

Publication Number Publication Date
CN112424693A true CN112424693A (zh) 2021-02-26
CN112424693B CN112424693B (zh) 2024-05-31

Family

ID=69102261

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980045846.8A Active CN112424693B (zh) 2018-07-09 2019-07-09 提高euv光刻胶及硬掩模选择性的图案化方案

Country Status (5)

Country Link
US (2) US11437238B2 (zh)
KR (1) KR102532238B1 (zh)
CN (1) CN112424693B (zh)
TW (1) TWI821329B (zh)
WO (1) WO2020014179A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11550222B2 (en) * 2019-08-01 2023-01-10 Applied Materials, Inc. Dose reduction of patterned metal oxide photoresists
US11651961B2 (en) * 2019-08-02 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning process of a semiconductor structure with enhanced adhesion
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
JP2021145031A (ja) * 2020-03-11 2021-09-24 キオクシア株式会社 半導体装置の製造方法
JP2022049828A (ja) 2020-09-17 2022-03-30 キオクシア株式会社 パターン形成方法および半導体装置の製造方法
US11079682B1 (en) 2020-11-13 2021-08-03 Tokyo Electron Limited Methods for extreme ultraviolet (EUV) resist patterning development
US11830736B2 (en) * 2021-02-18 2023-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer photo etching mask including organic and inorganic materials
US20240063019A1 (en) * 2022-08-16 2024-02-22 Tokyo Electron Limited Patterning a Semiconductor Substrate
CN116207039B (zh) * 2023-04-28 2023-07-21 合肥晶合集成电路股份有限公司 半导体结构的制作方法以及半导体结构

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5780338A (en) * 1997-04-11 1998-07-14 Vanguard International Semiconductor Corporation Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
US20050170625A1 (en) * 2004-01-29 2005-08-04 Chartered Semiconductor Manufacturing Ltd. Novel method to control dual damascene trench etch profile and trench depth uniformity
CN101034254A (zh) * 2006-03-07 2007-09-12 Asml荷兰有限公司 用于增强的光刻图案化的方法和系统
US20080017992A1 (en) * 2006-07-18 2008-01-24 Masaru Kito Semiconductor device and method of manufacturing the same
KR20080085280A (ko) * 2007-03-19 2008-09-24 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
CN101488450A (zh) * 2008-01-14 2009-07-22 国际商业机器公司 用于形成包括印刷分辨率辅助特征的合成图形的方法
US20100327412A1 (en) * 2009-06-29 2010-12-30 Globalfoundries Inc. Method of semiconductor manufacturing for small features
CN103456681A (zh) * 2012-06-01 2013-12-18 台湾积体电路制造股份有限公司 用于后段半导体器件加工的方法和装置
US20140110373A1 (en) * 2012-10-22 2014-04-24 Tokyo Electron Limited Method of etching copper layer and mask

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020048610A (ko) * 2000-12-18 2002-06-24 박종섭 반도체소자의 감광막 제거 방법
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
WO2007082745A1 (en) 2006-01-18 2007-07-26 Universite Catholique De Louvain Selective etching for semiconductor devices
KR100875660B1 (ko) 2006-05-02 2008-12-26 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
KR20080004212A (ko) * 2006-07-05 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 형성 방법
US20110079918A1 (en) 2009-10-01 2011-04-07 Applied Materials, Inc. Plasma-based organic mask removal with silicon fluoride
US20110162674A1 (en) 2009-10-26 2011-07-07 Applied Materials, Inc. In-situ process chamber clean to remove titanium nitride etch by-products
WO2012173698A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US8748322B1 (en) 2013-04-16 2014-06-10 Applied Materials, Inc. Silicon oxide recess etch
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN108475640B (zh) 2016-01-20 2023-06-06 应用材料公司 用于侧向硬模凹槽减小的混合碳硬模
US10804138B2 (en) * 2017-09-22 2020-10-13 United Microelectronics Corp. Method for fabricating a semiconductor device
US11300881B2 (en) * 2018-10-23 2022-04-12 International Business Machines Corporation Line break repairing layer for extreme ultraviolet patterning stacks
US10658180B1 (en) * 2018-11-01 2020-05-19 International Business Machines Corporation EUV pattern transfer with ion implantation and reduced impact of resist residue

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5780338A (en) * 1997-04-11 1998-07-14 Vanguard International Semiconductor Corporation Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
US20050170625A1 (en) * 2004-01-29 2005-08-04 Chartered Semiconductor Manufacturing Ltd. Novel method to control dual damascene trench etch profile and trench depth uniformity
CN101034254A (zh) * 2006-03-07 2007-09-12 Asml荷兰有限公司 用于增强的光刻图案化的方法和系统
US20080017992A1 (en) * 2006-07-18 2008-01-24 Masaru Kito Semiconductor device and method of manufacturing the same
KR20080085280A (ko) * 2007-03-19 2008-09-24 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
CN101488450A (zh) * 2008-01-14 2009-07-22 国际商业机器公司 用于形成包括印刷分辨率辅助特征的合成图形的方法
US20100327412A1 (en) * 2009-06-29 2010-12-30 Globalfoundries Inc. Method of semiconductor manufacturing for small features
CN103456681A (zh) * 2012-06-01 2013-12-18 台湾积体电路制造股份有限公司 用于后段半导体器件加工的方法和装置
US20140110373A1 (en) * 2012-10-22 2014-04-24 Tokyo Electron Limited Method of etching copper layer and mask

Also Published As

Publication number Publication date
WO2020014179A1 (en) 2020-01-16
US20220367186A1 (en) 2022-11-17
US20200013620A1 (en) 2020-01-09
KR102532238B1 (ko) 2023-05-16
TW202006823A (zh) 2020-02-01
KR20210018548A (ko) 2021-02-17
US11437238B2 (en) 2022-09-06
TWI821329B (zh) 2023-11-11
CN112424693B (zh) 2024-05-31

Similar Documents

Publication Publication Date Title
CN112424693B (zh) 提高euv光刻胶及硬掩模选择性的图案化方案
US7354847B2 (en) Method of trimming technology
CN110660652B (zh) 半导体装置的图案化方法
US9129906B2 (en) Self-aligned double spacer patterning process
JP4953825B2 (ja) 取外し可能ハードマスクを用いたレチクル製造
KR100876892B1 (ko) 반도체 소자의 제조방법
US9472414B2 (en) Self-aligned multiple spacer patterning process
US20180138078A1 (en) Method for Regulating Hardmask Over-Etch for Multi-Patterning Processes
US8293460B2 (en) Double exposure patterning with carbonaceous hardmask
US11183398B2 (en) Ruthenium hard mask process
JP2008535280A (ja) Arc材料のcd低減のためのエッチングプロセス
US6858542B2 (en) Semiconductor fabrication method for making small features
CN115088057A (zh) 具有选择性芯轴形成的多重图案化
JP2012204456A (ja) 半導体装置の製造方法
US7077903B2 (en) Etch selectivity enhancement for tunable etch resistant anti-reflective layer
TWI777063B (zh) 設計成使線寬粗糙度及線邊緣粗糙度最小化的臨界尺寸修整方法
US10312073B2 (en) Selective removal of carbon-containing and nitrogen-containing silicon residues
US10971362B2 (en) Extreme ultraviolet patterning process with resist hardening
KR20080085280A (ko) 반도체 소자의 패턴 형성 방법
US6902870B1 (en) Patterning of dielectric with added layers of materials aside from photoresist for enhanced pattern transfer
US20220319838A1 (en) Method of Line Roughness Reduction and Self-Aligned Multi-Patterning Formation Using Tone Inversion
JPH0722396A (ja) ドライエッチング方法
US20090283714A1 (en) Etching gas for removing organic layers
KR20110030924A (ko) 이중 패터닝 공정을 이용한 미세 패턴 형성 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant