CN101488450A - 用于形成包括印刷分辨率辅助特征的合成图形的方法 - Google Patents

用于形成包括印刷分辨率辅助特征的合成图形的方法 Download PDF

Info

Publication number
CN101488450A
CN101488450A CNA2009100023322A CN200910002332A CN101488450A CN 101488450 A CN101488450 A CN 101488450A CN A2009100023322 A CNA2009100023322 A CN A2009100023322A CN 200910002332 A CN200910002332 A CN 200910002332A CN 101488450 A CN101488450 A CN 101488450A
Authority
CN
China
Prior art keywords
spirte
hard mask
photoresist
mask layer
bed course
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2009100023322A
Other languages
English (en)
Other versions
CN101488450B (zh
Inventor
薛红梅
A·H·加博尔
S·D·哈勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101488450A publication Critical patent/CN101488450A/zh
Application granted granted Critical
Publication of CN101488450B publication Critical patent/CN101488450B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Micromachines (AREA)

Abstract

本发明涉及用于形成包括印刷分辨率辅助特征的合成图形的方法。在衬底上形成将要通过合成图形来构图的垫层。所述合成图形被分解为第一图形和第二图形,每个都具有比所述合成图形低的复杂性。直接在所述垫层上形成硬掩模层。在所述硬掩模层之上施加第一光致抗蚀剂并利用所述第一图形光刻构图所述第一光致抗蚀剂,通过第一蚀刻将所述第一图形转移到所述硬掩模层中。在所述硬掩模层之上施加第二光致抗蚀剂。利用第二图形构图第二光致抗蚀剂以暴露部分的所述垫层。采用所述第二光致抗蚀剂和包含所述第一图形的所述硬掩模层来蚀刻所述垫层的暴露的部分,以将所述合成图形转移到所述垫层中。

Description

用于形成包括印刷分辨率辅助特征的合成图形的方法
技术领域
本发明涉及半导体处理方法,具体而言,涉及采用清晰印刷分辨率辅助特征(cPRAF)和硬掩模层形成两个光刻图形的合成光刻图形的方法。
背景技术
当需要分辨的最小间距(pitch)不断减小时,便需要侵入(aggressive)离轴照明。这些侵入照明允许分辨最小间距,但也减小了较大间距(典型地是最小间距的1.5倍的间距)的聚焦深度。用于改善具有大于最小间距的间距的特征的适印性(printability)和图像保真度的方法中的一种为使用印刷分辨率辅助特征,其具有大于或等于最小特征尺寸的尺寸。PRAF和亚分辨率辅助特征(SRAF)之间的区别在于PRAF的尺寸大到足以使其被分辨,而SRAF是不可分辨的,但SRAF却增强了希望的特征的空间像(aerial image)。结果,尽管两者都存在于光刻掩模上,但PRAF可被印刷在光致抗蚀剂上,而SRAF不能被印刷在光致抗蚀剂上。
其中施加并构图第一光致抗蚀剂,接着施加并构图第二光致抗蚀剂的双曝光光刻法提供了一种以增强的分辨率构图复杂图形的方法。Meiring等人在2007年Proc.SPIE int.Soc.Opt.Eng.6520,65201U上发表的“ACLV driven double-patterning decomposition with extensively addedprinting assist features(PrAFs)”公开了一种双曝光方案,其中在第一曝光中采用了PRAF而在第二曝光中将其去除。这在现代电路设计中非常重要,在现代电路设计中减小任何给定的功能所占据的面积可以允许在给定的芯片面积内设置更多的功能。这些PRAF到现在为止是线条。换句话说,将额外的线条添加到设计图形以使产生的图形更像线条的光栅(grating)。因为图形实际上更加规则,因此空间像比其中没有添加PRAF的图形具有更大的对比度。因为在晶片上的最终图形中不希望有这些添加的线条,因此实施第二曝光去除PRAF。
双曝光和PRAF的组合甚至允许使用导致更紧密的最小间距被分辨的侵入性更强的照明(常规地用于环状的、类星体的、定制衍射光学元件)。然而,开发出的多种用于以PRAF来增强接触孔或金属线条(需要抗蚀剂孔和/或沟槽)的层的工艺窗口的解决方案都具有缺点。Dah-ChungOweYang等人在2005年Proc.SPIE int.Soc.Opt.Eng.5753,171上发表的“Double exposure for the contact layer of the 65-nm node”公开了用于第二曝光的基于乙醇的光致抗蚀剂以防止第二光致抗蚀剂与第一曝光之后剩余的第一光致抗蚀剂混合。在制造设备中这些基于乙醇的光致抗蚀剂是非常不希望的。可选地,额外的特征可以物理存在于晶片上,其存在只用于支持设计的印刷。由于额外的特征会干扰芯片的功能性,因此通常不会这样做。
换言之,上述用于向图形添加孔或沟槽以使图形更加类似光栅并增强设计特征的空间像的公知方法不是从制造的观点来看是不希望的,就是不会消除cPRAF。美国专利申请No.11/733,412(代理人卷号:FIS920060384US1)描述了一种可制造的方法,该方法分别采用三层光致抗蚀剂系统或双层光致抗蚀剂系统用于第一和第二曝光。三层光致抗蚀剂填充在第一次曝光时印刷的不需要的特征的孔或沟槽。因此,根据该方法不采用常规有机基的单层光致抗蚀剂或无机硬掩模。
鉴于上述内容,需要一种采用常规有机基单层抗蚀剂形成包含cPRAF特征的光刻图形的方法。
此外,还需要一种采用在半导体工业中使用的常规硬掩模形成包含cPRAF特征的光刻图形的方法。
发明内容
本发明通过提供采用清晰印刷分辨率辅助特征(cPRAF)和硬掩模层形成两种光刻图形的合成图形的方法满足了上述需要。
在本发明中,在衬底上形成要用合成图形构图的垫层。合成图形被分解为第一图形和第二图形。直接在垫层上形成硬掩模层。在硬掩模层上施加第一光致抗蚀剂并利用将通过第一蚀刻转移到硬掩模层中的第一图形来光刻构图第一光致抗蚀剂。在硬掩模层之上施加第二光致抗蚀剂。利用第二图形构图第二光致抗蚀剂以暴露部分的垫层。通过第二光致抗蚀剂和包含第一图形的硬掩模层蚀刻垫层的暴露的部分,以将合成图形转移到垫层中。
根据本发明的一个方面,提供了一种形成光刻图形的方法,包括:
在衬底上形成垫层;
直接在所述垫层上形成硬掩模层;
施加第一光致抗蚀剂并利用包括第一子图形和第二子图形的第一图形光刻构图所述第一光致抗蚀剂,其中所述第一子图形和所述第二子图形是互斥的,所述第一子图形和所述第二子图形中的每一个都包括单元图形的至少一个实例(instance);
将所述第一图形转移到所述硬掩模层中;
在所述硬掩模层和所述垫层上施加第二光致抗蚀剂并利用第二图形光刻构图所述第二光致抗蚀剂,其中所述第二图形覆盖所述第二子图形而没有覆盖所述第一子图形;以及
将所述第一图形和所述第二图形的合成图形转移到所述垫层中,其中所述合成图形包括所述第一子图形而不包括所述第二子图形。
在一个实施例中,所述第一图形的适印性大于第一子图形的适印性。例如,通过包含比第一子图形周期性更大的结构,第一图形的适印性可以大于第一子图形的适印性。当复制单元图形的实例以在第一图形中形成规则周期性阵列时,可以获得适印性的这样的改进,尽管第一子图形和第二子图形中的每一个都包括一些单元图形的实例,但是因为缺少一些单元图形的实例,因此第一子图形和第二子图形不像第一图形一样具有周期性。
在另一实施例中,在第一图形中包括所述单元图形的二维阵列。所述第一图形可以包括所述单元图形的至少一个二维周期性阵列。
在又一实施例中,所述单位图形为接触孔。
在又一实施例中,所述硬掩模层包括无机材料。
在又一实施例中,所述方法还包括进行第一各向异性蚀刻以将所述第一图形转移到所述硬掩模层中,并且其中所述第一各向异性蚀刻对于所述垫层是选择性的。
在又一实施例中,所述方法还包括进行第二各向异性蚀刻以将所述合成图形转移到所述垫层中,其中所述第二各向异性蚀刻对于所述硬掩模层是选择性的。
根据本发明的另一方面,提供了形成光刻图形的另一方法,包括:
在衬底上形成垫层;
直接在所述垫层上形成硬掩模层;
施加第一光致抗蚀剂并利用包括第一子图形和第二子图形的第一图形光刻构图所述第一光致抗蚀剂,其中所述第一图形包括单元图形的阵列,所述单元图形的阵列包括所述第一子图形和所述第二子图形的子集;
将所述第一图形转移到所述硬掩模层中;
在所述硬掩模层和所述垫层上施加第二光致抗蚀剂并利用第二图形光刻构图所述第二光致抗蚀剂,其中所述第二图形覆盖所述第二子图形而没有覆盖所述第一子图形;以及
将所述第一图形和所述第二图形的合成图形转移到所述垫层中,其中所述合成图形包括所述第一子图形而不包括所述第二子图形。
在一个实施例中,第一图形的适印性大于第一子图形的适印性。例如,通过包含比第一子图形的周期性更大的结构,第一图形的适印性可以大于第一子图形的适印性。当单元图形的实例存在于第一图形中时,可以获得适印性的这样的改进,而第一子图形和第二子图形不包含单元图形的任何实例。
在另一实施例中,每个所述第一子图形包括第一沟槽段而所述第二子图形包括第二沟槽段,其中所述第二沟槽段邻接所述第一沟槽段,并且其中所述单元图形为包括所述第一沟槽段和所述第二沟槽段的沟槽。
在又一实施例中,所述第一图形包括所述沟槽的一维阵列。所述第一图形可以包括一组嵌套的(nested)沟槽而所述单元图形是所述嵌套的沟槽中的一个。
在又一实施例中,所述方法还包括在形成所述硬掩模层之前构图所述垫层。
在又一实施例中,所述硬掩模层包括无机材料。
在又一实施例中,所述方法还包括进行第一各向异性蚀刻以将所述第一图形转移到所述硬掩模层中,并且其中所述第一各向异性蚀刻对于所述垫层是选择性的。
在又一实施例中,所述方法还包括进行第二各向异性蚀刻以将所述合成图形转移到所述垫层中,其中所述第二各向异性蚀刻对于所述硬掩模层是选择性的。
根据本发明的又一方面,提供了形成光刻图形的又一方法,包括:
在衬底上形成垫层;
直接在所述垫层上形成包括无机材料的硬掩模层;
施加第一光致抗蚀剂并利用包括单元图形的阵列的第一图形光刻构图所述第一光致抗蚀剂,其中由于存在所述单元的图形的所述阵列而最优化了曝光条件;
将所述第一图形转移到所述硬掩模层中;
在所述硬掩模层和所述垫层上施加第二光致抗蚀剂并利用第二图形光刻构图所述第二光致抗蚀剂;以及
将所述第一图形和所述第二图形的合成图形转移到所述垫层中,其中所述合成图形为通过去除了所述阵列的在所述第二图形内的部分的所述第一图形获得的图形。
在一个实施例中,所述硬掩模层包括介质氧化物、介质氮化物、介质氧氮化物、可调抗蚀抗反射涂层(TERA)材料、无定形碳、氢化的无定形碳、低摩擦碳(near frictionless carbon)(NFC)及其组合中的一种。
在另一实施例中,所述方法还包括直接在所述硬掩模层上施加平坦化材料层,其中将所述第二光致抗蚀剂施加在所述平坦化材料层上。
在又一实施例中,将所述第二光致抗蚀剂直接施加在所述硬掩模层上。
在又一实施例中,所述硬掩模层包括介质氧化物、介质氮化物、介质氧氮化物、无定形碳、氢化的无定形碳、低摩擦碳(NFC)及其组合中的一种。
在又一实施例中,所述硬掩模层包括可调抗蚀抗反射涂层(TERA)材料。
在又一实施例中,所述平坦化材料层包括无机材料。
在又一实施例中,所述平坦化材料层包括旋涂(spin-on)玻璃(SOG)、氢倍半硅氧烷(hydrogen silsesquioxane)(HSQ)、或低摩擦碳(NFC)。
在又一实施例中,所述平坦化材料层包括抗反射涂层(ARC)材料或有机聚合物。
附图说明
图1-7为根据本发明的第一示例性光刻结构的顺序的垂直横截面图;
图8A-8C分别为对应于图2、图6和图7的处理步骤的第二示例性光刻结构的自顶向下的视图;
图9A-9C分别为对应于图2、图6和图7的处理步骤的第三示例性光刻结构的自顶向下的视图;以及
图10A-10D为根据本发明的第四示例性光刻结构的顺序的自顶向下的视图,图10A对应于在图1的步骤之前的处理步骤,图10B-10D分别对应于图2、图6和图7的处理步骤。
具体实施方式
如上所述,本发明涉及采用清晰印刷分辨率辅助特征(cPRAF)和硬掩模形成两个光刻图形的合成光刻图形的方法,在此将利用附图详细说明这些方法。应该注意,类似或对应的部件由类似的参考标号表示。
在本发明中,提供了用于形成第一和第二图形的合成光刻图形的方法,其中第一图形具有包含需要基于设计而产生的特征的第一子图形和清晰印刷分辨率辅助特征(cPRAF)的第二子图形。在本发明中,通过利用第二子图形(cPRAF)的共同构图来提高第一子图形的适印性(printability)。适印性在这里表示空间像对比度的量、或特征边缘的轮廓(profile)梯度,其可以由多种标准方法来确定,包括正规化(normalized)图像对数斜率。
参考图1,根据本发明的第一示例性光刻结构包括衬底10、下垫层20、硬掩模层30、和第一光致抗蚀剂37。衬底10包括半导体材料、金属、绝缘体材料、或包含不同材料的多个层的叠层。例如,衬底10可以包括体半导体衬底、绝缘体上半导体衬底、化合物半导体衬底、氧化硅衬底、氧化铝衬底、或金属衬底。衬底10可以,或不可以包括至少一个构图的结构(未示出)。例如,衬底10可以包括至少一个半导体器件(未示出)和/或至少一层金属互连结构(未示出)。
下垫层20可以包括半导体材料、绝缘体材料、或金属。垫层20可以是没有图形的均厚(blanket)层,或者可以具有预先存在的图形。垫层20可以由衬底10整体形成,即,垫层20和衬底10可以包括相同的材料并可以是一体的。在衬底10为半导体材料的情况下,垫层20可以在前段制程(FEOL)处理步骤或后段制程(BEOL)处理步骤期间形成。垫层20的厚度为约3nm到约300nm,典型地约10nm到约100nm,尽管在这里还明确考虑了更小或更大的厚度。
可以作为垫层20的半导体材料的非限制性的实例包括但不局限于无定形硅、多晶硅、单晶硅、包含合金的无定形硅、多晶硅合金、单晶硅合金、无定形化合物半导体、多晶化合物半导体以及单晶化合物半导体。垫层20的半导体材料可以是未掺杂的或者为用电掺杂剂掺杂的。
在垫层20包括绝缘体材料的情况下,垫层20可以包括无机材料,其包括例如二氧化硅或介质金属氧化物的介质氧化物、例如氮化硅或介质金属氮化物的介质氮化物、或其混合物或化合物。
可选地,垫层20可以包括例如热固性聚芳撑醚和有机硅酸盐玻璃(OSG)的有机材料。热固性聚芳撑醚的实例为具有小于2.8的介电常数并通常称作“Silicon Low-K”、或“SiLKTM”的旋涂低k介质材料。在此使用术语“聚芳撑(polyarylene)”表示芳基部分或惰性取代的芳基部分,其可以通过键、稠环、或例如氧、硫、砜、亚砜、羰基等的惰性链接基团连接在一起。有机硅酸盐玻璃(OSG)包括Si、C、O、和H的原子,还称为SiCOH介质材料。通过提供包括Si、C、O、H的至少第一前体(液体、气体或蒸汽)和例如He或Ar的惰性载体进入例如等离子体增强化学气相淀积(PECVD)反应器,然后在衬底10上淀积来自第一前体的膜,来形成OSG。在共同受让的美国专利No.6,147,009;6,312,793;6,441,491;6,437,443;6,441,491;6,541,398;6,479,110;和6,497,963中公开了用于形成OSG材料层的方法,在此通过参考并入其内容。
硬掩模30包括在常规光致抗蚀剂材料中采用的不溶于溶剂的材料。硬掩模30包括与垫层20不同的材料。硬掩模层30可以包括有机材料或无机材料。
在硬掩模层30包括无机材料的情况下,硬掩模层30可以包括介质氧化物、介质氮化物、介质氧氮化物、和无定形碳、氢化的无定形碳、低摩擦碳(NFC)、或其组合中的一种。示例性的介质氧化物包括未掺杂的硅酸盐玻璃(USG)、硼硅酸盐玻璃(BSG)、磷硅酸盐玻璃(PSG)、砷硅酸盐玻璃(ASG)、硼磷硅酸盐玻璃(BPSG)、氟硅酸盐玻璃(FSG),以及同样公知为高介电常数氧化物的金属氧化物,其包括HfO2、ZrO2、La2O3、Al2O3、TiO2、SrTiO3、LaAlO3、和Y2O3。示例性的介质氮化物包括氮化硅和例如氮化铝、氮化锶、氮化硼、和氮化铍的陶瓷氮化物。介质氧氮化物的实例包括HfOxNy、ZrOxNy、La2OxNy、Al2OxNy、TiOxNy、SrTiOxNy、LaAlOxNy、Y2OxNy、其硅酸盐、和其合金。每个x的值分别为约0.5到约3而每个y值分别为0到约2。无定形碳和氢化的无定形碳指没有任何长范围次序的碳原子或氢化的碳原子。
在硬掩模层30包括有机材料的情况下,硬掩模层30可以包括可调的抗蚀抗反射涂层(TERA)材料,其为具有结构化学式R:C:H:X的材料,其中R选自Si、Ge、B、Sn、Fe、Ti及其组合,并且其中X不存在或选自O、N、S、和F中的一种或多种,而且Angelopoulos等人在共同受让的美国专利No.6,316,167中进行了描述,在此通过参考并入其内容。
第一光致抗蚀剂37为感光材料或电子束敏感的材料。如果第一光致抗蚀剂37为感光性材料,第一光致抗蚀剂37可以对深紫外(DUV)辐射、中紫外(MUV)辐射、或极紫外(EUV)辐射是敏感的。电子束敏感材料可以是对电子束辐射敏感的电子束抗蚀剂。第一光致抗蚀剂37可以包括单层的光致抗蚀剂,或者可以包括顶部抗反射涂层(TRAC)和/或底部抗反射图层(BRAC)。在其中包括任何TRAC层或任何BRAC层的第一光致抗蚀剂37可以是有机或无机的。
参考图2,通过本领域公知的曝光和显影在第一光致抗蚀剂37中形成第一光刻图形。依赖于第一光致抗蚀剂37的成分和化学特性以及将要在第一光致抗蚀剂37中形成的图形类型来最优化曝光条件。优选地,第一图形为具有一维周期性或两维周期性的重复图形。例如,第一图形可以包括二维阵列形式的一组接触孔或一组恒定宽度的嵌套的沟槽。
根据本发明,第一光刻图形包括具有比接下来要转移到垫层20的图形即最终图形增强的周期性的图形即简化的图形。
在第一实例中,最终图形包括具有二维周期性的接触孔的阵列,其中周期性的单元基元(unit cell)包含多个接触孔。简化的图形可以包含附加的接触孔以增强周期性,以便阵列单元基元包含一个接触孔或比最终图形更少数目的接触孔。接触孔阵列可以具有一维周期性或二维周期性。引入到简化图形的附加的接触孔在这里称为“清晰印刷分辨率辅助特征”(cPRAF)。清晰印刷分辨率特征存在于简化的图形中但而被排除在最终图形之外,如下所述。
在第二实例中,最终图形包括其间具有裂隙(break)的沟槽阵列。因为裂隙的位置,沟槽可以不形成一维阵列或形成其中单元基元包括多个槽的一维阵列。简化的图形可以包含没有列隙的平行沟槽,以使阵列的单元基元包括一个槽或比最终图形更少数目的沟槽。沟槽阵列可以具有一维周期性或二维周期性。消除沟槽中的裂隙,或填充沟槽之间的裂隙以形成更长的相接的槽,使简化图形的光刻印刷因为增强的周期性而容易。简化图形的填充部分构成清晰印刷分辨率辅助特征(cPRAF)。清晰印刷分辨率特征只存在于简化的图形中而被排除在最终图形之外。
由于存在单元图形的阵列,因此最优化了曝光第一光致抗蚀剂37期间的曝光条件。具体而言,简化图形(其为第一图形)的增强的周期性允许调整曝光条件以增强印刷到第一光致抗蚀剂37的特征的分辨率。
第一图形由此同时包括随后要在垫层20中形成的最终图形和随后不会在垫层20中形成的cPRAF。然而,第一图形比最终图形或cPRAF具有更高水平的周期性。由此,第一图形可以是具有一维周期性或二维周期性的简单的阵列,而最终图形的周期性比第一图形小。
通过可以是各向异性反应离子蚀刻的第一各向异性蚀刻将形成在第一光致抗蚀剂37中的第一光刻图形转移到硬掩模层30。通过第一各向异性蚀刻去除硬掩模层30的暴露的部分以便在第一各向异性蚀刻之后暴露垫层20的部分顶表面。优选第一各向异性蚀刻对于垫层20是选择性的,即,第一各向异性蚀刻在垫层20上自停止而且任何的蚀刻到垫层20中的量很小。此后,相对于硬掩模层30和垫层20选择性地去除第一光致抗蚀剂37,即,在剥离第一光致抗蚀剂37期间硬掩模层30和垫层20的去除是可忽略的。
参考图3,在硬掩模层30之上施加可选的平坦化材料层40。可选的平坦化材料层40包括自平坦化的材料。可选的平坦化层40包括与硬掩模材料和垫层20不同的材料。可以通过旋涂(spin-on)涂敷或本领域公知的施加自平坦化材料的其它方法形成可选的平坦化材料层40。可选的平坦化材料层40覆盖了硬掩模层30的顶表面,并具有基本平坦的顶表面。
可选的平坦化材料层40可以包括例如抗反射涂层材料或有机聚合物的有机材料。抗反射涂层材料可以与在光刻中采用的底部抗反射涂层(BRAC)采用的材料具有相同的类型的材料。对于将在后面施加的第二光致抗蚀剂,有机聚合物是交联并不可溶解的。优选地,有机聚合物在基于氧的离子体中蚀刻快速,而在卤化等离子体中蚀刻缓慢。有机聚合物可以为三层光刻中的底层所采用的材料的类型。
可选地,可选的平坦化材料层40可以包括例如旋涂玻璃(SOG)、氢倍半硅氧烷(HSQ)、或低摩擦碳(NFC)的无机材料。旋涂玻璃(SOG)是可旋转涂覆的氧化物,而且可以是基于甲基硅氧烷的旋涂玻璃(SOG)。形成平坦化的旋涂玻璃(SOG)层的方法在本领域内是公知的。氢倍半硅氧烷(HSQ)具有(H/SiO3/2)n的通用化学式,这在Garza等人的美国专利No.5,549,934中得以描述,在此通过参考并入其内容。
参考图4,向可选的平坦化材料层40的顶表面施加第二光致抗蚀剂47,并通过曝光并显影第二光致抗蚀剂层47形成第二光刻图形。第二光致抗蚀剂47为感光性材料或电子束敏感的材料。如果第二光致抗蚀剂47为感光性材料,第二光致抗蚀剂47可以对深紫外(DUV)辐射、中紫外(MUV)辐射、或极紫外(EUV)辐射是敏感的。电子束敏感材料可以是对电子束辐射敏感的电子束抗蚀剂。第二光致抗蚀剂47可以包括单层的光致抗蚀剂,或者可以包括顶部抗反射涂层(TRAC)和/或底部抗反射涂层(BRAC)。在其中包括任何TRAC层或任何BRAC层的第二光致抗蚀剂47可以是有机的。第二光致抗蚀剂47可以包括与第一光致抗蚀剂37相同的材料,或者可以包括与第一光致抗蚀剂37不同的材料。在此显然也考虑使用双层光致抗蚀剂或三层光致抗蚀剂。
优选地,第二图形包含在第一图形中的cPRAF之上形成的至少一个阻挡形状,而暴露第一图形中的特征的第二子集,该子集为第一子集的补集。第一图形中的cPRAF和第一图形中的特征的第二子集是相互分开的,而第一子集与第二子集的集合便是第一图形。特征的第二子集构成了将要印刷到垫层20中的最终图形,而cPRAF不被印刷到垫层20中。
例如,如果第一图形包括接触孔的阵列,那么第二图形至少包括在部分的接触孔之上形成的阻挡形状。在另一实例中,如果第一图形包括一组嵌套的沟槽,那么第二图形可以包括在部分的嵌套的沟槽之上形成的至少一个阻挡形状。
硬掩模层30在结构上比任何可以通过光致抗蚀剂形成的结构更具刚性。由此,在硬掩模层30中形成的第一光刻图形在结构上比在光致抗蚀剂中形成的结构更加坚固。归因于硬掩模层30中的第一光刻图形的结构完整性,施加可选的平坦化材料层40和随后施加第二光致抗蚀剂47不会导致任何层的坍塌。
参考图5,通过可以是各向异性反应离子蚀刻的第二各向异性蚀刻将在第二光致抗蚀剂47中形成的第二光刻图形转移到可选的平坦化材料层40中。通过第二各向异性蚀刻去除可选的平坦化材料层40的暴露的部分,以便在第二各向异性蚀刻之后,暴露直接在第二光致抗蚀剂47中的开口之下的部分硬掩模层30和垫层20的部分顶表面。优选地,第二各向异性蚀刻对于硬掩模层30是选择性的,即,第二各向异性蚀刻自停止在硬掩模层30上。由此,任何的对硬掩模层30的蚀刻的量很小。垫层20的暴露的表面的图形是硬掩模层30的第一光刻图形与可选的平坦化材料层40和第二光致抗蚀剂47的叠层的第二光刻图形的合成图形。如果第二光刻图形至少包含阻挡形状,从合成图形去除重叠至少一个阻挡形状的第一光刻图形中的任何形状。
参考图6,采用第三各向异性蚀刻以将合成图形转移到垫层。通过其可以为各向异性反应离子蚀刻的第三各向异性蚀刻去除垫层20的暴露的部分。第三各向异性蚀刻对于硬掩模层30、第二光致抗蚀剂47和可选的平坦化材料层40的至少一种是选择性的。在一种情况下,采用包含第二光刻图形的第二光致抗蚀剂47的剩余部分作为蚀刻掩模。可以在第三各向异性蚀刻期间,部分或全部地消耗第二光致抗蚀剂47。在另一情况下,可以在第三各向异性蚀刻之前相对于可选的平坦化材料层40选择性地去除第二光致抗蚀剂47,从而采用可选的平坦化材料层30作为蚀刻掩模。直接在其中第一光刻图形中的开口与第二光刻图形中的开口重叠的区域之下的垫层20中形成腔29。
参考图7,通过例如灰化去除第二光致抗蚀剂47的任何剩余的部分。同样相对于垫层20和衬底10选择性地去除硬掩模层30。可以采用湿法蚀刻或干法蚀刻去除硬掩模层30。可以最优化采用的蚀刻方法和蚀刻化学以消除或减少对垫层20或衬底10的任何附带的蚀刻。垫层20包含在其中第一光刻图形和第二光刻图形的开口交迭的区域中形成的腔29。如果第一光刻图形或第二光刻图形中的任何一个阻挡了一个区域,那么在该区域中就不能形成腔。
本发明允许通过将合成图形分解为包括周期性和重复性特征的第一图形和包括从周期性和重复性特征的第一图形捕获合成图形的偏差(deviation)的阻挡形状的第二图形来高保真度的印刷合成图形的光刻图像。由此,垫层20中的每个腔29具有不受邻近图形的干扰和光学邻近效应影响的规则形状,而如果采用常规光刻,邻近图形的干扰和光学邻近效应影响就会被引入到印刷的特征。
参考图8A,示出了对应于图2的步骤的第二示例性光刻结构的自顶向下的视图。采用与形成第一示例性光刻结构时相同的方法形成第二示例性光刻结构。
在第二示例性光刻结构中,第一光刻图形为以二维阵列的形式设置的接触孔的阵列并且在阵列中没有任何缺陷。第一光刻图形包括包含了接触孔的第一阵列的第一子图形P1和包含了接触孔的第二阵列的第二子图形P2。第一子图形P1和第二子图形P2具有为单接触孔的相同的单位图形。换句话说,第一子图形P1包括第一组接触孔,而第二子图形P2包括第二组接触孔。第一组接触孔和第二组接触孔相互独立。
第一光刻图形中的接触孔阵列允许在构图第一光致抗蚀剂37期间最优化曝光条件。接触孔可以形成或不形成周期性阵列。如果接触孔包括周期性阵列,那么相对于非周期性的阵列,阵列结构的周期性提供了用于曝光条件的更宽的处理窗口。
参考图8B,示出了对应于图6的步骤的第二示例性光刻结构的自顶向下的视图。以包括位于第二子图形P2之上的至少一个阻挡形状的第二光刻图形构图第二光致抗蚀剂47,第二子图形P2为第一光刻图形中的接触孔的子集。至少一个阻挡形状可以包括至少一个多边形例如至少一个矩形。至少一个阻挡形状覆盖了第二子图形P2中的所有接触孔,而第二光致抗蚀剂47没有覆盖第一子图形P1中的接触孔,即,在该步骤暴露了第一子图形P1中的所有接触孔。第二光致抗蚀剂47的形状示出了第二光刻图形。在每个腔29之间的空间和第二光致抗蚀剂47与腔29之间的空间中示出了硬掩模层30。
参考图8C,示出了对应于图7的步骤的第二示例性光刻结构的自顶向下的视图。由此形成源自第一光刻图形和第二光刻图形的合成图形。合成图形被示为腔29的集合,每个腔29都具有圆柱形状。合成图形包括第一光刻图形的第一子图形P1,但不包括第一光刻图形的第二子图形P2。第二光刻图形的区域内的第一光刻图形的任何部分都不在合成图形内。由于第二光刻图形的区域包括所有的第二子图形P2,因此在合成图形中不存在第二子图形P2。
参考图9A,示出了对应于图2的步骤的第三示例性光刻结构的自顶向下的视图。采用与形成第一示例性光刻结构时相同的方法形成第三示例性光刻结构。
在第三示例性光刻结构中,第一光刻图形包括第一子图形P1和第二子图形P2的集合。第一子图形P1为第一组彼此平行设置的沟槽段。第二子图形P2包括第二组彼此平行设置的沟槽段。第一光刻图形包括单位图形U的阵列,单位图形U为通过接合来自第一子图形P1和第二子图形P2的至少一个沟槽段形成的沟槽。第一光刻图形可以是单位图形U的周期性阵列,或者可以为单位图形U的非周期性的阵列。如果第一光刻图形为沟槽的周期性阵列,那么第一光刻图形称作嵌套的沟槽。每个嵌套的沟槽的宽度都相同,而且每对相邻的沟槽之间的间距也相同。
第一光刻图形中的沟槽阵列允许在构图第一光致抗蚀剂37期间最优化曝光条件。沟槽可以形成或不形成周期性阵列。如果沟槽包括周期性阵列,那么相对于非周期性的阵列,阵列结构的周期性提供了用于曝光条件的更宽的处理窗口。
参考图9B,示出了对应于图6的步骤的第三示例性光刻结构的自顶向下的视图。以包括位于第二子图形P2之上的至少一个阻挡形状的第二光刻图形来构图第二光致抗蚀剂47,第二子图形P2为通过第一光刻图形限定的区域的子集并包括分离的沟槽段。至少一个阻挡形状包括至少一个多边形例如至少一个矩形。至少一个阻挡形状覆盖了在第二子图形P2中的所有沟槽段,而第二光致抗蚀剂47没有覆盖第一子图形P1中的沟槽段,即,在该步骤中暴露了第一子图形P1中的所有沟槽段。第二光致抗蚀剂47的形状示出了第二光刻图形。在被腔29占据的区域与第二光致抗蚀剂47之间的空间中示出了硬掩模层30。
参考图9C,示出了对应于图7的步骤的第三示例性光刻结构的自顶向下的视图。由此形成源自第一光刻图形和第二光刻图形的合成图形。合成图形被示为腔29的集合,每个腔29具有矩形的形状并是沟槽段。合成图形包括第一光刻图形的第一子图形P1,但不包括第一光刻图形的第二子图形P2。第二光刻图形的区域内的第一光刻图形的任何部分都不在合成图形之内。由于第二光刻图形的区域包括所有的第二子图形P2,因此在合成图形中不存在第二子图形P2。
参考图10A,是出了第四示例性光刻结构的自顶向下的视图,该结构包括具有预定图形的垫层20,该预定图形的形状为一组嵌套的线条。在由垫层20形成的每个嵌套的线条之间示出了衬底10。
参考图10B,向第四示例性光刻结构施加硬掩模层30和第一光致抗蚀剂37。以第一光刻图形构图光致抗蚀剂37,接着采用对应于图1和图2的相同的处理步骤将第一光刻图形转移到硬掩模层30。在该情况下,第一光刻图形包含每个具有相同形状的开口的阵列。形状可以是多边形、圆形或椭圆形。可以在第一光致抗蚀剂37和硬掩模层30中形成的开口阵列中暴露部分的垫层20和部分的衬底10。
参考图10C,对第四示例性光刻结构实施对应于图3-6的处理步骤。第二光刻图形包括位于第一光刻图形中的部分的开口阵列之上的至少一个阻挡形状。至少一个阻挡形状可以包括至少一个多边形例如至少一个矩形。
由此形成包括第一光刻图形和第二光刻图形的合成图形,其中合成图形被示为每个都具有矩形形状的腔29的集合。第二光致抗蚀剂47的形状示出了第二光刻图形。在每个腔29与第二光致抗蚀剂47的每个部分之间的空间中显示出了硬掩模层30,在每个腔之下暴露了衬底10的一部分。
参考图10D,相对于垫层20和衬底10选择性地去除第二光致抗蚀剂47和硬掩模层30。通过去除与在合成图形中形成的腔29重合的部分垫层20,修改由嵌套的线条构成的垫层20的预定图形。由此,垫层20具有断开的线的图形。与其中在一个光刻步骤限定每个线条的形状和光学邻近效应例如线条边缘缩短(LES)起作用的现有处理方案相比,本发明的方法能够通过采用两个光刻图形的合成图形来形成具有预定线条边缘的特征。
尽管根据特定的实施例描述了本发明,但是显然,鉴于上面的描述,多种替换、修改和变化对于本领域内的技术员而言是显而易见的。因此,本发明旨在涵盖落入本发明的范围和精神以及所附权利要求内的所有这样的替换、修改和变化。

Claims (20)

1.一种形成光刻图形的方法,包括:
在衬底上形成垫层;
直接在所述垫层上形成硬掩模层;
施加第一光致抗蚀剂并利用包括第一子图形和第二子图形的第一图形光刻构图所述第一光致抗蚀剂,其中所述第一子图形和所述第二子图形包含单元图形的至少一个实例;
将所述第一图形转移到所述硬掩模层中;
在所述硬掩模层和所述垫层上施加第二光致抗蚀剂并利用第二图形光刻构图所述第二光致抗蚀剂,其中所述第二图形覆盖所述第二子图形而没有覆盖所述第一子图形;以及
将所述第一图形和所述第二图形的合成图形转移到所述垫层中,其中所述合成图形包括所述第一子图形而不包括所述第二子图形。
2.根据权利要求1的方法,其中所述第一图形的适印性大于所述第一子图形的适印性。
3.根据权利要求1的方法,其中所述第一图形包括所述单元图形的至少一个二维阵列。
4.根据权利要求3的方法,其中所述至少一个二维阵列为周期性二维阵列。
5.根据权利要求3的方法,其中所述单元图形为接触孔。
6.根据权利要求1的方法,其中所述硬掩模层包括无机材料。
7.根据权利要求1的方法,还包括进行第一各向异性蚀刻以将所述第一图形转移到所述硬掩模层中,并且其中所述第一各向异性蚀刻对于所述垫层是选择性的。
8.根据权利要求7的方法,还包括进行第二各向异性蚀刻,以将所述合成图形转移到所述垫层中,其中所述第二各向异性蚀刻对于所述硬掩模层是选择性的。
9.一种形成光刻图形的方法,包括:
在衬底上形成垫层;
直接在所述垫层上形成硬掩模层;
施加第一光致抗蚀剂并利用包括第一子图形和第二子图形的第一图形光刻构图所述第一光致抗蚀剂,其中所述第一图形包括单元图形的阵列,所述单元图形的阵列包括所述第一子图形和所述第二子图形的子集;
将所述第一图形转移到所述硬掩模层中;
在所述硬掩模层和所述垫层上施加第二光致抗蚀剂并利用第二图形光刻构图所述第二光致抗蚀剂,其中所述第二图形覆盖所述第二子图形而没有覆盖所述第一子图形;以及
将所述第一图形和所述第二图形的合成图形转移到所述垫层中,其中所述合成图形包括所述第一子图形而不包括所述第二子图形。
10.根据权利要求9的方法,其中所述第一图形的适印性固有地大于所述第一子图形的适印性。
11.根据权利要求9的方法,其中每个所述第一子图形包括第一沟槽段而所述第二子图形包括第二沟槽段,其中所述第二沟槽段邻接所述第一沟槽段,并且其中所述单元图形为包括所述第一沟槽段和所述第二沟槽段的沟槽。
12.根据权利要求9的方法,其中所述第一图形包括所述沟槽的一维阵列。
13.根据权利要求12的方法,其中所述第一图形包括一组嵌套的沟槽以及所述单元图形为所述嵌套的沟槽中的一个。
14.根据权利要求9的方法,还包括在形成所述硬掩模层之前构图所述垫层。
15.根据权利要求9的方法,其中所述硬掩模层包括无机材料。
16.根据权利要求9的方法,还包括进行第一各向异性蚀刻以将所述第一图形转移到所述硬掩模层中,并且其中所述第一各向异性蚀刻对于所述垫层是选择性的。
17.根据权利要求16的方法,还包括进行第二各向异性蚀刻以将所述合成图形转移到所述垫层中,其中所述第二各向异性蚀刻对于所述硬掩模层是选择性的。
18.一种形成光刻图形的方法,包括:
在衬底上形成垫层;
直接在所述垫层上形成包括无机材料的硬掩模层;
施加第一光致抗蚀剂并利用包括单元图形的阵列的第一图形光刻构图所述第一光致抗蚀剂,其中由于存在所述单元图形的所述阵列而最优化了曝光条件;
将所述第一图形转移到所述硬掩模层中;
在所述硬掩模层和所述垫层上施加第二光致抗蚀剂并利用第二图形光刻构图所述第二光致抗蚀剂;以及
将所述第一图形和所述第二图形的合成图形转移到所述垫层中,其中所述合成图形为通过去除了所述阵列的在所述第二图形内的部分的所述第一图形获得的图形。
19.根据权利要求18的方法,其中所述硬掩模层包括介质氧化物、介质氮化物、介质氧氮化物、可调抗蚀抗反射涂层(TERA)材料、无定形碳、氢化的无定形碳、低摩擦碳(NFC)及其组合中的一种。
20.根据权利要求18的方法,还包括直接在所述硬掩模层上施加平坦化材料层,其中将所述第二光致抗蚀剂施加在所述平坦化材料层上。
CN2009100023322A 2008-01-14 2009-01-07 用于形成包括印刷分辨率辅助特征的合成图形的方法 Active CN101488450B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/013,627 2008-01-14
US12/013,627 US8158334B2 (en) 2008-01-14 2008-01-14 Methods for forming a composite pattern including printed resolution assist features

Publications (2)

Publication Number Publication Date
CN101488450A true CN101488450A (zh) 2009-07-22
CN101488450B CN101488450B (zh) 2010-09-22

Family

ID=40850936

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009100023322A Active CN101488450B (zh) 2008-01-14 2009-01-07 用于形成包括印刷分辨率辅助特征的合成图形的方法

Country Status (2)

Country Link
US (1) US8158334B2 (zh)
CN (1) CN101488450B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103454854A (zh) * 2012-05-28 2013-12-18 上海华虹Nec电子有限公司 光刻掩膜版的良率提升方法
CN104136994A (zh) * 2012-02-22 2014-11-05 国际商业机器公司 双硬掩模光刻工艺
CN112424693A (zh) * 2018-07-09 2021-02-26 应用材料公司 提高euv光刻胶及硬掩模选择性的图案化方案
CN112424693B (zh) * 2018-07-09 2024-05-31 应用材料公司 提高euv光刻胶及硬掩模选择性的图案化方案

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7883829B2 (en) * 2008-08-01 2011-02-08 International Business Machines Corporation Lithography for pitch reduction
US8099684B2 (en) * 2009-01-08 2012-01-17 International Business Machines Corporation Methodology of placing printing assist feature for random mask layout
US8298953B2 (en) 2010-12-20 2012-10-30 Infineon Technologies Ag Method for defining a separating structure within a semiconductor device
CN102446826B (zh) * 2011-09-23 2015-07-22 上海华力微电子有限公司 金属层冗余金属填充测试光掩模设计和应用
CN102520578B (zh) * 2011-11-10 2013-12-18 上海华力微电子有限公司 测试光掩模板及其应用
US8962484B2 (en) 2011-12-16 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming pattern for semiconductor device
US9318330B2 (en) * 2012-12-27 2016-04-19 Renesas Electronics Corporation Patterning process method for semiconductor devices
JP6040089B2 (ja) * 2013-04-17 2016-12-07 富士フイルム株式会社 レジスト除去液、これを用いたレジスト除去方法およびフォトマスクの製造方法
US9558930B2 (en) 2014-08-13 2017-01-31 International Business Machines Corporation Mixed lithography approach for e-beam and optical exposure using HSQ
US9252022B1 (en) 2014-11-05 2016-02-02 Globalfoundries Inc. Patterning assist feature to mitigate reactive ion etch microloading effect
US9941142B1 (en) * 2017-01-12 2018-04-10 International Business Machines Corporation Tunable TiOxNy hardmask for multilayer patterning
US10867794B2 (en) * 2019-03-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor devices and structures resulting therefrom

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5456952A (en) 1994-05-17 1995-10-10 Lsi Logic Corporation Process of curing hydrogen silsesquioxane coating to form silicon oxide layer
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6656667B2 (en) * 2001-03-14 2003-12-02 United Microelectronics Corp. Multiple resist layer photolithographic process
US6998198B2 (en) * 2001-11-30 2006-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Contact hole printing by packing and unpacking
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
NL1035771A1 (nl) * 2007-08-20 2009-02-23 Asml Netherlands Bv Lithographic Method and Method for Testing a Lithographic Apparatus.

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104136994A (zh) * 2012-02-22 2014-11-05 国际商业机器公司 双硬掩模光刻工艺
CN104136994B (zh) * 2012-02-22 2017-11-21 国际商业机器公司 双硬掩模光刻工艺
CN103454854A (zh) * 2012-05-28 2013-12-18 上海华虹Nec电子有限公司 光刻掩膜版的良率提升方法
CN112424693A (zh) * 2018-07-09 2021-02-26 应用材料公司 提高euv光刻胶及硬掩模选择性的图案化方案
CN112424693B (zh) * 2018-07-09 2024-05-31 应用材料公司 提高euv光刻胶及硬掩模选择性的图案化方案

Also Published As

Publication number Publication date
US20090181330A1 (en) 2009-07-16
CN101488450B (zh) 2010-09-22
US8158334B2 (en) 2012-04-17

Similar Documents

Publication Publication Date Title
CN101488450B (zh) 用于形成包括印刷分辨率辅助特征的合成图形的方法
KR100819673B1 (ko) 반도체 소자 및 그의 패턴 형성 방법
JP5379346B2 (ja) デュアル・ダマシン構造を製作する方法
KR100323161B1 (ko) 기판상의 특징부 형성 방법, 트렌치 및 비아 제조 방법, 자기 정렬된 도선 및 상호 접속체 제조 방법
KR100876808B1 (ko) 반도체 소자의 패턴 형성 방법
US8536031B2 (en) Method of fabricating dual damascene structures using a multilevel multiple exposure patterning scheme
TW200409234A (en) Method for manufacturing semiconductor device
US8334090B2 (en) Mixed lithography with dual resist and a single pattern transfer
US7399709B1 (en) Complementary replacement of material
US20230005751A1 (en) Tip-to-tip graphic preparation method
CN101221889A (zh) 形成图案的方法
TWI473205B (zh) 接觸窗開口的形成方法
KR100685595B1 (ko) 반도체소자의 제조방법
KR100390963B1 (ko) 반도체 소자의 콘택홀 형성방법
KR100384876B1 (ko) 반도체소자에서의 개선된 듀얼 대머신 공정
US8003305B2 (en) Method for patterning a semiconductor wafer
US11309184B2 (en) Semiconductor structure and formation method thereof
KR100516748B1 (ko) 반도체소자의 미세패턴 형성방법
TW202401517A (zh) 自對準多重圖案化方法
KR19990003882A (ko) 반도체 장치의 미세 패턴 형성방법
CN112670168A (zh) 半导体结构的形成方法、晶体管
KR100861188B1 (ko) 반도체소자의 제조방법
TWI485772B (zh) 形成介層洞的方法
KR20000045446A (ko) 반도체소자의 미세패턴 제조방법
KR20020052463A (ko) 반도체소자의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171113

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171113

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20200916

Address after: Okatai Odawara

Patentee after: Alsefenna innovation

Address before: Grand Cayman Islands

Patentee before: GLOBALFOUNDRIES INC.

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20201023

Address after: No.8, Lixing 6th Road, Xinzhu City, Xinzhu Science Industrial Park, Taiwan, China

Patentee after: Taiwan Semiconductor Manufacturing Co.,Ltd.

Address before: Okatai Odawara

Patentee before: Alsefenna innovation

TR01 Transfer of patent right