WO2007082745A1 - Selective etching for semiconductor devices - Google Patents

Selective etching for semiconductor devices Download PDF

Info

Publication number
WO2007082745A1
WO2007082745A1 PCT/EP2007/000413 EP2007000413W WO2007082745A1 WO 2007082745 A1 WO2007082745 A1 WO 2007082745A1 EP 2007000413 W EP2007000413 W EP 2007000413W WO 2007082745 A1 WO2007082745 A1 WO 2007082745A1
Authority
WO
WIPO (PCT)
Prior art keywords
etching
oxide
implanted
oxide layer
parts
Prior art date
Application number
PCT/EP2007/000413
Other languages
French (fr)
Inventor
Rémy Pascal Henri CHARAVEL
Jean-Pierre Emmanuel Octave Ghislain Raskin
Original Assignee
Universite Catholique De Louvain
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Universite Catholique De Louvain filed Critical Universite Catholique De Louvain
Publication of WO2007082745A1 publication Critical patent/WO2007082745A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00595Control etch selectivity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/01Suspended structures, i.e. structures allowing a movement
    • B81B2203/0118Cantilevers

Definitions

  • This invention relates to methods of manufacturing semiconductor devices, in particular, to methods of manufacturing a structure having an oxide layer or other layer that is made more reactive to an etchant than a semiconductor material such as silicon or to other parts of the oxide layer, by ion implantation of selected parts of the oxide layer (e.g. SiO 2 layer), and selective etching.
  • the present invention also relates to devices obtainable by the above methods.
  • etch rate modification of silicon dioxide by ion implantation.
  • the mechanisms of etch rate modification have been well understood.
  • the collisions between implanted ions and the nuclei of the silicon dioxide target atoms from the silicon dioxide disorganize the structure of the oxide and break the Si-O bonds. It has been shown that any kind of ions could be implanted in order to modify the structure of the oxide. Once the structure has been modified it becomes more reactive to HF wet etching solutions.
  • the literature reports selectivity of a factor of 5 between implanted and unimplanted oxide 3 .
  • the etch rate modification depends on the mass of the implanted ions, the implantation dose and the energy.
  • the inversion layer extends over the whole active silicon film, giving rise to volume conduction, which increases drain current and transconductance and decreases the floating body effect 1 1 .
  • the threshold voltage is better controlled and the short channel effects are reduced.
  • the double gate architecture makes the subthreshold swing nearly ideal.
  • the advantages of these structures become predominant over single gate technology for very small dimensions (in the order of a few deca nanometers), it is necessary to find a fabrication method to self align the top and the bottom gates. Indeed, a misalignment of both gates would deteriorate the intrinsic performance of the double gate structure strongly and increase the parasitic capacitances, mainly the overlap capacitances.
  • the FinFET structure overcomes the alignment problems by making a vertical double gate.
  • the disadvantages of this structure are: (i) the width of the transistor is determined by the thickness of the silicon film and is fixed for all the transistors of the same chip; multi-gate fingers connected in parallel have to be considered for designing wider transistors, and (ii) the surface mobility of carriers along the vertical gates of FinFET is lower than the one for planar devices because of the surface defects introduced by dry etching of the Si fins. Planar double gate transistors overcome theses problems and allow the fabrication of transistors for any gate width over length ratio.
  • the literature is rich in simulation results about the physical behaviour of double gate transistors 12 but few double gate transistors have been fabricated.
  • the inventors have previously proposed two methods for the fabrication of self aligned double gate transistors 13 . These involved defining the bottom gate by implanting boron or n-type doping in crystallized amorphous silicon layer buried within the BOX of an SOI wafer. A mask defining the top gate was deposited on the surface of the wafer. The implantation of doping in the buried layer reproduced the pattern present on the top surface of the wafer. After few steps of CMP and wafer bonding engineering the buried layer could be reached and was revealed in HNA (hydrofluoric acid, nitric acid, acetic acid) TMAH (tetra methyl ammonium hydroxide) depending on the need of a positive or negative revelation.
  • HNA hydrofluoric acid, nitric acid, acetic acid
  • TMAH tetra methyl ammonium hydroxide
  • An object of the invention is to provide improved methods of manufacturing semiconductor devices, in particular, to improved methods of manufacturing a structure having an oxide layer or any other layer that is more reactive to an etchant than a semiconductor material such as silicon and/or than other parts of the oxide layer, by ion implantation of selected parts of the oxide layer (e.g. SiO 2 layer), and selective etching to provide devices obtainable by the above methods.
  • a semiconductor material such as silicon and/or than other parts of the oxide layer
  • the invention provides a method of manufacturing a structure having an oxide layer such as an SiO 2 layer, having the steps of carrying out an ion implantation of selected parts of the oxide layer (e.g. SiO 2 layer), carrying out an etching by exposing the selected parts and other parts of the oxide layer (e.g. SiO 2 layer) to a vapour etchant to remove the selected ion implanted parts at a rate greater than the rate of removal of the other parts.
  • a vapour etchant being VHF
  • great selectivity is thought (without being limited by theory) to be obtained because the bonds are broken by the implantation, and because HF etches SiO 2 only.
  • Other vapour etchants are included within the scope of the invention.
  • Etch rate of implanted Si or polysilicon or other materials can be improved by etching with TMAH or HNA.
  • the selectivity of the etching of the oxide layer e.g. SiO 2
  • This improved selectivity can reduce the amount of unwanted removal of the oxide layer (e.g. SiO 2 ), which can lead to more precise manufacturing of structures, or simpler or more cost effective manufacturing can be achieved.
  • Areas to be removed can be defined by the implantation more easily or effectively than using etch resist coverings or other methods.
  • An additional feature of some embodiments is the etching step comprising a vapour HF etching step. This can provide the higher selectivity to avoid or reduce the problems of unwanted removal of the other parts of the oxide layer, e.g. SiO 2 layer, especially in view of the etch being isotropic.
  • Another such additional feature is the ion implantation having a nuclear deposited energy larger than 2 x 10 24 eV/cm 3 . This can help enable the increase in selectivity by breaking sufficient SiO 2 bonds.
  • An additional feature is the etching step removing the selected ion implanted parts at a rate ten times greater than the rate of removal of the other parts. This is substantially greater selectivity than conventional etching without ion implantation.
  • An additional feature is the ion implantation being carried out in multiple different ways to implant at different depths. This can enable the rate of etching to be controlled at different depths, to vary the rate or maintain it constant over a range of depths. This helps enable more precise manufacturing of structures. Vapour HF etching has much higher etching rate of the ion implanted parts than non implanted parts, and a much higher difference in etching rates than is provided by wet HF etching. Thus etching selectivity is higher and there is less unwanted removal of non ion implanted parts.
  • Another such additional feature is the etching step comprising multiple cycles of etching and rinsing.
  • Another such additional feature is the structure being formed on a silicon-on-insulator substrate. This is a useful type of structure for many applications including MOS devices and MEMS devices.
  • Another such additional feature is the structure comprising a MEMS device and the method having a step of forming an actuator made of semiconductor material such as a silicon actuator part on the oxide layer (e.g. SiO 2 layer) and using the etching step to release at least part of the actuator.
  • Another such additional feature is the structure comprising an MOS device and the method having a step of using the etching step to form a trench in the oxide layer, e.g. SiO 2 layer and at least partially filling the trench with another material. Such a trench is useful to improve integration to reduce the chip area taken up by a given element such as a gate of a transistor.
  • Another such additional feature is the structure comprising an MOS device, and the method having the steps of forming a semiconductor region such as a silicon region having the oxide layer, e.g. SiO 2 on opposing sides of the semiconductor region, e.g. silicon region, and using the etching step to form mutually self aligned trenches on both sides of the semiconductor region, e.g. silicon region.
  • a semiconductor region such as a silicon region having the oxide layer, e.g. SiO 2 on opposing sides of the semiconductor region, e.g. silicon region
  • the etching step to form mutually self aligned trenches on both sides of the semiconductor region, e.g. silicon region.
  • This is useful for a number of types of structures for which mutual alignment helps to enable the device size to be reduced or manufacturing precision or cost effectiveness to be improved.
  • One example is in manufacture of double gate MOS devices.
  • oxide layer such as SiO 2 being arranged above and below the semiconductor region, e.g. silicon region, the ion implantation being arranged to extend below the semiconductor region, e.g. silicon region, to enable the mutually self aligned trenches to be etched above and below the semiconductor region, e.g. silicon region.
  • This helps enable a planar double gate structure with gates above and below a semiconductor, e.g. silicon channel, or other structures to be achieved.
  • Another such additional step involves filling the trenches with a material for a gate, forming an insulator around the gate, forming source and drain regions at opposing sides of the semiconductor region, e.g. silicon region, and forming contacts to the gate, source and drain regions.
  • Another such additional feature is the structure having micro channels for micro- fluidics, and the etching step being arranged to create such micro channels.
  • Figure 1 shows a graph of etch rate in A /min. and Argon concentration in atms/cm 3 versus depth in A
  • Figure 2 shows a graph of etch rate in A /min. for as implanted and annealed oxide and nuclear deposited energy in eV/cm 3 versus depth in A
  • Figure 3 shows a graph of etch rate in function of the nuclear deposited energy for various types of implanted species
  • Figure 4 shows a graph of etch rate of implanted oxide in vapour HF in function of the nuclear deposited energy
  • Figures 5-a to 5-j show process steps of an alternative method for self-aligned DG MOS fabrication
  • Figure 6 shows a schematic view of a structure for demonstration purposes
  • Figure 7 shows results of VHF etching 30 min. at 38°C for an implanted zone
  • Figure 8 shows results of VHF etching 30 min. at 38°C for an unimplanted zone
  • Figure 9 shows results of VHF etching 15 cycles of 2 min. etching and 3 min. 20 sec. N 2 rinsing at 38°C for an implanted zone
  • Figure 10 shows results of VHF 15 cycles of 2 min. etching and 3 min. 20 sec. N 2 rinsing at 38°C for an unimplanted zone
  • Figure 11 shows results of VHF 15 cycles of 2 min. etching and 3 min. 20 sec. N 2 rinsing at 38°C for unimplanted and implanted zones
  • Figure 12 shows results of VHF 7 cycles of 4 min. etching and 5 min. N 2 rinsing at 38 0 C for an implanted zone
  • Figure 13 shows results of VHF 7 cycles of 4 min. etching and 5 min. N 2 rinsing at
  • Figure 14 shows results of VHF etching 10 min. at 52 0 C for an implanted zone
  • Figure 15 shows results of VHF etching 10 min. at 52°C for an unimplanted zone
  • Figure 16 shows results of VHF 3 cycles of 10 min. etching and 5 min. N 2 rinsing at
  • Figure 17 shows results of VHF 3 cycles of 10 min. etching and 5 min. N 2 rinsing at
  • Figure 18 shows typical under etch in the anchor area for a released interdigitated capacitor
  • Figure 19 shows an implanted sample after VHF release
  • Figure 20 shows an unimplanted sample after VHF release
  • Figure 21 shows some of the principal steps according to an embodiment
  • Figure 22 shows steps in an embodiment involving forming suspended silicon wires for a gate all around device, or other device.
  • Embodiments of the invention relate to process steps which may be usefully applied to the manufacturing of MEMS devices, of double gate MOS transistors and many other types of devices as well as to the devices themselves.
  • the embodiments show process steps including implanting an oxide rather than a semiconductor, as is usually done. This oxide is therefore made very reactive to an etchant such as HF (hydrofluoric acid) in its vapour phase. It is therefore readily etched contrary to a non-implanted oxide.
  • HF hydrofluoric acid
  • the implantation enables variation of the speed of etching of a same oxide and therefore on the selectivity of the etching between the implanted zones and the non-implanted zones. It is then possible to define by implantation, trenches buried (e.g. under a silicon film) in an oxide.
  • trenches This enables, for example, defining trenches by implantation above and below a thin Si film or other materials. Implantation through any suitable film is included within the scope of the present invention, the film preferably not being etched by HF.
  • Alternative films include polysilicon films or films made of other semiconductor materials such as SiGe, Ge, SiC, etc.
  • MOS DG double gate
  • SOI silicon-on-insulator
  • Other applications such as the relaxing of MEMS structures or the creation of sacrificial oxide layers are feasible as well. In the following the use of a silicon oxide layer is described but the present invention is not limited thereto.
  • the etching speed of the silicon oxide can be increased by a factor of at least 50 times or at least 100 times or at least 200 times.
  • the etch rate of unimplanted oxide is typically 10 Angstrom/min whereas the etch rate of implanted oxide can be 2000 Angstrom/min., e.g. 200 times or more, when the oxide has been treated beforehand by ionic implantation and the etching is performed by hydrofluoric acid (HF) in its vapour phase.
  • HF hydrofluoric acid
  • etching selectivity up to a value of more than 50, more than 100 or more than 200 by using a vapor etchant such as vapor HF instead of wet HF etching opens new possibilities in microelectronics and MEMS technology, making implanted oxide a better candidate for a sacrificial layer or for buried mask definition and conjointly unimplanted oxide a good etch stop layer.
  • Manufacturing of auto-aligned double gate (DG) MOS transistors may prove a particularly commercially valuable application as DG transistors are currently seen as a very promising technology for improved scalability and performance as they develop over the next 5-10 years.
  • Other structures may be formed exploiting the advantages of auto-aligned structures on various levels, i.e.
  • MOS DG transistors not necessarily MOS DG transistors but for instance implementations of electrodes (MEMS) or micro-channels (micro-fluidics) auto-aligned on various levels defined by implantation and selective etching.
  • MEMS electrodes
  • micro-fluidics micro-channels
  • the large etching selectivity between the implanted and the non-implanted oxide in gaseous HF can be used as well in order to better define the anchoring of MEMS as "cantilevers" or "clamped beams".
  • silicon oxide is nowadays used as a sacrificial layer for the releasing of microstructures in liquid HF (hydrofluoric acid).
  • the oxide etching being isotropic, it is difficult to obtain with precision the non-etched zones, i.e. the anchoring.
  • the silicon oxide can be created in many ways, e.g. 1200 nm wet thermal oxide grown at 975 °C, 1000 nm TEOS (tetraethoxysilane)
  • etch rate for implanted oxide has been investigated for both aqueous and for vapor forms of HF and for different implanted species like Boron, Phosphorous, Arsenic, Argon.
  • the parameter directly linked to the etch rate modification is the nuclear deposited energy which depends on the incoming ion mass and energy, the target atoms and the implanted dose. For a nuclear deposited energy larger than say 4e24 eV/cm 3 the etch rate increases drastically. It has been shown that in aqueous solution a selectivity of 4 between implanted and unimplanted oxide could be reached.
  • the etching selectivity has been increased to a value of at least 50 times, at least 100 times or at least 200 times when etching in vapor HF.
  • vapour HF etching was performed on a GEMETEC PAD-Fume, commercially available system for wafer cleaning that was adapted according to custom specifications to enable stiction-free surface micromachining.
  • the gas flow nitrogen bubbling through a 49% HF solution
  • Etchings were performed during two or five minutes, depending on the etch rate of the implanted oxide. The remaining thicknesses of implanted and unimplanted oxide were measured after etching by ellipsometry.
  • the etch rate drops abruptly to reach a value of 100 A/min.
  • the argon concentration plotted in logarithmic scale, is roughly IeI 7 atms./cm 3 close to the surface, and reaches a maximum of IeI 9 atms./cm 3 around a depth of 1900 A. From 2600 A the argon concentration is still rather high at a value of 2el8 atms./cm 3 . It is thus not possible to correlate the Argon concentration to the etch rate modification.
  • ⁇ n is the nuclear energy loss and D the implanted dose.
  • the loss of energy of the Ar ions into the oxide film was simulated with the freeware SRIM 4 .
  • Figure 2 plots the nuclear deposited energy and the etch rate for as implanted and annealed samples in function of the depth in the SiO 2 layer.
  • the nuclear deposited energy has a constant value from the surface to a depth of 1600 A and then decreases logarithmically.
  • the nuclear deposited energy curve and the etch rate curve of the as implanted sample perfectly superpose to each other. This result demonstrates that the destruction of the Si-O bonds, characterized by the nuclear deposited energy is the mechanisms responsible for the enhancement of the etching rate.
  • the Ar implanted sample was annealed at 1000 °C under a nitrogen flow of 1.5 l./min. during 40 minutes.
  • the plot of the measured etch rate after annealing does not show any dependence in function of the depth and the etch rate value is 80 A/min., similar as the value obtained for unimplanted oxide.
  • the annealing step of the implanted oxide samples reconstructs the Si-O bonds and the etching selectivity of 4 that was obtained between implanted and unimplanted oxide is lost.
  • etch rate of oxide is influenced by the amount of destructed Si-O bonds and not by the implanted ions, it was tried to implant other species than argon, like boron, phosphorous and arsenic.
  • Figure 4 plots the etch rate of oxide in HF 3% in function of the nuclear deposited energy for different implanted species.
  • the graph confirms that the type of implanted species has no influence on the etch rate.
  • the only parameter to consider is the nuclear deposited energy.
  • a nuclear deposited energy lower than Ie23 eV/cm 3 does not change the etch rate of oxide in HF 3%, i.e. 80
  • the etch rate saturates at an average value of 320 A/min.
  • Garrido et at. have shown that not more than 15.5% of the Si-O bonds can be broken.
  • etch rate of implanted oxide can be enhanced by a factor 4 when etched in HF 3%. Whatever the type of implanted species, a maximum etch selectivity of 4 was reached in HF 3%.
  • Vapor HF etching Etching of implanted oxide has also been done in vapor HF.
  • Figure 5 plots the etch rate in function of the nuclear deposited energy for an oxide film implanted with phosphorous. 3000 A thick oxide film was implanted at two different energies and doses in order to get a constant nuclear deposited energy concentration over the whole film thickness. The increase in etch rate occurs for the same concentration of nuclear deposited energy as in the case of the wet etching, but the etching selectivity between implanted and unimplanted oxide which was around 4 in the case of wet etching is in the range of 200 for vapour HF etching. Without being limited by theory, the great difference in selectivity can be explained knowing the etching mechanism of SiO 2 in HF.
  • HF 2- is the ion responsible for the oxide etching: SiO 2 + 3HF 2 - + H + ⁇ SiF 6 " + 2H 2 O (4)
  • the reaction produces water and fluosilicic acid.
  • the fluosilicic acid undergoes the following reaction:
  • Fluosilicic acid can also attack the oxide following the reaction:
  • the etching mechanism needs water to initiate the reaction. Condensation has to occur on the wafer surface for starting the reaction. Once the reaction has started, water is produced by the reaction and enhances the etch rate. The etch rate will strongly depend on the amount of water produced by the etching. This is a kind of avalanche effect. In the case of the wet etching, the quantity of water as reaction product is negligible in comparison to the water quantity in which HF is diluted and therefore does not influence the kinetic of the reaction.
  • the etch rate mainly depends on the HF, HF 2- and broken bonds concentration, but not on the reaction byproducts.
  • water is the limiting factor of the reaction kinetic. Some water comes from condensation but after a transition step the main origin of the water is from reaction by-products. The avalanche effect will thus be multiplied by the highest reactivity of the oxide due to the presence of broken bonds and the fact that more water is produced in the damaged zone than in the non-implanted oxide. Selectivity of 200 can thus be achieved.
  • the etch rate increase of implanted oxide has been verified in diluted HF for various implanted species.
  • the parameter which control the etch rate is the nuclear deposited energy, E n which depends on the atoms of the target, the mass and the dose of the implanted ions.
  • E n the nuclear deposited energy
  • the etch rate is not modified and for a nuclear deposited energy larger than 3e24 EV/cm 3 , the etch rate reaches its maximum value.
  • Light or heavy ions can both be implanted. Heavy ions will have a shallow penetration while the light ions will penetrate deeper in the film.
  • vapour HF etching instead of diluted HF increases the selectivity from 4 to at least 50times, at least 100 times; or at least 200 times.
  • the dissolution of silicon dioxide in HF is an autocatalytic reaction which only needs water to be initiated.
  • vapour HF etching the water produced by the reaction will be preponderant compare to the total amount of water taking part to the reaction yielding to an avalanche effect and a drastically increases of the etch rate. This very high selectivity opens very promising applications such as buried mask patterning in oxide and definition of sacrificial layers.
  • a new process for self-aligned double gate MOS fabrication is proposed.
  • the milestone of this process is the revelation of a buried mask in the BOX of a SOI wafer and in a thermal oxide layer on top of active silicon film.
  • the revelation of the buried mask makes use of the highly selective etching of implanted oxide in VHF.
  • the etching mechanism of VHE etching of oxide is presented and the influence of the VHF etching parameters like temperature and etching time are discussed to prove the feasibility of this process step in order to integrate it in the whole process.
  • the method proposed here helps avoid the two problems of previous self-alignment techniques discussed above, but keeps the self alignment by implantation of a buried mask.
  • a selective etching of oxide has been developed which enables the bottom gate to be defined directly in the BOX.
  • the process flow is presented hereafter.
  • the oxide buried mask is based on the etching selectivity of implanted oxide.
  • the process steps are described with reference to Figures 5-a to 5-j.
  • a conventional SOI wafer ( Figure 5-a) is oxidized ( Figure 5-b) and the gates are patterned by lithography.
  • An implant is performed through the mask in the top oxide layer and in the BOX layer to define a sacrificial buried mask for the top and bottom gates ( Figure 5-c).
  • the active zones are defined by lithography and RIE of the top oxide layer and the SOI layer. The RIE stops on the BOX ( Figure 5-d).
  • the etching of the implanted oxide is done in vapor HF and yields two self-aligned cavities ( Figure 5-e). This leaves a free standing silicon bar, which will be the future channel of the transistor.
  • the gate oxide is then grown and the channel implant is performed before the polysilicon gate deposition (Figure 5-f).
  • the filling of the bottom cavity has been proven to be successful 14 for double gate transistors with a ratio W/L of 60 ⁇ m/3 ⁇ m.
  • the gate is defined by RIE in an SF 6 based plasma ( Figure 5-g).
  • the top oxide layer is removed by RIE in a CF 4 /H 2 in the source and drain area. To avoid misalignment problems, the source and drain area is defined 2 ⁇ m smaller in x and y direction than the active zone ( Figure 5-h).
  • the passivation layer is deposited and the contact holes are opened by RIE ( Figure 5-i).
  • the metallization to create contacts for the various regions then ends the process (Figure 5-j).
  • the critical step of this technique is the revelation of the buried mask in oxide layers surrounding the thin active Si layer. The next part below describes the etching mechanism of implanted oxide in more detail.
  • Etching selectivity of implanted oxide When an ion penetrates a material, it undergoes collisions with the nuclei of the target until it lots its total amount of energy. In each collision the energy is transferred from the ion to the nuclei. The energy transferred is called the nuclear deposited energy, E n . This energy transfer can be sufficient to break the valence biding between the atoms of the target, or to reconstruct this binding if it was broken.
  • the implantation has been performed at two different doses and energy to ensure a constant nuclear deposited energy over the whole film thickness.
  • Phosphorous has been implanted at 60 keV for 16.5% of the total dose and at 190 keV for 83.5% of the total dose.
  • a total dose of 2el3 atms./cm 2 yielding an average nuclear deposited energy of 5e22 eV/cm 3 .
  • the total implantation range varies from 2el3 atms./cm 2 to 5el5 atms./cm 2 , yielding an nuclear deposited energy range between 5e22 and 2e25 eV/cm 3 .
  • Figure 4 gives the etch rate in function of nuclear implanted energy for Boron and Phosphorous ions implanted in a 3000 A thick oxide layer.
  • a nuclear deposited energy lower than Ie23 eV/cm 3 the etch rate is not modified but for a nuclear deposited energy larger than 1 e25 eV/cm 3 the etch rate does not increase any more, the proportion of 15% of broken bonds being reached.
  • Light or heavy ions can both be implanted. Heavy ions will have a shallow penetration in the film while the light ions will penetrate deeper in the film. To reach the same level of nuclear deposited energy, the dose for light ions has to be increased as their nuclear energy loss is lower than for heavy ions.
  • Vapor HF etching being highly selective, it is a good candidate to reveal the buried mask defined by implantation in oxide.
  • cycling of VHF etching and nitrogen rinsing steps are preferably introduced in order to maintain a good etching selectivity.
  • a demonstration of two self aligned cavities above and below the SOI film was made using selective etching of implanted oxide. Starting from a standard SOI wafer from the company SOITEC, on top of this 1960 A of oxide was grown in wet ambient at 1000 °C during 19 minutes. The final structure had the following thicknesses: BOX 4000 A / SOI 820 A / thermal oxide 1960 A.
  • a dark field photolithography was performed to define the gates dimension (length and width).
  • Implanting silicon would have the great advantage that the SOI film would not be contaminated, however for the demonstration phosphorous was used which has an atomic weight close to the one of silicon.
  • the implantation dose and energy were calculated with the software SRIM 4 in such a way that the nuclear deposited energy was higher than 4e24 eV/cm 3 in the top oxide layer and in the 2000 A from the BOX close to the SOI.
  • High energy implantation was done at 290 keV at a dose of IeI 5 atm/cm 2
  • the low energy implantation was done at a 150 keV at a dose of 2el4 atm/cm 2 .
  • the resist was stripped by oxygen plasma and H 2 O 2 /H 2 SO 4 cleaning.
  • a second photolithography was done to define the opening to access the implanted BOX after a RIE step.
  • the top and buried oxide layers were etched in a CF 4 /H 2 plasma, the SOI layer in a SF 6 plasma.
  • the resist was strip by oxygen plasma and H 2 O 2 /H 2 SO 4 cleaning after RIE.
  • Figure 6 shows in schematic form a cross section of the demonstrator.
  • vapour HF etching was performed on a GEMETEC PAD-Fume, commercially available system for wafer cleaning that was adapted according to custom specifications to enable stiction-free surface micromachining.
  • the gas flow nitrogen bubbling through a 49% HF solution
  • Etching were performed either in one time or in short steps between which N 2 rinsing was done.
  • the temperature was varied from 38°C to 52°C.
  • Figure 7 and 8 show SEM cross sections of the demonstrator after 30 min. VHF etching at 38°C for both implanted and unimplanted area.
  • the top oxide is oxide is totally etched in the both cases.
  • the underetch is 1.5 ⁇ m in the unimplanted zone and 4 ⁇ m in the implanted zone.
  • the selectivity is very bad, as in case of wet etching. Although this result is poor, it brings important information. After a too long etching time, a large amount of water is produced and condenses over the whole wafer, and thus transforms the etching into wet etching.
  • the etching time during each cycle is a notable parameter. 2 min. is a good value.
  • Figures 14 and 15 shows SEM cross section of the demonstrator etched during 10 minutes at 52°C. This time and temperature combination gives very good results.
  • the underetch is 3.1 ⁇ m in the implanted zone and almost null in the unimplanted zone where the top oxide is also totally preserved.
  • etching time at this temperature decreases the selectivity because too much water condensate on the wafer surface and change the behavior of the etching in a wet-like etching.
  • Etching time of 10 min. at 52°C or cycling with etching steps of 2 min. and rinsing time of 3 min. at 38°C are a good set of parameters for VHF revelation of the buried mask. This process step can be readily integrated in the self-aligned double gate MOS process.
  • any species can be implanted, as for example phosphorous and boron but also argon or silicon, which do not contaminate the top film through which the implantation is done.
  • Figures 18-20 describe the etching mechanism of implanted silicon dioxide in wet and vapor HF chemistry and gives examples of reduced under etch for particular etching conditions.
  • etch rate was done by etching the implanted silicon in vapor HF. It was performed on a GEMETEC PAD-Fume. The gas flow (nitrogen bubbling through a 49% HF solution) was 1 1/min. and the temperature was 38°C. Fig. 3 shows the etch rate for phosphorous and boron implanted samples in function of the nuclear deposited energy.
  • Fig. 18 shows a typical under etch for a released array of beams.
  • a demonstrator was made of a SOI wafer from which the BOX was implanted through the silicon film with phosphorous at a dose of 3.2el5 atm./cm 2 and an energy of 150 keV, yielding a nuclear deposited energy of 4e24 eV/cm 3 . Openings were made by RIE in the silicon film to reach the BOX.
  • 19 and 20 show respectively an implanted and unimplanted sample after 7 cycles of 4' VHF etching at 38°C and 3' N 2 rinsing. 4 ⁇ m were etched in the case of the implanted sample and nothing in the case of the unimplanted sample. It is a very good result to suppress the under etch which occurs when releasing MEMS structure.
  • This technique has a variety of applications including creation of cavities in a buried film and is a good candidate to fabricate self aligned double gate MOS using a buried mask in the BOX of a SOI wafer. However this process does not support any thermal budget as an annealing will recombine the destructed Si-O bonds and thus will not affect the etch rate of HF any more.
  • Step 21 shows an overview of some principal steps according to an embodiment for any of the applications.
  • Step 100 shows forming an SiO 2 layer on a substrate.
  • Step 110 represents optional other steps in the manufacturing process.
  • Step 120 shows ion implantation into selected parts of the SiO 2 .
  • Step 130 shows optional other steps provided there is no thermal annealing.
  • a selective etch to remove ion implanted parts of SiO 2 at greater rate than removal of non implanted parts is carried out at step 140.
  • step 150 optional other steps according to the application are carried out to complete the device.
  • Figure 22 shows a further application.
  • the use of the definition of a trench in the BOX of a SOI wafer by implantation and vapour HF etching developing can be used not only for the manufacture of a double-gate MOSFET transistor but also for a quadruple-gate (also called Gate-Ail-Around (GAA)).
  • GAA Gate-Ail-Around
  • a technique for making suspended silicon wires (which can be thin enough to be called nanowires) can be applied with a defined buried trench perfectly aligned to the top implantation mask.
  • the implanted buried oxide is removed selectively in vapour HF.
  • Figure 22 shows steps in the fabrication of suspended Silicon nanowires in accordance with an embodiment of the present invention above a revealed trench in the BOX of a SOI wafer self-aligned to the polysilicon implantation mask.
  • a first step is to provide a silicon layer on an oxide layer on a substrate.
  • the top silicon layer is etched to provide a pattern of wires to be suspended.
  • a next step is removal of HSQ (hydrogen silsequioxane)
  • HSQ hydrogen silsequioxane
  • a chemical vapour deposition step is used to deposit an oxide layer covering the pattern such as a PECVD oxide layer.
  • a thicker layer of polysilicon is deposited on top, followed by a second CVD oxide layer such as a PECVD oxide layer.
  • an etch step is carried out of the PECVD oxide layer to thereby etch the second oxide layer in the region of the pattern of wires.
  • the resist layer e.g. PMMA
  • a polysilicon etch step is carried out to etch the polysilicon above the pattern of wires.
  • a further etch is carried out to remove the second oxide layer, and to remove the first oxide layer in the region of the pattern of wires.
  • the buried oxide can then be implanted in the region of the pattern of wires, as has been described above.
  • the dimensions of the nanowires can be characterized by: a height and a width of a few nm (e.g. 2-12nm) to a few tens of nm (e.g. 30-100nm), and a length of a few tens of nm (e.g.
  • polysilicon can be used for the implantation mask but the present invention is not limited thereto.
  • the purpose of the implantation mask is to stop the implanted ions to protect the silicon area.
  • Other materials could be used, such as silicon nitride, silicon dioxide, a metal layer, etc.
  • the nanowires can be made of silicon but they could be also made from other semiconductor materials such as SiGe, Ge, SiC, etc.
  • silicon dioxide may be used for the insulator and polysilicon for the gate material, but a high-k dielectric layer and a metallic gate can be included into the proposed GAA process.
  • the last part of fig 22 shows the result of a subsequent reveal step, to leave suspended silicon nanowires over a revealed narrow trench in BOX defined by implantation and vapour selective etching, e.g. HF vapour etching.
  • vapour selective etching e.g. HF vapour etching.
  • the gate dielectric layer can be grown (e.g. a thermal silicon dioxide) or conformly deposited (using an Atomic Layer Deposition machine for instance, for high-k materials) as well as the gate material (doped polysilicon or mid-gap metal gate) all around the suspended silicon nanowires.
  • a Chemical Mechanical Polishing (CMP) step can be used for removing the metal layer outside the transistor channel, using the implantation mask as polishing stop layer. The implantation mask is then selectively removed, the source/drain regions implantation is performed and metallic interconnections are made to finalize the fabrication of GAA or quadruple gate self- aligned MOSFETs.
  • CMP Chemical Mechanical Polishing
  • Silicidation (resistive or Schottky contacts) of the source and drain contacts could be also introduced.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A manufacturing process involves ion implantation (120) of selected parts of a SiO2 layer, and vapour HF etching (140) to remove the selected ion implanted parts at a rate greater than the rate of removal of the other parts. By using ion implantation combined with a vapour etchant, the selectivity of the etching of the SiO2 can be increased to 200. Such selectivity can lead to more precise manufacturing of structures, or simpler or more cost effective manufacturing. The ion implantation can have a nuclear deposited energy larger than 2 x 1024 eV/cm3 to break sufficient SiO2 bonds. Enhancement of the etching selectivity can make implanted oxide a candidate for a sacrificial layer for MEMS devices or for buried mask definition, or for manufacturing mutually self aligned trenches for gates of double gate MOS transistors.

Description

SELECTIVE ETCHING FOR SEMICONDUCTOR DEVICES
Field of the invention: This invention relates to methods of manufacturing semiconductor devices, in particular, to methods of manufacturing a structure having an oxide layer or other layer that is made more reactive to an etchant than a semiconductor material such as silicon or to other parts of the oxide layer, by ion implantation of selected parts of the oxide layer (e.g. SiO2 layer), and selective etching. The present invention also relates to devices obtainable by the above methods.
Description of the Related Art:
From mid 1980's to 1990's several research groups have published1'2'3 experimental results on etch rate modification of silicon dioxide by ion implantation. The mechanisms of etch rate modification have been well understood. The collisions between implanted ions and the nuclei of the silicon dioxide target atoms from the silicon dioxide disorganize the structure of the oxide and break the Si-O bonds. It has been shown that any kind of ions could be implanted in order to modify the structure of the oxide. Once the structure has been modified it becomes more reactive to HF wet etching solutions. The literature reports selectivity of a factor of 5 between implanted and unimplanted oxide3. The etch rate modification depends on the mass of the implanted ions, the implantation dose and the energy. Although this effect is of interest from a scientific point of view, it has mainly been considered as a parasitic effect that makes the etch rate of SiO2 difficult to control. Because of the low etching selectivity (around 5) between implanted and unimplanted silicon dioxide, the implantation of SiO2 has not been considered for developing patterned sacrificial or etch stop layers. Silicon-on-Insulator (SOI) technology allows the fabrication of devices with reduced parasitic capacitances compared to bulk CMOS yielding higher switching speed . Another advantage of this technology is the resistance to radiation and high temperatures10. The device isolation is also easier to achieve. Thus, fully depleted SOI double gate MOS transistors are promising devices. As the active layer of double gate transistor is very thin, the inversion layer extends over the whole active silicon film, giving rise to volume conduction, which increases drain current and transconductance and decreases the floating body effect1 1. The threshold voltage is better controlled and the short channel effects are reduced. The double gate architecture makes the subthreshold swing nearly ideal. As the advantages of these structures become predominant over single gate technology for very small dimensions (in the order of a few deca nanometers), it is necessary to find a fabrication method to self align the top and the bottom gates. Indeed, a misalignment of both gates would deteriorate the intrinsic performance of the double gate structure strongly and increase the parasitic capacitances, mainly the overlap capacitances. The FinFET structure overcomes the alignment problems by making a vertical double gate. However, the disadvantages of this structure are: (i) the width of the transistor is determined by the thickness of the silicon film and is fixed for all the transistors of the same chip; multi-gate fingers connected in parallel have to be considered for designing wider transistors, and (ii) the surface mobility of carriers along the vertical gates of FinFET is lower than the one for planar devices because of the surface defects introduced by dry etching of the Si fins. Planar double gate transistors overcome theses problems and allow the fabrication of transistors for any gate width over length ratio. The literature is rich in simulation results about the physical behaviour of double gate transistors12 but few double gate transistors have been fabricated.
The inventors have previously proposed two methods for the fabrication of self aligned double gate transistors13. These involved defining the bottom gate by implanting boron or n-type doping in crystallized amorphous silicon layer buried within the BOX of an SOI wafer. A mask defining the top gate was deposited on the surface of the wafer. The implantation of doping in the buried layer reproduced the pattern present on the top surface of the wafer. After few steps of CMP and wafer bonding engineering the buried layer could be reached and was revealed in HNA (hydrofluoric acid, nitric acid, acetic acid) TMAH (tetra methyl ammonium hydroxide) depending on the need of a positive or negative revelation. The drawback of theses methods were the use of unconventional SOI wafers (needing a crystallized amorphous silicon layer within the BOX layer), and the need of CMP and wafer bonding, technological steps that are not easy to integrate in a process flow.
Summary of the Invention:
An object of the invention is to provide improved methods of manufacturing semiconductor devices, in particular, to improved methods of manufacturing a structure having an oxide layer or any other layer that is more reactive to an etchant than a semiconductor material such as silicon and/or than other parts of the oxide layer, by ion implantation of selected parts of the oxide layer (e.g. SiO2 layer), and selective etching to provide devices obtainable by the above methods.
According to a first aspect, the invention provides a method of manufacturing a structure having an oxide layer such as an SiO2 layer, having the steps of carrying out an ion implantation of selected parts of the oxide layer (e.g. SiO2 layer), carrying out an etching by exposing the selected parts and other parts of the oxide layer (e.g. SiO2 layer) to a vapour etchant to remove the selected ion implanted parts at a rate greater than the rate of removal of the other parts. In the case of the vapour etchant being VHF, great selectivity is thought (without being limited by theory) to be obtained because the bonds are broken by the implantation, and because HF etches SiO2 only. Other vapour etchants are included within the scope of the invention. Etch rate of implanted Si or polysilicon or other materials can be improved by etching with TMAH or HNA. By using ion implantation combined with a vapour etchant, the selectivity of the etching of the oxide layer (e.g. SiO2) can be increased compared to the known use of wet HF with ion implantation. This improved selectivity can reduce the amount of unwanted removal of the oxide layer (e.g. SiO2), which can lead to more precise manufacturing of structures, or simpler or more cost effective manufacturing can be achieved. Areas to be removed can be defined by the implantation more easily or effectively than using etch resist coverings or other methods.
An additional feature of some embodiments is the etching step comprising a vapour HF etching step. This can provide the higher selectivity to avoid or reduce the problems of unwanted removal of the other parts of the oxide layer, e.g. SiO2 layer, especially in view of the etch being isotropic. Another such additional feature is the ion implantation having a nuclear deposited energy larger than 2 x 1024 eV/cm3. This can help enable the increase in selectivity by breaking sufficient SiO2 bonds.
An additional feature is the etching step removing the selected ion implanted parts at a rate ten times greater than the rate of removal of the other parts. This is substantially greater selectivity than conventional etching without ion implantation.
An additional feature is the ion implantation being carried out in multiple different ways to implant at different depths. This can enable the rate of etching to be controlled at different depths, to vary the rate or maintain it constant over a range of depths. This helps enable more precise manufacturing of structures. Vapour HF etching has much higher etching rate of the ion implanted parts than non implanted parts, and a much higher difference in etching rates than is provided by wet HF etching. Thus etching selectivity is higher and there is less unwanted removal of non ion implanted parts.
Another such additional feature is the etching step comprising multiple cycles of etching and rinsing.
Another such additional feature is the structure being formed on a silicon-on-insulator substrate. This is a useful type of structure for many applications including MOS devices and MEMS devices.
Another such additional feature is the structure comprising a MEMS device and the method having a step of forming an actuator made of semiconductor material such as a silicon actuator part on the oxide layer (e.g. SiO2 layer) and using the etching step to release at least part of the actuator. Another such additional feature is the structure comprising an MOS device and the method having a step of using the etching step to form a trench in the oxide layer, e.g. SiO2 layer and at least partially filling the trench with another material. Such a trench is useful to improve integration to reduce the chip area taken up by a given element such as a gate of a transistor. Another such additional feature is the structure comprising an MOS device, and the method having the steps of forming a semiconductor region such as a silicon region having the oxide layer, e.g. SiO2 on opposing sides of the semiconductor region, e.g. silicon region, and using the etching step to form mutually self aligned trenches on both sides of the semiconductor region, e.g. silicon region. This is useful for a number of types of structures for which mutual alignment helps to enable the device size to be reduced or manufacturing precision or cost effectiveness to be improved. One example is in manufacture of double gate MOS devices.
Another such additional feature is the oxide layer such as SiO2 being arranged above and below the semiconductor region, e.g. silicon region, the ion implantation being arranged to extend below the semiconductor region, e.g. silicon region, to enable the mutually self aligned trenches to be etched above and below the semiconductor region, e.g. silicon region. This helps enable a planar double gate structure with gates above and below a semiconductor, e.g. silicon channel, or other structures to be achieved. Another such additional step involves filling the trenches with a material for a gate, forming an insulator around the gate, forming source and drain regions at opposing sides of the semiconductor region, e.g. silicon region, and forming contacts to the gate, source and drain regions. There are other structures that can be formed, and other ways of completing an MOS transistor device.
Another such additional feature is the structure having micro channels for micro- fluidics, and the etching step being arranged to create such micro channels. Any of the additional features can be combined together and combined with any of the aspects. Other advantages will be apparent to those skilled in the art, especially over other prior art. Numerous variations and modifications can be made without departing from the claims of the present invention. Therefore, it should be clearly understood that the form of the present invention is illustrative only and is not intended to limit the scope of the present invention.
Brief Description of the Drawings :
How the present invention may be put into effect will now be described by way of example with reference to the appended drawings, in which:
Figure 1 shows a graph of etch rate in A /min. and Argon concentration in atms/cm3 versus depth in A, Figure 2 shows a graph of etch rate in A /min. for as implanted and annealed oxide and nuclear deposited energy in eV/cm3 versus depth in A,
Figure 3 shows a graph of etch rate in function of the nuclear deposited energy for various types of implanted species,
Figure 4 shows a graph of etch rate of implanted oxide in vapour HF in function of the nuclear deposited energy,
Figures 5-a to 5-j show process steps of an alternative method for self-aligned DG MOS fabrication,
Figure 6 shows a schematic view of a structure for demonstration purposes,
Figure 7 shows results of VHF etching 30 min. at 38°C for an implanted zone, Figure 8 shows results of VHF etching 30 min. at 38°C for an unimplanted zone,
Figure 9 shows results of VHF etching 15 cycles of 2 min. etching and 3 min. 20 sec. N2 rinsing at 38°C for an implanted zone,
Figure 10 shows results of VHF 15 cycles of 2 min. etching and 3 min. 20 sec. N2 rinsing at 38°C for an unimplanted zone,
Figure 11 shows results of VHF 15 cycles of 2 min. etching and 3 min. 20 sec. N2 rinsing at 38°C for unimplanted and implanted zones,
Figure 12 shows results of VHF 7 cycles of 4 min. etching and 5 min. N2 rinsing at 380C for an implanted zone,
Figure 13 shows results of VHF 7 cycles of 4 min. etching and 5 min. N2 rinsing at
380C for an unimplanted zone,
Figure 14 shows results of VHF etching 10 min. at 520C for an implanted zone,
Figure 15 shows results of VHF etching 10 min. at 52°C for an unimplanted zone, Figure 16 shows results of VHF 3 cycles of 10 min. etching and 5 min. N2 rinsing at
52°C for an implanted zone,
Figure 17 shows results of VHF 3 cycles of 10 min. etching and 5 min. N2 rinsing at
52°C for an unimplanted zone,
Figure 18 shows typical under etch in the anchor area for a released interdigitated capacitor,
Figure 19 shows an implanted sample after VHF release,
Figure 20 shows an unimplanted sample after VHF release,
Figure 21 shows some of the principal steps according to an embodiment, and
Figure 22 shows steps in an embodiment involving forming suspended silicon wires for a gate all around device, or other device.
Detailed Description of Embodiments:
The present invention will be described with respect to particular embodiments and with reference to certain drawings but the invention is not limited thereto but only by the claims. The drawings described are only schematic and are non-limiting. In the drawings, the size of some of the elements may be exaggerated and not drawn on scale for illustrative purposes. The dimensions and the relative dimensions do not correspond to actual reductions to practice of the invention. It is to be noticed that the term "comprising", used in the claims, should not be interpreted as being restricted to the means/steps listed thereafter; it does not exclude other elements or steps. It is thus to be interpreted as specifying the presence of the stated features, integers, steps or components as referred to, but does not preclude the presence or addition of one or more other features, integers, steps or components, or groups thereof. Thus, the scope of the expression "a device comprising means A and B" should not be limited to devices consisting only of components A and B. It means that with respect to the present invention, the only relevant components of the device are A and B. The invention will now be described by a detailed description of several embodiments of the invention. It is clear that other embodiments of the invention can be configured according to the knowledge of persons skilled in the art without departing from the true spirit or technical teaching of the invention, the invention being limited only by the terms of the appended claims. Embodiments of the invention relate to process steps which may be usefully applied to the manufacturing of MEMS devices, of double gate MOS transistors and many other types of devices as well as to the devices themselves. The embodiments show process steps including implanting an oxide rather than a semiconductor, as is usually done. This oxide is therefore made very reactive to an etchant such as HF (hydrofluoric acid) in its vapour phase. It is therefore readily etched contrary to a non-implanted oxide. The implantation enables variation of the speed of etching of a same oxide and therefore on the selectivity of the etching between the implanted zones and the non-implanted zones. It is then possible to define by implantation, trenches buried (e.g. under a silicon film) in an oxide. This enables, for example, defining trenches by implantation above and below a thin Si film or other materials. Implantation through any suitable film is included within the scope of the present invention, the film preferably not being etched by HF. Alternative films include polysilicon films or films made of other semiconductor materials such as SiGe, Ge, SiC, etc. The above mentioned trenches will be auto-aligned because they are created simultaneously, with the same mask, and then, a standard MOS process can continue to make the complete MOS DG (double gate) transistor, or other device. This enables auto-aligned double gate transistors because of the creation of a mask buried in the oxide (BOX) of a SOI (silicon-on-insulator) layer. Other applications such as the relaxing of MEMS structures or the creation of sacrificial oxide layers are feasible as well. In the following the use of a silicon oxide layer is described but the present invention is not limited thereto.
The etching speed of the silicon oxide can be increased by a factor of at least 50 times or at least 100 times or at least 200 times. For example, the etch rate of unimplanted oxide is typically 10 Angstrom/min whereas the etch rate of implanted oxide can be 2000 Angstrom/min., e.g. 200 times or more, when the oxide has been treated beforehand by ionic implantation and the etching is performed by hydrofluoric acid (HF) in its vapour phase. This very high selectivity between implanted and non- implanted oxide facilitates the making of buried masks or can accelerate the relaxing speed of MEMS structures for example. Enhancement of the etching selectivity up to a value of more than 50, more than 100 or more than 200 by using a vapor etchant such as vapor HF instead of wet HF etching opens new possibilities in microelectronics and MEMS technology, making implanted oxide a better candidate for a sacrificial layer or for buried mask definition and conjointly unimplanted oxide a good etch stop layer. Manufacturing of auto-aligned double gate (DG) MOS transistors may prove a particularly commercially valuable application as DG transistors are currently seen as a very promising technology for improved scalability and performance as they develop over the next 5-10 years. Other structures may be formed exploiting the advantages of auto-aligned structures on various levels, i.e. not necessarily MOS DG transistors but for instance implementations of electrodes (MEMS) or micro-channels (micro-fluidics) auto-aligned on various levels defined by implantation and selective etching. For example the large etching selectivity between the implanted and the non-implanted oxide in gaseous HF can be used as well in order to better define the anchoring of MEMS as "cantilevers" or "clamped beams". Indeed, silicon oxide is nowadays used as a sacrificial layer for the releasing of microstructures in liquid HF (hydrofluoric acid). The oxide etching being isotropic, it is difficult to obtain with precision the non-etched zones, i.e. the anchoring. The silicon oxide can be created in many ways, e.g. 1200 nm wet thermal oxide grown at 975 °C, 1000 nm TEOS (tetraethoxysilane) deposited by a CVD process at 670 °C.
First application: Etch rate modification in ion damaged silicon dioxide
The increase in etch rate for implanted oxide has been investigated for both aqueous and for vapor forms of HF and for different implanted species like Boron, Phosphorous, Arsenic, Argon. The parameter directly linked to the etch rate modification is the nuclear deposited energy which depends on the incoming ion mass and energy, the target atoms and the implanted dose. For a nuclear deposited energy larger than say 4e24 eV/cm3 the etch rate increases drastically. It has been shown that in aqueous solution a selectivity of 4 between implanted and unimplanted oxide could be reached. The etching selectivity has been increased to a value of at least 50 times, at least 100 times or at least 200 times when etching in vapor HF. Water being a reaction product and a catalyst for oxide etching, the reaction kinetic increases much more in the implanted zone which are easily etched, than in the unimplanted zone which are etched more slowly. This new technique opens many process possibilities like buried mask creation or etch stop layer creation.
Experimental details: 3000 A thermal oxide were grown in wet ambient at 1000 °C on 3 inches p-type, <100> oriented silicon wafers. The oxide thickness was accurately measured by ellipsometry. A photolithography was done before the implantation defining implanted and unimplanted zones. Boron, Phosphorous, Argon and Arsenic were implanted at different energies and doses in the oxide layer through the photoresist mask. After implantation the resist was stripped by oxygen plasma and H2O2ZH2SO4 cleaning and the samples were diced in 1 cm2 pieces. The wet HF etching was done in 3% HF solution diluted in DI water. The vapour HF etching was performed on a GEMETEC PAD-Fume, commercially available system for wafer cleaning that was adapted according to custom specifications to enable stiction-free surface micromachining. The gas flow (nitrogen bubbling through a 49% HF solution) was 1 1/min. and the temperature was 38°C. Etchings were performed during two or five minutes, depending on the etch rate of the implanted oxide. The remaining thicknesses of implanted and unimplanted oxide were measured after etching by ellipsometry.
Wet etching example for comparison with vapour etching
Previous studies have1'2'3 shown that implanted oxide layer could be etched in buffered HF (BHF) or in diluted HF up till five times faster than unimplanted oxide. The enhancement of the etching rate is due to the highest reactivity of the Si-O bonds of oxide broken during implantation. A 3000 A thick oxide layer has been implanted with argon at energy of 160 keV and a dose of 2el4 atms/cm2. The etch rate in HF 3% was measured in function of the depth. Figure 1 superposes the Argon concentration and the etching rate in function of depth. From the surface of the oxide layer to a depth of 2000 A the etch rate has a constant value of 300 A /min. From 2000 A to 2600 A, the etch rate drops abruptly to reach a value of 100 A/min. The argon concentration, plotted in logarithmic scale, is roughly IeI 7 atms./cm3 close to the surface, and reaches a maximum of IeI 9 atms./cm3 around a depth of 1900 A. From 2600 A the argon concentration is still rather high at a value of 2el8 atms./cm3. It is thus not possible to correlate the Argon concentration to the etch rate modification.
A. Hiraiwa et al. 2 reported that the enhancement of the etch rate is due to the destruction of the Si-O bonds of the silicon dioxide. When an ion penetrates a material, it undergoes collisions with the atoms of the target until it loses its total amount of energy. In each collision the energy is transferred from the ion to the atoms. If the transferred energy is high enough, the Si-O bonds can be broken or reconstructed if they were already broken. The transferred energy is the parameter that we will use to characterize the implantation rather than the dose or the doping profile after implantation. As the mass of the electrons is 3 orders of magnitude smaller than mass of the nuclei, we consider that the implanted ion suffer collisions only with the nuclei of the silicon dioxide target. The energy transferred from the incoming ion to a nuclei of the target is called the nuclear energy loss. The nuclear deposited energy En is given by: En = Vn -D (1)
Where ηn is the nuclear energy loss and D the implanted dose. The loss of energy of the Ar ions into the oxide film was simulated with the freeware SRIM4.
Figure 2 plots the nuclear deposited energy and the etch rate for as implanted and annealed samples in function of the depth in the SiO2 layer. The nuclear deposited energy has a constant value from the surface to a depth of 1600 A and then decreases logarithmically. The nuclear deposited energy curve and the etch rate curve of the as implanted sample perfectly superpose to each other. This result demonstrates that the destruction of the Si-O bonds, characterized by the nuclear deposited energy is the mechanisms responsible for the enhancement of the etching rate. In order to check the validity of this hypothesis, the Ar implanted sample was annealed at 1000 °C under a nitrogen flow of 1.5 l./min. during 40 minutes. The plot of the measured etch rate after annealing does not show any dependence in function of the depth and the etch rate value is 80 A/min., similar as the value obtained for unimplanted oxide. The annealing step of the implanted oxide samples reconstructs the Si-O bonds and the etching selectivity of 4 that was obtained between implanted and unimplanted oxide is lost.
As the etch rate of oxide is influenced by the amount of destructed Si-O bonds and not by the implanted ions, it was tried to implant other species than argon, like boron, phosphorous and arsenic. Figure 4 plots the etch rate of oxide in HF 3% in function of the nuclear deposited energy for different implanted species.
The graph confirms that the type of implanted species has no influence on the etch rate.
The only parameter to consider is the nuclear deposited energy. A nuclear deposited energy lower than Ie23 eV/cm3 does not change the etch rate of oxide in HF 3%, i.e. 80
A/min. Above 3e24 eV/cm3, the etch rate saturates at an average value of 320 A/min.
Garrido et at. have shown that not more than 15.5% of the Si-O bonds can be broken.
Indeed they demonstrate that above a certain concentration of nuclear deposited energy a steady state occurs between formation and breaking of Si-O bonds. The etch rate of implanted oxide can be enhanced by a factor 4 when etched in HF 3%. Whatever the type of implanted species, a maximum etch selectivity of 4 was reached in HF 3%.
However, for a given implantation energy, light species will penetrate and then dissipate their energy deeper into the target layer than heavier species, but with a lower average value. Thus, if the structure of the oxide has to be modified over a given thickness, the energy will have to be higher for heavier species than for lighter species but the dose to implant for reaching a given nuclear deposited energy concentration will be lower for heavier species than for lighter ones.
Vapor HF etching Etching of implanted oxide has also been done in vapor HF. Figure 5 plots the etch rate in function of the nuclear deposited energy for an oxide film implanted with phosphorous. 3000 A thick oxide film was implanted at two different energies and doses in order to get a constant nuclear deposited energy concentration over the whole film thickness. The increase in etch rate occurs for the same concentration of nuclear deposited energy as in the case of the wet etching, but the etching selectivity between implanted and unimplanted oxide which was around 4 in the case of wet etching is in the range of 200 for vapour HF etching. Without being limited by theory, the great difference in selectivity can be explained knowing the etching mechanism of SiO2 in HF. The etching of silicon dioxide in vapour HF has been well described in previous publications6'7'8 Water plays a key role in the etching. Its presence is necessary to start the reaction. First of all, HF is decomposed into a H+ ion and a F" ion due to the presence of water:
HF + H2O → F~ + H+ - H2O „) The fluorine ion binds itself to HF: HF + F- → HF2- p.
HF2- is the ion responsible for the oxide etching: SiO2 + 3HF2- + H+ → SiF6 " + 2H2 O (4)
The reaction produces water and fluosilicic acid. The fluosilicic acid undergoes the following reaction:
2H+ + SiF6 " → H2 SiF6 (5)
H2 SiF6 → 2HF + SiF4 (6) The SiF4 evaporates and HF is reused by the reaction.
Fluosilicic acid can also attack the oxide following the reaction:
55/F6 " + 4H+ + SiO2 → 3(SiF6.SiF4 )" + 2H2 O (?)
O * C —
However l 6 is easily recombined in presence of H+ ions into H^SYFό so that the last equation is of minor importance in the etching of silicon dioxide. As described above in vapour HF, the etching mechanism needs water to initiate the reaction. Condensation has to occur on the wafer surface for starting the reaction. Once the reaction has started, water is produced by the reaction and enhances the etch rate. The etch rate will strongly depend on the amount of water produced by the etching. This is a kind of avalanche effect. In the case of the wet etching, the quantity of water as reaction product is negligible in comparison to the water quantity in which HF is diluted and therefore does not influence the kinetic of the reaction. The etch rate mainly depends on the HF, HF2- and broken bonds concentration, but not on the reaction byproducts. In the case of vapour etch, water is the limiting factor of the reaction kinetic. Some water comes from condensation but after a transition step the main origin of the water is from reaction by-products. The avalanche effect will thus be multiplied by the highest reactivity of the oxide due to the presence of broken bonds and the fact that more water is produced in the damaged zone than in the non-implanted oxide. Selectivity of 200 can thus be achieved.
Conclusions for the first application
The etch rate increase of implanted oxide has been verified in diluted HF for various implanted species. The parameter which control the etch rate is the nuclear deposited energy, En which depends on the atoms of the target, the mass and the dose of the implanted ions. For a nuclear deposited energy lower than Ie23 eV/cm3, the etch rate is not modified and for a nuclear deposited energy larger than 3e24 EV/cm3, the etch rate reaches its maximum value. Light or heavy ions can both be implanted. Heavy ions will have a shallow penetration while the light ions will penetrate deeper in the film. To reach the same level of nuclear deposited energy, higher dose has to be considered for light ions as their nuclear energy loss is lower than for heavy ions. In the case of implantation through a silicon film, silicon or argon can be implanted to avoid contamination. The use of vapour HF etching instead of diluted HF increases the selectivity from 4 to at least 50times, at least 100 times; or at least 200 times. The dissolution of silicon dioxide in HF is an autocatalytic reaction which only needs water to be initiated. In vapour HF etching, the water produced by the reaction will be preponderant compare to the total amount of water taking part to the reaction yielding to an avalanche effect and a drastically increases of the etch rate. This very high selectivity opens very promising applications such as buried mask patterning in oxide and definition of sacrificial layers.
Application 2: Buried mask revelation in silicon dioxide for double gate MOS fabrication, Fig 5
A new process for self-aligned double gate MOS fabrication is proposed. The milestone of this process is the revelation of a buried mask in the BOX of a SOI wafer and in a thermal oxide layer on top of active silicon film. The revelation of the buried mask makes use of the highly selective etching of implanted oxide in VHF. The etching mechanism of VHE etching of oxide is presented and the influence of the VHF etching parameters like temperature and etching time are discussed to prove the feasibility of this process step in order to integrate it in the whole process. The method proposed here helps avoid the two problems of previous self-alignment techniques discussed above, but keeps the self alignment by implantation of a buried mask. A selective etching of oxide has been developed which enables the bottom gate to be defined directly in the BOX. The process flow is presented hereafter. The oxide buried mask is based on the etching selectivity of implanted oxide. The process steps are described with reference to Figures 5-a to 5-j.
A conventional SOI wafer (Figure 5-a) is oxidized (Figure 5-b) and the gates are patterned by lithography. An implant is performed through the mask in the top oxide layer and in the BOX layer to define a sacrificial buried mask for the top and bottom gates (Figure 5-c). To insulate the devices from each other, the choice of a MESA process was made for this example, other conventional techniques are feasible. The active zones are defined by lithography and RIE of the top oxide layer and the SOI layer. The RIE stops on the BOX (Figure 5-d). The etching of the implanted oxide is done in vapor HF and yields two self-aligned cavities (Figure 5-e). This leaves a free standing silicon bar, which will be the future channel of the transistor. The gate oxide is then grown and the channel implant is performed before the polysilicon gate deposition (Figure 5-f). The filling of the bottom cavity has been proven to be successful14 for double gate transistors with a ratio W/L of 60 μm/3 μm. The gate is defined by RIE in an SF6 based plasma (Figure 5-g). The top oxide layer is removed by RIE in a CF4/H2 in the source and drain area. To avoid misalignment problems, the source and drain area is defined 2 μm smaller in x and y direction than the active zone (Figure 5-h). Once the source and drain implantation has been performed, the passivation layer is deposited and the contact holes are opened by RIE (Figure 5-i). The metallization to create contacts for the various regions then ends the process (Figure 5-j). The critical step of this technique is the revelation of the buried mask in oxide layers surrounding the thin active Si layer. The next part below describes the etching mechanism of implanted oxide in more detail.
Etching selectivity of implanted oxide When an ion penetrates a material, it undergoes collisions with the nuclei of the target until it lots its total amount of energy. In each collision the energy is transferred from the ion to the nuclei. The energy transferred is called the nuclear deposited energy, En. This energy transfer can be sufficient to break the valence biding between the atoms of the target, or to reconstruct this binding if it was broken. When silicon dioxide is implanted the breaking of the Si-O bonds is balanced by the recombination when the proportion of destruction bonds reaches 15%' The destructed Si-O bonds present a highest reactivity to HF etching5'15 The etch rate increase of implanted oxide has been verified in diluted HF1' ' for various implanted species. The nuclear deposited energy is strongly linked to the etch rate modification due to implantation. It is calculated by multiplying the nuclear energy loss ηn by the dose D. En = Vn-D ηn depends on the atoms of the target and on the mass of the implanted ions. ηn has been calculated using the freeware SRIM. As the etch rate measurement is averaged over the whole film thickness, the implantation has been performed at two different doses and energy to ensure a constant nuclear deposited energy over the whole film thickness. Phosphorous has been implanted at 60 keV for 16.5% of the total dose and at 190 keV for 83.5% of the total dose. A total dose of 2el3 atms./cm2 yielding an average nuclear deposited energy of 5e22 eV/cm3. The total implantation range varies from 2el3 atms./cm2 to 5el5 atms./cm2, yielding an nuclear deposited energy range between 5e22 and 2e25 eV/cm3.
Figure 4 gives the etch rate in function of nuclear implanted energy for Boron and Phosphorous ions implanted in a 3000 A thick oxide layer. For a nuclear deposited energy lower than Ie23 eV/cm3 the etch rate is not modified but for a nuclear deposited energy larger than 1 e25 eV/cm3 the etch rate does not increase any more, the proportion of 15% of broken bonds being reached. Light or heavy ions can both be implanted. Heavy ions will have a shallow penetration in the film while the light ions will penetrate deeper in the film. To reach the same level of nuclear deposited energy, the dose for light ions has to be increased as their nuclear energy loss is lower than for heavy ions.
Demonstration of mutually self aligned cavities
Vapor HF etching being highly selective, it is a good candidate to reveal the buried mask defined by implantation in oxide. When the buried mask has to be revealed, cycling of VHF etching and nitrogen rinsing steps are preferably introduced in order to maintain a good etching selectivity. A demonstration of two self aligned cavities above and below the SOI film was made using selective etching of implanted oxide. Starting from a standard SOI wafer from the company SOITEC, on top of this 1960 A of oxide was grown in wet ambient at 1000 °C during 19 minutes. The final structure had the following thicknesses: BOX 4000 A / SOI 820 A / thermal oxide 1960 A. A dark field photolithography was performed to define the gates dimension (length and width). Implanting silicon would have the great advantage that the SOI film would not be contaminated, however for the demonstration phosphorous was used which has an atomic weight close to the one of silicon. The implantation dose and energy were calculated with the software SRIM4 in such a way that the nuclear deposited energy was higher than 4e24 eV/cm3 in the top oxide layer and in the 2000 A from the BOX close to the SOI. High energy implantation was done at 290 keV at a dose of IeI 5 atm/cm2, the low energy implantation was done at a 150 keV at a dose of 2el4 atm/cm2. After implantation the resist was stripped by oxygen plasma and H2O2/H2SO4 cleaning. A second photolithography was done to define the opening to access the implanted BOX after a RIE step. The top and buried oxide layers were etched in a CF4/H2 plasma, the SOI layer in a SF6 plasma. The resist was strip by oxygen plasma and H2O2/H2SO4 cleaning after RIE. Figure 6 shows in schematic form a cross section of the demonstrator.
The vapour HF etching was performed on a GEMETEC PAD-Fume, commercially available system for wafer cleaning that was adapted according to custom specifications to enable stiction-free surface micromachining. The gas flow (nitrogen bubbling through a 49% HF solution) was set to 1 1/min. Etching were performed either in one time or in short steps between which N2 rinsing was done. The temperature was varied from 38°C to 52°C. Figure 7 and 8 show SEM cross sections of the demonstrator after 30 min. VHF etching at 38°C for both implanted and unimplanted area. The top oxide is oxide is totally etched in the both cases. The underetch is 1.5 μm in the unimplanted zone and 4 μm in the implanted zone. The selectivity is very bad, as in case of wet etching. Although this result is poor, it brings important information. After a too long etching time, a large amount of water is produced and condenses over the whole wafer, and thus transforms the etching into wet etching.
To overcome this effect and keep the selectivity due to the etching in VHF, the etching was performed in short steps between which N2 rinsing was done. The rinsing steps in between the etching steps allows the water produced during etching to evaporate and avoid any condensation on the oxide which has not been implanted. Figures 9 and 10 shows SEM cross section after 15 cycles of 2 min. etching and 3 min. 20 sec. N2 rinsing.
The result is here very good. There is no underetch in the unimplanted area and the top oxide is also preserved. In the implanted area the underetch is 1 μm and the top oxide is totally removed. Figure 10 shows the respective size of the top and bottom cavities. The top cavity is roughly 700 run larger than the bottom cavity. A hypothesis is that the lateral scattering of the energy loss is high close to the surface but it was not possible to verify it by simulation. The etching was also tried with longer etching steps. Figure 12 and 13 shows SEM cros- section of the demonstrator which suffered 7 cycling of 4 minutes etching and 3 min. 30 sec. N2 rinsing. Although the total etching time was almost the same as for the 2 min. cycles, the underetch is here 3.2 μm in the implanted zone instead of 1 μm previously.
The larger the etching time, the more water condensate appears on the oxide surface and thus enhances the etch rate, but in this case the top oxide is also slightly attacked, which is not a good result.
The etching time during each cycle is a notable parameter. 2 min. is a good value.
Etchings at 52°C were also performed, as at higher temperature less water condenses.
Figures 14 and 15 shows SEM cross section of the demonstrator etched during 10 minutes at 52°C. This time and temperature combination gives very good results. The underetch is 3.1 μm in the implanted zone and almost null in the unimplanted zone where the top oxide is also totally preserved.
An attempt was made to perform 3 cycles of 10 minutes etching with 5 minutes N2 rinsing at 52°C. Figure 16 and 17 shows the SEM cross section. This combination of parameters does not give such good results. The top oxide in the unimplanted zone is totally removed. The rinsing time might be too short to evaporate all the water condensed on the surface.
Conclusions for the second application A new process for self-aligned double gate fabrication in SOI technology has been disclosed above. It is based on the revelation of a buried mask in a thermal oxide grown on top of a SOI wafer and in the BOX. The revelation of the buried mask makes use of the very high selectivity of VHF etching between implanted and unimplanted oxide. The VHF etching parameter like temperature and etching have been tuned to demonstrate the feasibility of the critical step which is the buried mask revelation. Temperature of 52°C allows etching time of 10 minutes and keeps the selectivity at a high value. Temperature of 380C has to be performed during time of 2 minutes directly followed by a N2 rinsing. Longer etching time at this temperature decreases the selectivity because too much water condensate on the wafer surface and change the behavior of the etching in a wet-like etching. Etching time of 10 min. at 52°C or cycling with etching steps of 2 min. and rinsing time of 3 min. at 38°C are a good set of parameters for VHF revelation of the buried mask. This process step can be readily integrated in the self-aligned double gate MOS process.
Application 3: MEMS underetch reduction by highly selective etching of oxide
Most of the SOI MEMS use the BOX layer as sacrificial layer to release beams and microstructures. This release is done in vapor HF based chemistry without implantation. Vapor HF has the advantage of avoiding stiction problems. The drawback of this technique is that the under etch in the anchor area is as large as the half of the largest dimension of the beam to release. A highly selective etching method of silicon dioxide has been developed to overcome this problem. Ion implantation in oxide increases by a factor of 200 the etch rate of oxide in vapor HF. By implanting the zone to release, the under etch can be avoided in the anchor area, which is not implanted. As the modification in etch rate arises from the destruction of the Si-O bonds during implantation, any species can be implanted, as for example phosphorous and boron but also argon or silicon, which do not contaminate the top film through which the implantation is done. Figures 18-20 describe the etching mechanism of implanted silicon dioxide in wet and vapor HF chemistry and gives examples of reduced under etch for particular etching conditions.
The improvement in etch rate was done by etching the implanted silicon in vapor HF. It was performed on a GEMETEC PAD-Fume. The gas flow (nitrogen bubbling through a 49% HF solution) was 1 1/min. and the temperature was 38°C. Fig. 3 shows the etch rate for phosphorous and boron implanted samples in function of the nuclear deposited energy.
The very high etching selectivity solves or reduces the problem of under etching when releasing a MEMS structure. Fig. 18 shows a typical under etch for a released array of beams. By performing before the release an implantation of sufficient dose and energy in the oxide to be released, one can practically suppress the under etch. A demonstrator was made of a SOI wafer from which the BOX was implanted through the silicon film with phosphorous at a dose of 3.2el5 atm./cm2 and an energy of 150 keV, yielding a nuclear deposited energy of 4e24 eV/cm3. Openings were made by RIE in the silicon film to reach the BOX. Fig. 19 and 20 show respectively an implanted and unimplanted sample after 7 cycles of 4' VHF etching at 38°C and 3' N2 rinsing. 4 μm were etched in the case of the implanted sample and nothing in the case of the unimplanted sample. It is a very good result to suppress the under etch which occurs when releasing MEMS structure. This technique has a variety of applications including creation of cavities in a buried film and is a good candidate to fabricate self aligned double gate MOS using a buried mask in the BOX of a SOI wafer. However this process does not support any thermal budget as an annealing will recombine the destructed Si-O bonds and thus will not affect the etch rate of HF any more.
Figure 21 shows an overview of some principal steps according to an embodiment for any of the applications. Step 100 shows forming an SiO2 layer on a substrate. Step 110 represents optional other steps in the manufacturing process. Step 120 shows ion implantation into selected parts of the SiO2. Step 130 shows optional other steps provided there is no thermal annealing. A selective etch to remove ion implanted parts of SiO2 at greater rate than removal of non implanted parts is carried out at step 140. At step 150 optional other steps according to the application are carried out to complete the device.
Fig 22, application to suspended silicon wires
Figure 22 shows a further application. The use of the definition of a trench in the BOX of a SOI wafer by implantation and vapour HF etching developing can be used not only for the manufacture of a double-gate MOSFET transistor but also for a quadruple-gate (also called Gate-Ail-Around (GAA)). As illustrated in Figure 22 a technique for making suspended silicon wires (which can be thin enough to be called nanowires) can be applied with a defined buried trench perfectly aligned to the top implantation mask. The implanted buried oxide is removed selectively in vapour HF. Figure 22 shows steps in the fabrication of suspended Silicon nanowires in accordance with an embodiment of the present invention above a revealed trench in the BOX of a SOI wafer self-aligned to the polysilicon implantation mask.
A first step is to provide a silicon layer on an oxide layer on a substrate. The top silicon layer is etched to provide a pattern of wires to be suspended. A next step is removal of HSQ (hydrogen silsequioxane) Subsequently a chemical vapour deposition step is used to deposit an oxide layer covering the pattern such as a PECVD oxide layer. A thicker layer of polysilicon is deposited on top, followed by a second CVD oxide layer such as a PECVD oxide layer. After application of a resist layer, e.g. a PMMA spin coating, and developing of the resist, an etch step is carried out of the PECVD oxide layer to thereby etch the second oxide layer in the region of the pattern of wires. The resist layer, e.g. PMMA, is then removed, and a polysilicon etch step is carried out to etch the polysilicon above the pattern of wires. Then a further etch is carried out to remove the second oxide layer, and to remove the first oxide layer in the region of the pattern of wires. The buried oxide can then be implanted in the region of the pattern of wires, as has been described above. The dimensions of the nanowires can be characterized by: a height and a width of a few nm (e.g. 2-12nm) to a few tens of nm (e.g. 30-100nm), and a length of a few tens of nm (e.g. 10 to lOOnm) depending on the channel length of transistor to build. For GAA transistors, for example, that will be of interest for MOSFETs, these have a channel length well below 100 nm (i.e. 30 nm and below). In this embodiment polysilicon can be used for the implantation mask but the present invention is not limited thereto. The purpose of the implantation mask is to stop the implanted ions to protect the silicon area. Other materials could be used, such as silicon nitride, silicon dioxide, a metal layer, etc. Also the nanowires, can be made of silicon but they could be also made from other semiconductor materials such as SiGe, Ge, SiC, etc. For the gate stack, silicon dioxide may be used for the insulator and polysilicon for the gate material, but a high-k dielectric layer and a metallic gate can be included into the proposed GAA process.
The last part of fig 22 shows the result of a subsequent reveal step, to leave suspended silicon nanowires over a revealed narrow trench in BOX defined by implantation and vapour selective etching, e.g. HF vapour etching. When nanowires or a trench or cavity have to be revealed, cycling of VHF etching and nitorgen rinsing steps are preferably introduced in order to maintain a good etching selectivity.
After the revelation of the implanted oxide in etching vapour, e.g. HF, the gate dielectric layer can be grown (e.g. a thermal silicon dioxide) or conformly deposited (using an Atomic Layer Deposition machine for instance, for high-k materials) as well as the gate material (doped polysilicon or mid-gap metal gate) all around the suspended silicon nanowires. After the metal gate deposition, a Chemical Mechanical Polishing (CMP) step can be used for removing the metal layer outside the transistor channel, using the implantation mask as polishing stop layer. The implantation mask is then selectively removed, the source/drain regions implantation is performed and metallic interconnections are made to finalize the fabrication of GAA or quadruple gate self- aligned MOSFETs.
Silicidation (resistive or Schottky contacts) of the source and drain contacts could be also introduced.
Other remarks: Other embodiments can be envisaged, and other conditions or process steps can be used. For the VHF etching, other temperatures, pre-heat times, cycle times, flow rates, HF concentrations, etch times and rinse parameters can be used to suit the application as appropriate.
References
1 C. Dominguez, B. Garrido, J. Montserrat, J. R. Morante and J. Samitier, Etching rate modification in silicon dioxide by ion implantation and rapid thermal annealing, Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, Volumes 80-81, Part 2, pp. 1367-1370 (1993)
2 A. Hiraiwa, H. Usui, K. Yagi, Novel characterization of implant damage in Siθ2 by nuclear deposited energy, Applied Physical Letters, Volume 54, Part 12, (1989)
3 L. Liu, K.L. Pey, P. Foo, HF wet etching of oxide after ion implantation., Electron Devices Meeting, 29 June 1996, IEEE Hong Kong, pp. 17-20, (1996).
Figure imgf000024_0001
5 B. Garrido, J. Samitier, S. Bota, C. Dominguez, J. Montserrat, J.R. Morante, Structural damage and defects created in SiO 2 films by Ar implantation, Journal of non crystalline Solids, No 187, pp. 101-105, (1995) 6 D. F. Weston, RJ. Mattox, HF vapour phase etching (HF/VPE): Production viability or semiconductor manufacturing and reaction model, Journal of vacuum science and technology, No 17, Volume 1, pp. 466-469, (1980)
7 P.J. Holmes, J. E. Snell, A vapour etching technique for the photolithography of silicon dioxide, Microelectronics and reliability, Volume 5, pp. 337-341 , (1966)
8 CR. Helms, B. E. Deal, Mechanism of the HF/H2O vapor phase etching ofSiθ2, Journal of vacuum science and technology A, No 10, Volume 4, (1992) 9 M. Yoshimi, Solid-State Electronics, Vol. 46, (2002), pp. 951-958
10 Y. Li et al, Solid-State Electronics, Vol. 47, (2003), pp. 1111-1115
11 M. Cass et al, Solid-State Electronics, Vol. 48, (2004), pp. 1243-1247
12 TJ. Walls et al., Solid-State Electronics, Vol. 48, (2004), pp. 857-865
13 R.Charavel, J. -P. Raskin, Self-alignment method by buried mask implantation for double gate and nano devices fabrication, SPIE, Vol. #5592, 2004.
14 Ref MING
15 P. Mazzoldi, A. Camera, F. Caccavale, and M. L. Favaro, N and Ar ion-implantation effects in Siθ2 films on Si single-crystal substrates, Journal of applied physics, Volume 70, No 7, pp.3528-3536, (1991)

Claims

Claims:
1. A method of manufacturing a structure having an oxide layer, having the steps of carrying out an ion implantation (120) of selected parts of the oxide layer, carrying out an etching (140) by exposing the selected parts and other parts of the oxide layerto a vapour etchant to remove the selected ion implanted parts at a rate greater than the rate of removal of the other parts.
2. The method of claim 1 wherein the oxide layer is a silicon dioxide layer.
3. The method of claim 2, the etching step comprising a vapour HF etching step.
4. The method of any of claims 1 to 3, the ion implantation having a nuclear deposited energy larger than 2 x 1024 eV/cm3.
5. The method of any preceding claim, the etching step removing the selected ion implanted parts at a rate at least ten times greater than the rate of removal of the other parts.
6. The method of any preceding claim, the ion implantation being carried out in multiple different ways to implant at different depths.
7. The method of any preceding claim, the etching step comprising multiple cycles of etching and rinsing.
8. The method of any preceding claim, the structure being formed on a silicon-on- insulator substrate.
9. The method of any preceding claim, the structure comprising a MEMS device and the method having a step of forming a semiconductor actuator on the oxide layer and using the etching step to release at least part of the actuator.
10. The method of any preceding claim, the structure comprising an MOS device and the method having a step of using the etching step to form a trench in the oxide layer and at least partially filling the trench with another material.
11. The method of any preceding claim, the structure comprising an MOS device, and the method having the steps of forming a semiconductor region having the oxide layer on opposing sides of the semiconductor region, and using the etching step to form mutually self aligned trenches in the oxide layer on both sides of the semiconductor region.
12. The method of claim 11, the oxide layer being arranged above and below the semiconductor region, the ion implantation being arranged to extend below the semiconductor region to enable the mutually self aligned trenches to be etched above and below the semiconductor region.
13. The method of claim 12, having the step of filling the trenches with a material for a gate, forming an insulator around the gate, forming source and drain regions at opposing sides of the silicon region, and forming contacts to the gate, source and drain regions.
14. The method of any of claims 1 to 8, the structure having micro channels for micro-fluidics, and the etching step being arranged to create such micro channels.
15. The method of any preceding claim, having the step of forming one or more semicoductor wires, and using the etching step to leave the wire or wires suspended.
16. The method of claim 15, having the steps of forming a gate material around the suspended semiconductor wire or wires, to form a self-aligned gate all around device.
PCT/EP2007/000413 2006-01-18 2007-01-18 Selective etching for semiconductor devices WO2007082745A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP06447011.5 2006-01-18
EP06447011 2006-01-18

Publications (1)

Publication Number Publication Date
WO2007082745A1 true WO2007082745A1 (en) 2007-07-26

Family

ID=38038479

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2007/000413 WO2007082745A1 (en) 2006-01-18 2007-01-18 Selective etching for semiconductor devices

Country Status (1)

Country Link
WO (1) WO2007082745A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9831324B1 (en) 2016-08-12 2017-11-28 International Business Machines Corporation Self-aligned inner-spacer replacement process using implantation
CN107910750A (en) * 2017-06-28 2018-04-13 超晶科技(北京)有限公司 A kind of preparation method of semiconductor laser material
EP3234589A4 (en) * 2014-12-17 2018-08-15 Robert Bosch GmbH A mems gas chromatograph and method of forming a separator column for a mems gas chromatograph
CN110868174A (en) * 2019-04-23 2020-03-06 中国电子科技集团公司第十三研究所 Acoustic resonator and filter
US10658180B1 (en) 2018-11-01 2020-05-19 International Business Machines Corporation EUV pattern transfer with ion implantation and reduced impact of resist residue
KR20210018548A (en) * 2018-07-09 2021-02-17 어플라이드 머티어리얼스, 인코포레이티드 Patterning method to improve EUV resist and hard mask selectivity
US11676823B2 (en) 2021-08-26 2023-06-13 United Microelectronics Corp. Method for fabricating semiconductor device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0148448A2 (en) * 1983-12-16 1985-07-17 Hitachi, Ltd. Etching method
EP0637066A1 (en) * 1993-06-29 1995-02-01 Digital Equipment Corporation Process for increasing sacrificial oxide layer etch rate to reduce field oxide loss, using a step of ionic implantation
US5817580A (en) * 1996-02-08 1998-10-06 Micron Technology, Inc. Method of etching silicon dioxide
US20030003761A1 (en) * 2000-08-11 2003-01-02 Yun-Kuei Yang Method of forming opening in dielectric layer
FR2849269A1 (en) * 2002-12-20 2004-06-25 Soitec Silicon On Insulator Production of semiconductor structure with cavities in silicon substrate, comprises atomic implantation across buried insulating layer

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0148448A2 (en) * 1983-12-16 1985-07-17 Hitachi, Ltd. Etching method
EP0637066A1 (en) * 1993-06-29 1995-02-01 Digital Equipment Corporation Process for increasing sacrificial oxide layer etch rate to reduce field oxide loss, using a step of ionic implantation
US5817580A (en) * 1996-02-08 1998-10-06 Micron Technology, Inc. Method of etching silicon dioxide
US20030003761A1 (en) * 2000-08-11 2003-01-02 Yun-Kuei Yang Method of forming opening in dielectric layer
FR2849269A1 (en) * 2002-12-20 2004-06-25 Soitec Silicon On Insulator Production of semiconductor structure with cavities in silicon substrate, comprises atomic implantation across buried insulating layer

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3234589A4 (en) * 2014-12-17 2018-08-15 Robert Bosch GmbH A mems gas chromatograph and method of forming a separator column for a mems gas chromatograph
US10276695B2 (en) 2016-08-12 2019-04-30 International Business Machines Corporation Self-aligned inner-spacer replacement process using implantation
US10411120B2 (en) 2016-08-12 2019-09-10 International Business Machines Corporation Self-aligned inner-spacer replacement process using implantation
US9831324B1 (en) 2016-08-12 2017-11-28 International Business Machines Corporation Self-aligned inner-spacer replacement process using implantation
CN107910750B (en) * 2017-06-28 2021-04-16 超晶科技(北京)有限公司 Preparation method of semiconductor laser material
CN107910750A (en) * 2017-06-28 2018-04-13 超晶科技(北京)有限公司 A kind of preparation method of semiconductor laser material
KR102532238B1 (en) 2018-07-09 2023-05-16 어플라이드 머티어리얼스, 인코포레이티드 A patterning approach to improve EUV resist and hard mask selectivity
KR20210018548A (en) * 2018-07-09 2021-02-17 어플라이드 머티어리얼스, 인코포레이티드 Patterning method to improve EUV resist and hard mask selectivity
US10658180B1 (en) 2018-11-01 2020-05-19 International Business Machines Corporation EUV pattern transfer with ion implantation and reduced impact of resist residue
US11031246B2 (en) 2018-11-01 2021-06-08 International Business Machines Corporation EUV pattern transfer with ion implantation and reduced impact of resist residue
CN110868174A (en) * 2019-04-23 2020-03-06 中国电子科技集团公司第十三研究所 Acoustic resonator and filter
CN110868174B (en) * 2019-04-23 2023-08-04 中国电子科技集团公司第十三研究所 Acoustic resonator and filter
US11676823B2 (en) 2021-08-26 2023-06-13 United Microelectronics Corp. Method for fabricating semiconductor device

Similar Documents

Publication Publication Date Title
KR101729439B1 (en) Finfet with buried insulator layer and method for forming
KR101888306B1 (en) Semiconductor device including fin structures and manufacturing method thereof
KR100838853B1 (en) A method of varying etch selectivities of a film
CN104103520B (en) Form the method and FinFET structure of fin FET device
US7741230B2 (en) Highly-selective metal etchants
CN110828367B (en) Method for forming thin semiconductor-on-insulator (SOI) substrate
WO2007082745A1 (en) Selective etching for semiconductor devices
KR20130137508A (en) Method of semiconductor integrated circuit fabrication
TW201724217A (en) Semiconductor structure and manufacturing method thereof
JP4629781B2 (en) Rotational shear stress for charge carrier mobility correction
US20150270341A1 (en) Method for manufacturing fin structure of finfet
US20160322259A1 (en) Graphoepitaxy directed self-assembly process for semiconductor fin formation
US10497627B2 (en) Method of manufacturing a dopant transistor located vertically on the gate
WO2014029150A1 (en) Semiconductor structure and manufacturing method therefor
CN103730404B (en) The manufacturing method of shallow trench isolation
US10347545B2 (en) Method for producing on the same transistors substrate having different characteristics
CN109698238A (en) A kind of manufacturing method of semiconductor devices
CN103545185B (en) A kind of method that use dummy grid manufactures semiconductor devices
TWI616978B (en) Method for defining an isolation region(s) of a semiconductor structure
US9147750B2 (en) Process for fabricating a transistor comprising nanoscale semiconductor features using block copolymers
CN104347413B (en) A kind of method making FinFET semiconductor device
TWI241632B (en) Method for fabricating poly patterns
US11967633B2 (en) Method for fabricating a doped region of a microelectronic device
CN103094108B (en) The manufacture method of semiconductor device
Charavel et al. Buried Mask Revelation in Silicon Dioxide for Double Gate MOS Fabrication

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07711353

Country of ref document: EP

Kind code of ref document: A1