KR102637883B1 - 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용 - Google Patents

기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용 Download PDF

Info

Publication number
KR102637883B1
KR102637883B1 KR1020160131391A KR20160131391A KR102637883B1 KR 102637883 B1 KR102637883 B1 KR 102637883B1 KR 1020160131391 A KR1020160131391 A KR 1020160131391A KR 20160131391 A KR20160131391 A KR 20160131391A KR 102637883 B1 KR102637883 B1 KR 102637883B1
Authority
KR
South Korea
Prior art keywords
layer
component
core
stripe
photoresist
Prior art date
Application number
KR1020160131391A
Other languages
English (en)
Other versions
KR20170069909A (ko
Inventor
본 테익 찬
아르준 싱
Original Assignee
아이엠이씨 브이제트더블유
카톨리에케 유니버시테이트 루벤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아이엠이씨 브이제트더블유, 카톨리에케 유니버시테이트 루벤 filed Critical 아이엠이씨 브이제트더블유
Publication of KR20170069909A publication Critical patent/KR20170069909A/ko
Application granted granted Critical
Publication of KR102637883B1 publication Critical patent/KR102637883B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28141Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/469Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers
    • H01L21/47Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/06Polymers
    • H01L2924/0645Block copolymer

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

패턴 형성 방법으로서,
- 복수의 평행 스트라이프 포토레지스트 구조체들을 한정하는 패터닝된 포토레지스트층을 제공하는 단계;
- 평행 스트라이프 포토레지스트 구조체들 사이에 블록 코폴리머를 제공하는 단계;
- 블록 코폴리머를, 제1성분 및 상기 제2성분의 상분리를 일으키는 소정의 조건에 두는 단계 - 상기 블록 코폴리머 및 상기 소정의 조건은, 2개의 인접한 평행 스트라이프 포토레지스트 구조체들 사이의 중앙 위치에 상기 제1성분의 하나의 맨드릴 구조체를 포함하는 층상 구조체를 형성하도록 결정되고, 상기 하나의 맨드릴 구조체는 제2성분의 평행 스트라이프 구조체에 의해 상기 2개의 인접한 평행 스트라이프 포토레지스트 구조체들로부터 분리되는 것임 -;
- 상기 제1성분의 상기 맨드릴 구조체 상에 연속 침입 합성(sis) 공정을 수행하는 단계;
- 상기 평행 스트라이프 포토레지스트 구조체들 및 상기 제2성분의 평행 스트라이프 구조체를 선택적으로 제거하는 단계;
- 상기 제1성분의 상기 맨드릴 구조체를 마스크로서 사용하여 상기 복합 기판에 존재하는 코어층 내에 코어 스트라이프 구조체를 한정하는 단계; 및
- 상기 코어 스트라이프 구조체를 코어로서 사용하여 자체 배열 다중 패터닝 공정을 수행하는 단계;를 포함한다.
또한, 방법의 관련 반도체 장치 및 이용이 개시된다.

Description

기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용{A METHOD FOR PATTERN FORMATION ON A SUBSTRATE, ASSOCIATED SEMICONDUCTOR DEVICES AND USES OF THE METHOD}
본 개시 내용은 기판 상의 패턴 형성 방법 및 관련 반도체 장치에 관한 것이다.
감광성 폴리머층 내에서 포토리소그래피의 이미지 형성 후에 한정된 포토레지스트 패턴의 기타 물질로의 플라즈마 기반 전달은, 마이크로 및 나노미터 크기의 전자 피처(feature)를 생성하는 데에 상당히 성공적이었다. 이에 의해, 새로운 포토리소그래피 시스템, 적합한 포토폴리머 레지스트, 및 혁신적인 에칭 장치 및 공정과 같은 물질 및 패터닝 기술의 지속적인 발전이 요구되고 있다.
패터닝된 구조체에 대한 임계 치수(CD)는, 패터닝된 구조체, 예를 들면, 패터닝된 라인의 폭에 관한 것이다. 라인 폭의 변화는 라인 폭 러프니스(LWR) 값에 의해서 표시된다. 이상적인 경우에 대해 라인의 에지 변화는 라인 에지 러프니스(LER)로서 표시된다. 광학 리소그래피에서는, 일반적으로 다음의 LWR과 LER 사이의 상관관계가 사용된다:
σLWR=21/2 ×σLER;
여기서, σ는 표준 편차를 나타낸다.
20 nm 미만의 제조 기술에서는, 포토레지스트 물질의 라디칼 변화가 발생하고, 따라서 이러한 기술은 라인 폭 및 라인 에지 러프니스(LWR 및 LER)의 조절과 같은 많은 새로운 과제에 직면하고 있다. 라인 폭 러프니스 및 라인 에지 러프니스의 효과는, 피처의 치수가 작아짐에 따라 중요해지고, LWR 및 LER의 조절에 의해 주요한 스케일링 문제가 발생한다. 라인의 세그먼트에 따른 3σ 임계 치수(CD) 변화로서 정의된 이러한 라인 폭 러프니스는, 트랜지스터 성능에 대해 큰 영향을 미친다. 또한, LWR이 증가하면, 회로 안정성에 영향을 미칠 수 있는 장치 성능의 변화도 또한 커진다. 10% 게이트 CD 기준이 제공되는 경우, 20 nm 노드 기술에 의해 제조된 장치는, 2 nm 이하 또는 1 nm 이하의 최대 허용 가능한 LWR을 갖는 것이 요구된다. EUV 리소그래피를 사용하여 포토레지스트 내에서 달성될 수 있는 현재 최고의 LWR은 약 3 내지 4 nm이다. 장치 성능의 영향을 최소화하기 위해서 패터닝된 구조체의 LWR의 실질적인 개선이 요구된다.
또한, 193 nm 액침 리소그래피를 사용하는 자체-배열된 이중(또는 다중) 패터닝(SAD(M)P)에서는, 리소그래피 현상 후 높은 LWR 및 LWR 값을 갖는다.
종래 기술에서, 플라즈마 처리는, LWR/LER을 줄이기 위한 노력으로 이러한 포토레지스트 피처를 스무딩(smoothening)하기 위해 패터닝된 포토레지스트 피처에 HBr 또는 H2 플라즈마를 사용하여 적용될 수 있다. 그러나, 이러한 접근 방법은, 상기 공정 흐름에 추가의 플라즈마 단계를 도입한다.
이는, LWR 및 LER을 더욱 줄이기 위해서, 특히 20 nm 미만의 기술에 대한 새로운 기술이 요구된다.
본 개시 내용의 목적은, 패턴 구조체의 LWR 및 LER을 줄이기 위해서, 특히 20 nm 미만의 기술에 대한 기판 상의 패턴 형성 방법을 제공하는 것이다.
이러한 목적은, 제1독립항의 기술적 특징을 나타내는 방법을 갖는 본 개시 내용에 따라서 달성된다.
이러한 방법의 관련된 장치 및 이용이 또한 개시된다.
본 개시 내용의 제1형태에서, 패턴 형성 방법으로서,
- 복합 기판 상에 포토레지스트층을 제공하는 단계;
- 복수의 평행 스트라이프 포토레지스트 구조체들을 한정하기 위해서 리소그래피에 의해 상기 포토레지스트층을 패터닝하는 단계;
- 상기 평행 스트라이프 포토레지스트 구조체들 사이에 상기 기판 상에서 이를 따라 블록 코폴리머를 제공하는 단계 - 상기 블록 코폴리머는 제1성분 및 제2성분을 포함하는 것임 - ;
- 상기 블록 코폴리머를, 상기 복합 기판을 따라 상기 제1성분 및 상기 제2성분의 상분리를 일으키는 소정의 조건에 두는 단계 - 상기 블록 코폴리머 및 상기 소정의 조건은, 2개의 인접한 평행 스트라이프 포토레지스트 구조체들 사이의 중앙 위치에 상기 제1성분의 하나의 맨드릴 구조체를 포함하는 층상 구조체를 형성하도록 결정되고, 상기 하나의 맨드릴 구조체는 제2성분의 평행 스트라이프 구조체에 의해 상기 2개의 인접한 평행 스트라이프 포토레지스트 구조체들로부터 분리되는 것임 -;
- (예를 들면, 제1성분의 맨드릴 구조체에서 선택적으로 금속 화합물을 합성하기 위해서)상기 제1성분의 맨드릴 구조체 상에 연속 침입 합성(sis) 공정을 수행하는 단계;
- 상기 평행 스트라이프 포토레지스트 구조체들 및 상기 제2성분의 상기 평행 스트라이프 구조체를 선택적으로 제거하는 단계;
- 제1성분의 맨드릴 구조체를 마스크로서 사용하여 상기 복합 기판에 존재하는 코어층 내에서 코어 스트라이프 구조체를 한정하는 단계; 및
- 코어 스트라이프 구조체를 코어로서 사용하기 위하여 자체 배열 다중 패터닝(SAMP) 공정을 수행하는 단계;를 포함하는 것이 개시된다.
블록 코폴리머는 서로 공유 결합된 화학적으로 상이한 폴리머 블록(또는 "성분"으로 지칭할 수 있다)을 2개 이상 포함하는 폴리머에 관한 것이다. 2개의 상이한 폴리머 블록들을 갖는 블록 코폴리머는 "2 블록 코폴리머"라고 한다. 3개의 상이한 폴리머 블록들을 갖는 블록 코폴리머는 "3 블록 코폴리머"라고 한다.
일반적으로, 블록 코폴리머의 제2폴리머 성분이 포토레지스트 리소그래피 공정에 기인하는 나쁜 LWR/LER의 부분을 흡수하는 이점이 있다.
또한, 연속 침입 합성 (sequential infiltration synthesis)공정은 LWR/LER을 더욱 줄이는 이점이 있다.
패터닝된 구조체의 LWR 및 LER은, 예를 들면, 2 nm 미만의 LWR 및 3 nm 미만의 작은 LER, 또는 1 nm 미만의 LWR 및 LER로 줄일 수 있는 이점이 있다.
바람직하게, 복합 기판은, 베이스 실리콘 웨이퍼 또는 기판 및 반도체 가공에 의해서 그 위에 생성된 층 스택을 포함한다.
당업자는, SIS 공정 유기 금속(OM) 전구체에서 기체 및 산화제가 다수 사이클에서 자체 조립 블록 코폴리머 시스템에 연속적으로 도입되는 것을 인식한다. OM 전구체는, BCP에서 코폴리머 블록 중 하나와의 선택적인 반응을 보장하도록 선택된다. 이어서 개질되지 않은 BCP 블록이 에칭된다. 따라서, 폴리머 패턴은, 많은 향상된 플라즈마 에칭 컨트래스트를 갖는 금속성 마스크로 변형된다.
바람직한 실시형태에 따르면, 복합 기판은, 복수의 층들을 포함하고, 복수의 층들은, 하부 기판층 상의 코어층; 및 상기 코어층의 상단 상의 하드마스크층을 포함하고, 코어층 내에 코어 스트라이프 구조체를 한정하기 위해, 제1성분의 맨드릴 구조체를 마스크로서 사용하는 단계는, 제1성분의 맨드릴 구조체를 하드마스크층으로 전달하는 단계, 맨드릴 구조체를 선택적으로 제거하는 단계, 및 하드마스크층의 얻어진 패턴을 코어층으로 전달하는 단계를 포함한다.
바람직한 실시형태에 따르면, 상기 방법은, 하드마스크층 상에 중성층을 제공하는 단계를 더 포함하고, 상기 제1성분의 맨드릴 구조체를 하드마스크층으로 전달하는 단계는, 제1성분의 맨드릴 구조체를 상기 중성층으로 전달하는 단계를 포함하고, 하드마스크층의 얻어진 패턴을 코어층으로 전달하는 단계 전에 중성층을 제거하는 단계를 더 포함한다.
중성층은, 코폴리머 성분이 BCP 물질 내의 것과 동일한 랜덤 코폴리머 브러시 또는 가교 매트일 수 있다. 예를 들면, PS-r-PMMA 중성층은 PS-b-PMMA BCP층에 대해 사용될 수 있다. 또한, BCP에 대해 바람직하지 않은 표면 에너지를 갖는 하드마스크 물질이 사용될 수 있다.
바람직하게, 기판층, 코어층, 하드마스크층, 필요에 따라 중성층이 직접적인 물리적 접촉에 있고, 즉 층 스택에서 서로 직접 상호작용한다.
바람직하게, 포토레지스트층은 하드마스크층 또는 중성층과 물리적 접촉하도록 제공된다.
바람직한 실시형태에 따르면, 포토레지스트층을 제공하는 단계 전에 하드마스크층 상에 중성층을 제공한다.
바람직한 실시형태에 따르면, 포토레지스트층을 제공하는 단계 후에 하드마스크층 상에 중성층을 제공한다.
바람직한 실시형태에 따르면, 리소그래피에 의해 포토레지스트층을 패터닝하는 단계는, 극 UV 리소그래피에, 극단 UV 리소그래피(EUV), 또는 (예를 들면, 193 nm) 액침 리소그래피에 의해 포토레지스트층을 패터닝하는 단계를 포함한다.
바람직한 실시형태에 따르면, SAMP 공정은 자체 배열된 이중 패터닝(SADP), 자체 배열된 삼중 패터닝(SATP), 자체 배열된 8중 패터닝(SAOP) 또는 자체 배열된 4중 패터닝(SAQP) 공정이다. 더 바람직하게 SAMP 공정은 자체 배열된 이중 패터닝(SADP) 공정이다.
바람직한 실시형태에 따르면,
SAMP (또는 SADP) 공정을 수행하는 단계는,
- 코어 스트라이프 구조체의 측벽에 스페이서 구조체를 제공하는 단계;
- 코어 스트라이프 구조체를 선택적으로 제거하는 단계; 및
- 스페이서 구조체를 마스크로서 사용하여 하부층(예를 들면, 기판의 하부에 위치하는, 예를 들면, 아래에 위치하는 층, 예를 들면, 바로 아래에 위치하는 층)을 패터닝하는 단계;
를 포함한다.
바람직한 실시형태에 따르면, 맨드릴 구조체는 제2성분의 평행 스트라이프 구조체에 의해서 임베딩된다. 제2성분의 평행 스트라이프 구조체는, 맨드릴 구조체와 각각의 인접한 평행 스트라이프 포토레지스트 구조체 사이에서 맨드릴 구조체의 어느 일 측에 존재할 수 있다.
바람직한 실시형태에 따르면, 맨드릴 구조체는 박스 형상이다.
또한, 맨드릴 구조체는 실질적으로 원통형이다. 스트라이프 구조체를 한정하는 원통형 코어가 제2성분 내에 임베딩될 수 있다. 예를 들면, 2개의 인접한 평행 스트라이프 포토레지스트 구조체들 사이의 공간의 중앙에 위치할 수 있다. 제2성분은, 일반적으로 기판을 따라 제1성분 및 제2성분의 상분리를 일으키기 위해 소정의 조건하에 블록 코폴리머를 둔 후 맨드릴 구조체 하부 및 상부에 존재한다. SIS 공정은 예를 들면, 제2성분을 관통하지만 제1성분에 영향을 미치도록 적용될 수 있다.
바람직한 실시형태에 따르면, 2개의 인접한 평행한 스트라이프 포토레지스트 구조체들 사이의 간격은 40 nm 미만이고, 블록 코폴리머는 10nm 내지 50nm 범위(더 바람직하게 20nm와 30 nm 사이)의 고유 주기성을 갖는다.
바람직한 실시형태에 따르면, BCP의 제1성분, 즉 맨드릴 구조체는, 특히 SIS 공정 후에, 제2성분, 즉 평행 스트라이프 구조체에 대한 비교적 높은 제거(예를 들면, 소정의 선택적 제거 공정에 대한 에칭 제거) 내성을 갖는다.
바람직한 실시형태에 따르면, 블록 코폴리머는 PS-b-PMMA를 포함하거나 이들로 구성되고, PMMA는 제1성분이고, PS는 제2성분이다. 또한, 블록 코폴리머는, 예를 들면, PS-b_P2VP 또는 PS-b-P4VP 를 포함한다.
바람직한 실시형태에 따르면, 블록 코폴리머는 포토레지스트 패턴 피치의 절반(0.5x)과 같거나 거의 같은, L0로 지칭하는 고유 주기성을 갖는다. 예를 들면, L0는 포토레지스트 패턴 피치의 절반의 95%-105%의 범위 내 또는 99%-101%의 범위 내, 또는 99.9 % 내지 100.1 % 의 범위 내일 수 있다.
바람직한 실시형태에 따르면, 블록 코폴리머는 20 nm와 30 nm 사이의 L0로 지칭되는 고유 주기성을 갖는다.
바람직한 실시형태에 따르면, 방법은 자체 배열된 다중 (또는 이중) 패터닝 공정을 수행하기 전에 코어 스트라이프 구조체를 트리밍하는 단계를 더 포함한다.
본 개시 내용의 제2형태에 따르면, 3 nm 미만, 2 nm 미만, 또는 1 nm 미만의 라인 폭 러프니스를 갖는 가공된 스트라이프 또는 라인 피처를 포함하는 반도체 장치가 개시된다.
바람직한 실시형태에 따르면, 반도체 장치는 3 nm 미만, 2 nm 미만, 또는 1 nm 미만의 라인 에지 러프니스를 갖는 가공된 스트라이프 또는 라인 피처를 포함하고, 스트라이프 또는 라인 피처 또는 구조체는 (이러한 특성을 갖는 고유 물질 피처와 달리)반도체 가공 기술에 의해 가공된다.
본 개시 내용의 제3형태에 따르면, 반도체 장치의 백 엔드 라인(BEOL) 구조체 내에서 기하학적 피처를 한정하기 위한 제1형태의 방법의 이용이 개시된다. 예를 들면, 감소된 LWR/LER을 갖는 금속 상호접속 라인을 한정하기 위해서 사용될 수 있다.
본 개시 내용의 제4형태에 따르면, 반도체 장치의 프런트 엔드 라인(FEOL) 구조체 내에서 기하학적 특성을 한정하기 위한 제1형태의 방법의 이용이 개시된다. 예를 들면, 감소한 LWR/LER을 갖는 핀 또는 게이트 구조체(라인 또는 스트라이프)를 한정하기 위해서 사용될 수 있다. 바람직한 실시형태에 따르면, 가공된 스트라이프 또는 라인 피처는 반도체 트랜지스터 장치의 핀 구조체에 상응한다.
본 개시 내용은 다음의 설명 및 수반하는 도면에 의해서 설명된다.
도 1 내지 11은 본 발명의 바람직한 실시형태에 따른 공정 흐름을 도시한다.
도 12는 본 발명의 형태에 따른 방법 및 종래의 방법의 비교를 제공한다.
본 개시 내용은, 특별한 실시형태에 대해서 특정 도면을 참조해서 설명하지만 본 개시 내용은 이들로 제한되지 않고 청구범위에 의해서만 제한된다. 개시된 도면은 개략적인 것으로 제한되지 않는다. 도면에서 일부 요소의 크기가 과장되고 도시된 목적을 위해서 정확한 크기로 도시된 것은 아니다. 치수 및 상대적인 치수는 본 개시 내용을 수행하기 위한 실제의 축소에 대응하는 것은 아니다.
또한, 상세한 설명 및 청구범위에서 "제1, 제2, 제3" 등은 유사한 엘리먼트를 구별하기 위해서 사용되는 것이며, 반드시 시간적 순서, 연대기적 순서를 설명하는 것은 아니다. 이와 같이 사용된 용어가 적당한 환경하에서 상호 교환될 수 있고, 본 개시 내용의 실시형태가 본원에 기재되거나 설명된 순서 외에 다른 순서로 작동할 수 있다.
또한, "바람직한"으로 칭하는 다양한 실시형태는, 본 개시 내용의 범위를 한정하는 것이 아니라 본 개시 내용이 수행될 수 있는 실시예인 것으로 해석되어야 하다.
본 발명의 바람직한 실시형태는, 도 1 내지 11에 대해 설명된다. 복합 기판(2, 3, 4), (8, 2, 3, 4) 이 제공되고, 예를 들면, 층상 기판이다. 복합 기판은 부분적으로 가공된 기판일 수 있다.
복합 기판은, 예를 들면, 실리콘 또는 기타 반도체 물질 웨이퍼 또는 베이스 기판 상에 제공된, 예를 들면, TiN, 비정질 실리콘 (a-Si),SiO2, Si3N4, SiON, SiOC, SiCO, SiCN 등 기판 층 또는 기판(4)을 포함한다. 또한, 기판층은 AlN, 스핀 코팅 TiO 또는 WO 또는 ZrO 금속 하드 마스크를 포함하거나 이들로 구성될 수 있다.
기판(4) 상단 상에 코어층(3)이 제공되고/존재하고, 이는 코어 구조체로 패터닝되고, 최종적으로 자체 배열된 다중 패터닝(SAMP) 공정에 대한 코어로서 사용된다.
코어층(3)은 예를 들면, 고도의 패터닝 필름(ADF), 유기 패터닝층(OPL), 또는 스핀-온-탄소(SoC)층을 포함할 수 있다. 코어층(3) 상단 상에 유전체 하드 마스크층(2)이 존재하고/제공될 수 있다.
기타 층이 기판층(4)과 베이스 기판 사이에 존재할 수 있다. 이러한 층은 가공된 반도체 웨이퍼의 FEOL 또는 BEOL의 일부인 층을 구성할 수 있다.
예를 들면, 유전체 하드마스크층(2)은, 예를 들면 SiO2, SiON, SiOC, Si3N4 또는 스핀-온-유리 (SoG) 중 하나 이상을 포함하거나 구성될 수 있다.
도 1에 도시된 바람직한 실시형태에서, 중성층(8)(예를 들면, 하이드록실-말단 폴리(스티렌-랜덤-메틸메타크릴레이트)[PS-r-PMMA-OH] 브러시)는 유전체 하드마스크층(2) 상단 상에 제공하고/존재한다.
중성층(8)은, 예를 들면, PS-r-PMMA를 포함하거나 구성될 수 있고, 예를 들면, 하이드록실-말단 폴리(스티렌-랜덤-메틸메타크릴레이트)[PS-r-PMMA-OH] 브러시층일 수 있다.
포토레지스트층은 중성층(8) 상에 제공된다. 포토레지스트층(1)은, 서로 트렌치(10)에 의해 분리되고, 복수의 평행 스트라이프 포토레지스트 구조체(11)들을 한정하기 위해서 리소그래피(예를 들면, UV, 극단 UV 리소그래피(EUV) 또는 (예를 들면, 193 nm) 액침 리소그래피)에 의해 패터닝된다(도 1).
또한, 포토레지스트층은 유전체 하드 마스크 층(2) 상에 직접 제공되고, 복수의 평행 스트라이프 포토레지스트 구조체들로 패터닝된다. 그 다음에, 중성층(8)은, 복수의 평행 스트라이프 포토레지스트 구조체들 사이에 하드 마스크 층(2) 상에 제공될 수 있다(미도시).
블록 코폴리머(5), 예를 들면, 용액 내의 블록 코폴리머는 상기 복합기판, 바람직하게 상기 중성층(8) 상에 이를 따라 평행 스트라이프 포토레지스트 구조체 사이의 트렌치 내에 제공되고, 블록 코폴리머는 제1폴리머 기반 성분 및 제2폴리머 기반 성분을 포함한다(도 2). 후술한 효과를 갖는 것이면 임의의 적합한 종래의 블록 코폴리머 시스템이 사용될 수 있다. 예를 들면, PS-b-PMMA 블록 코폴리머가 사용될 수 있다. 블록 코폴리머의 적합한 선택 및 그 파라미터의 조절은 후술한 효과를 달성하기 위해서 당업자에게 공지되어 있다. 따라서, 평행 스트라이프 포토레지스트 구조체(11) 사이의 트렌치(10)는 중성층 또는 유전체 하드 마스크 층(2)에 인접한 것으로, 트렌치 높이의 50%와 100% 사이의 수준까지 채운다. 바람직하게 과하게 충진(100% 초과의 충진)하지 않는다. 바람직하게, 적게 충진(50% 미만의 충진)하지 않는다. 예를 들면, 블록 코폴리머(5)는 스핀 코팅 공정에 의해서 적용될 수 있다.
블록 코폴리머는 제1성분 (구조체(61); 예를 들면, PMMA) 및 제2성분 (구조체(60); 예를 들면, PS)의 상분리를 일으키기 위해서 소정의 조건(예를 들면, 해당 기술 분야에서 공지된 온도 단계(어닐링 단계) 또는 조건) 하에 둔다. 포토레지스트 구조체는 그래포-에피택시(grapho-epitaxy) 블록 코폴리머 조립체에 대한 템플레이트로서 사용된다.
따라서, 블록 코폴리머 및 소정의 조건은, 2개의 인접한 평행 스트라이프 포토레지스트 구조체들 사이의 중앙 위치에서, 맨드릴 구조체(61)는 제1성분으로 구성되고, 맨드릴 구조체(61)가 제2성분의 평행 스트라이프 구조체(60)에 의해 2개의 인접한 평행 스트라이프 포토레지스트 구조체(11)들로부터 분리되도록 결정된다. 맨드릴 구조체(61)는 바람직하게 박스 형상이고, 맨드릴 구조체(61) 는 제2성분 물질(60)에 임베딩된다. 예를 들면, 2개의 인접한 평행 스트라이프 포토레지스트 구조체(11)들 사이의 공간의 중앙에 위치할 수 있다.
또한, 맨드릴은 또한 원통형일 수 있다.
연속 침입 합성(SIS) 공정은, 제1성분의 맨드릴 구조체(61)에서 선택적으로 금속 화합물을 합성하고, 금속-포함 맨드릴 구조체(61')를 생성하기 위해서 수행된다. 예를 들면, AlOx (예를 들면, 또한 ZnO, W, TiN, TiO2, AlN, SiO2)는, 당업자에게 공지된 바와 같이, SIS 공정에 의해서 맨드릴 구조체(61)에 주입될 수 있다. 전구체 및 물질은, 예를 들면, 침입 공정의 최적의 선택성을 얻기 위해서 선택된다. SIS 공정은 일반적으로 중성층(8)(존재하는 경우) 내에서 적어도 부분적으로 합성하고, 금속-포함(예를 들면, AlOx 주입) 중성층(8')을 생성할 수 있다.
이것에 의해, 맨드릴 구조체(61')에 대해서 포토레지스트 구조체(11) 및 제2성분의 구조체(60)의 다음의 선택적 제거 공정의 선택성이 우수해 진다. 따라서, 맨드릴 구조체(61')의 LWR 및 LER은, SIS 공정을 수행하지 않는 공정에 비해 실질적으로 개선된다.
그 다음에, 평행 스트라이프 포토레지스트 구조체(11)는 제2성분의 평행 스트라이프 구조체(60)와 함께 선택적으로 제거된다(도 5). 예를 들면, 플라즈마 (예를 들면, Ar/O2 플라즈마, N2/H2 플라즈마, Ar/H2 플라즈마, SO2 플라즈마/CH3F/Ar 플라즈마 또는 Ar/H2/CH3F 플라즈마)는, 블록 코폴리머의 제2성분을 선택적으로 제거하기 위해서 사용될 수 있다. 가이딩 포토레지스트 (평행 스트라이프 포토레지스트 구조체(11))는, 예를 들면, Ar/O2 플라즈마에 의해 과하게 에칭되는 경우에 함께 소비된다. 이러한 Ar/O2 플라즈마 처리 후, 블록 코폴리머의 제1플라즈마 성분(61')은, 또한 하드마스크층(2) 및 하부층(3)을 패터닝하기 위해서 사용될 수 있다.
제1성분의 잔류 맨드릴 구조체(61')는, 기판, 예를 들면, APF 필름(3) 또는 SoC 층(3)에서 존재하는 코어층에서 코어 스트라이프 구조체를 한정하기 위한 마스크로서 사용된다. 제1단계에서, 제1성분의 맨드릴 구조체(61')에 의해서 한정되는 패턴은, 예를 들면, 하부 중성층(8')(존재하는 경우) 및 유전체 하드마스크(2)에 전달될 수 있다(도 6). 따라서 또는 이후에, 맨드릴 구조체(61'), 및 중성층(8')(존재하는 경우)은 선택적으로 제거될 수 있다(도 7). 이후 또는 일반적인 공정에서, 유전체 하드 마스크(2)에서의 패턴은, 바람직하게 하부 APF 필름 또는 SoC 층(3)에 전달된다(도 8).
유전체 하드 마스크 층(2)의 잔류 부분은, 예를 들면, HF 용액에 의해서 제거된다(도 9). 매우 작은 LWR 및 LER을 갖는, 층(3)의 코어 스트라이프 구조체가, 자체 배열된 패터닝(SMDP)(예를 들면, SADP 공정)을 수행하기 위해서 사용될 수 있고, 따라서 코어 스트라이프 구조체(3)는 코어로서 사용된다. 코어 구조체 위에 스페이서층(7)을 제공하는 제1단계는 도 10에 도시된다. 스페이서층은, 예를 들면, Si3N4, SiO2, AlOx, 및 당업자에게 공지된 적합한 기타 스페이서 물질을 포함할 수 있다. 스페이서층 두께는 노출된 코어 스트라이프 구조체(3)에 인접한 패터닝된 스페이서 구조체(7")를 제공하기 위해서 비등방성으로 감소한다(도 11의 a). 이 공정 후, 상이한 패터닝된 스페이서 구조체(7")를 서로 분리하고, 즉, 스페이서 구조체(7") 사이에 스페이서층 물질이 남지 않는다. 코어 스트라이프 구조체(3)는 추가의 단계에서 제거된 후(도 11의 b), 당업자에게 공지된 추가의 SADP 공정 단계로 이어진다.
특정한 실시형태에 따르면, 필요한 경우, 코어 스트라이프 구조체는 SAMP/SADP 공정에서 사용되기 전에 트리밍될 수 있다.
블록 코폴리머 PS-b-PMMA의 DSA 공정은, SIS 공정 및 PS 에칭 후 PMMA 라인/구조체의 라인 폭 러프니스(LWR) 및 라인 에지 러프니스(LER)의 낮은 값을 제공할 수 있다.
특정한 관점에서, 본 개시 내용의 형태는, 패터닝된 포토레지스트 피처 상의 플라즈마 처리에 따라 블록 코폴리머를 사용하여 비교적 낮은 LWR 및 낮은 LER을 갖는 이점이 있다. 당업자에게 공지된 바와 같이, 패터닝된 포토레지스트 피처의 플라즈마 처리는, 이러한 피처를 스무딩하여 형성된 구조체의 LWR/LER 을 감소시키는 하나의 방법이다. DSA/SADP의 제안된 조합은 하드마스크 또는 실리콘 기판으로의 패턴 전달 전에 초기 단계에서 LWR/LER 값을 최소화한다. 이러한 및 기타 이점은 도 12에 도시되고, 도 12는, 좌측에는 종래의 당해 기술 분야의 SADP 공정 및 우측에는 본 개시 내용의 실시형태에 따른 공정이 도시된다. 블록 코폴리머 및 SIS 공정을 사용하면, 포토레지스트 리소그래피 공정에 의한 본질적으로 나쁜 LWR/LER 을 실질적으로 보상할 수 있는 이점이 있다. 또한, SIS 공정은 비교적 낮은 온도, 예를 들면, 100℃ 미만의 온도에서 수행될 수 있는 이점이 있다.
본 발명의 실시형태에는, 종래 기술에서 필요한 LER/LWR을 얻기 위해서 SAMP/SADP 공정에서 사용되는 코어 트림 또는 코어 수축 공정이 요구되지 않는 이점이 있다.

Claims (14)

  1. 패턴 형성 방법으로서,
    - 복합 기판 상에 포토레지스트층(1)을 제공하는 단계;
    - 복수의 평행 스트라이프 포토레지스트 구조체(11)들을 한정하기 위해서 리소그래피에 의해 상기 포토레지스트층을 패터닝하는 단계;
    - 상기 평행 스트라이프 포토레지스트 구조체(11)들 사이에 상기 기판 상에서 이를 따라 PS-b-PMMA를 포함하는 블록 코폴리머(5)를 제공하는 단계 - 상기 블록 코폴리머(5)는 PMMA를 포함하는 제1성분 및 PS를 포함하는 제2성분을 포함하는 것임 - ;
    - 상기 블록 코폴리머(5)를, 상기 복합 기판을 따라 상기 제1성분(61) 및 상기 제2성분(60)의 상분리를 일으키는 소정의 조건에 두는 단계 - 상기 블록 코폴리머(5) 및 상기 소정의 조건은, 2개의 인접한 평행 스트라이프 포토레지스트 구조체들 사이의 중앙 위치에 상기 제1성분의 하나의 맨드릴 구조체(61)를 포함하는 층상 구조체를 형성하도록 결정되고, 상기 하나의 맨드릴 구조체는 상기 제2성분의 평행 스트라이프 구조체(60)에 의해 상기 2개의 인접한 평행 스트라이프 포토레지스트 구조체들로부터 분리되는 것임 -;
    - 상기 제1성분의 상기 맨드릴 구조체(61) 상에 연속 침입 합성(sis) 공정을 수행하는 단계;
    - 상기 평행 스트라이프 포토레지스트 구조체(11)들 및 상기 제2성분의 상기 평행 스트라이프 구조체(60)를 선택적으로 제거하는 단계;
    - 상기 제1성분의 상기 맨드릴 구조체(61)를 마스크로서 사용하여 상기 복합 기판에 존재하는 코어층(3) 내에 코어 스트라이프 구조체를 한정하는 단계; 및
    - 상기 코어 스트라이프 구조체(3)를 코어로서 사용하여 자체 배열 다중 패터닝(SAMP) 공정을 수행하는 단계;
    를 포함하는, 방법.
  2. 제1항에 있어서,
    상기 복합 기판은 복수의 층들을 포함하고, 상기 복수의 층들은 하부 기판층(4) 상의 코어층(3); 및 상기 코어층(3)의 상단 상의 하드마스크층(2)을 포함하고, 상기 제1성분의 상기 맨드릴 구조체(61)를, 상기 코어층(3) 내에 코어 스트라이프 구조체를 한정하기 위한 마스크로서 사용하는 단계는, 상기 제1성분의 상기 맨드릴 구조체(61)를 상기 하드마스크층(2)으로 전달하는 단계, 상기 맨드릴 구조체(61)를 선택적으로 제거하는 단계, 및 상기 하드마스크층(2)의 얻어진 패턴을 상기 코어층(3)으로 전달하는 단계를 포함하는, 방법.
  3. 제2항에 있어서,
    상기 방법은 상기 하드마스크층(2) 상에 중성층(8)을 제공하는 단계를 더 포함하고, 상기 제1성분의 상기 맨드릴 구조체(61)를 상기 하드마스크층(2)으로 전달하는 단계는, 상기 제1성분의 상기 맨드릴 구조체(61)를 상기 중성층(8)으로 전달하는 단계를 포함하고, 상기 하드마스크층(2)의 상기 얻어진 패턴을 상기 코어층(3)으로 전달하는 단계 전에 상기 중성층(8)을 제거하는 단계를 더 포함하는, 방법.
  4. 제3항에 있어서,
    상기 포토레지스트층(1)을 제공하는 단계 전에 상기 하드마스크층 상에 상기 중성층(8)을 제공하는, 방법.
  5. 제3항에 있어서,
    상기 포토레지스트층(1)을 제공하는 단계 후에 상기 하드마스크층 상에 상기 중성층(8)을 제공하는, 방법.
  6. 제1항 또는 제2항에 있어서,
    상기 SAMP 공정은 자체 배열 이중 패터닝(SADP) 공정이고, 상기 SADP 공정을 수행하는 단계는,
    - 상기 코어 스트라이프 구조체(3)의 측벽에 스페이서 구조체(7")를 제공하는 단계;
    - 상기 코어 스트라이프 구조체(3)를 선택적으로 제거하는 단계; 및
    - 상기 스페이서 구조체(7")를 마스크로서 사용하여 하부층을 패터닝하는 단계;
    를 포함하는, 방법.
  7. 제1항 또는 제2항에 있어서,
    상기 맨드릴 구조체(61)는 직사각형 박스인, 방법.
  8. 제1항 또는 제2항에 있어서,
    2개의 인접한 평행 스트라이프 포토레지스트 구조체(11)들 사이의 간격은 50 nm 미만이고, 상기 블록 코폴리머는 상기 간격의 절반의 95%-105% 범위의 고유 주기성을 갖는, 방법.
  9. 삭제
  10. 제1항 또는 제2항에 있어서,
    상기 자체 배열 다중 패터닝(SAMP) 공정의 수행 전에 상기 코어 스트라이프 구조체(3)를 트리밍하는 단계를 더 포함하는, 방법.
  11. 삭제
  12. 삭제
  13. 반도체 장치의 백 엔드 라인(BEOL) 구조체 내에서 기하학적 특성을 한정하기 위한 방법으로, 제1항 또는 제2항에 따른 방법을 포함하는, 방법.
  14. 반도체 장치의 프런트 엔드 라인(FEOL) 구조체 내에서 기하학적 특성을 한정하기 위한 방법으로, 제1항 또는 제2항에 따른 방법을 포함하는, 방법.
KR1020160131391A 2015-12-11 2016-10-11 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용 KR102637883B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP15199468.8 2015-12-11
EP15199468 2015-12-11

Publications (2)

Publication Number Publication Date
KR20170069909A KR20170069909A (ko) 2017-06-21
KR102637883B1 true KR102637883B1 (ko) 2024-02-19

Family

ID=54849527

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160131391A KR102637883B1 (ko) 2015-12-11 2016-10-11 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용

Country Status (2)

Country Link
US (1) US10079145B2 (ko)
KR (1) KR102637883B1 (ko)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
CN108227412A (zh) * 2016-12-15 2018-06-29 Imec 非营利协会 光刻掩模层
DE102017128070B4 (de) 2017-08-31 2023-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Ätzen zum Verringern von Bahnunregelmässigkeiten
KR102522249B1 (ko) * 2018-08-16 2023-04-17 주식회사 엘지화학 패턴화 기판의 제조 방법
KR102484630B1 (ko) * 2018-08-16 2023-01-04 주식회사 엘지화학 패턴화 기판의 제조 방법
KR102498631B1 (ko) * 2018-08-16 2023-02-10 주식회사 엘지화학 패턴화 기판의 제조 방법
US20200066520A1 (en) * 2018-08-22 2020-02-27 International Business Machines Corporation Alternating hard mask for tight-pitch fin formation
KR102534530B1 (ko) * 2018-08-31 2023-05-19 주식회사 엘지화학 패턴화 기판의 제조 방법
KR102522182B1 (ko) * 2018-08-31 2023-04-14 주식회사 엘지화학 패턴화 기판의 제조 방법
US10755928B2 (en) 2019-01-25 2020-08-25 International Business Machines Corporation Fabricating electrically nonconductive blocks using a polymer brush and a sequential infiltration synthesis process
EP3787006A1 (en) * 2019-08-30 2021-03-03 Imec VZW Contact isolation in semiconductor devices
CN110993565A (zh) * 2019-12-11 2020-04-10 成都工业学院 一种定向自组装制备半导体纳米器件结构的方法
DE102020131642A1 (de) * 2020-06-02 2021-12-02 Intel Corporation Strukturen und techniken der gerichteten selbstorganisation
US20210375745A1 (en) * 2020-06-02 2021-12-02 Intel Corporation, Santa Clara, CA Directed self-assembly structures and techniques
CN113314408A (zh) * 2021-04-23 2021-08-27 长江先进存储产业创新中心有限责任公司 一种硬掩膜叠层结构及半导体器件的形成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120241411A1 (en) * 2011-03-24 2012-09-27 Uchicago Argonne Llc Sequential infiltration synthesis for advanced lithography
WO2015106261A1 (en) * 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7964107B2 (en) * 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
JP6284925B2 (ja) * 2012-04-16 2018-02-28 ブルーワー サイエンス アイ エヌ シー. 誘導自己組織化用のケイ素系ハードマスク層
JP5919210B2 (ja) * 2012-09-28 2016-05-18 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
JP6088800B2 (ja) * 2012-11-07 2017-03-01 株式会社東芝 パターン形成方法
EP2733533B1 (en) * 2012-11-14 2018-02-28 IMEC vzw Etching method using block-copolymers
US9230820B2 (en) * 2013-10-30 2016-01-05 HGST Netherlands B.V. Method for directed self-assembly (DSA) of a block copolymer (BCP) using a blend of a BCP with functional homopolymers
KR102166522B1 (ko) * 2013-12-02 2020-10-16 에스케이하이닉스 주식회사 블록 코폴리머를 이용한 패턴 형성을 위한 구조 및 패턴 형성 방법
KR102164694B1 (ko) * 2013-12-20 2020-10-13 삼성디스플레이 주식회사 랜덤 공중합체, 미세 패턴 형성 방법 및 표시 장치의 제조 방법
EP3012860A1 (en) * 2014-10-22 2016-04-27 IMEC vzw A method for forming contact vias
US9576817B1 (en) * 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120241411A1 (en) * 2011-03-24 2012-09-27 Uchicago Argonne Llc Sequential infiltration synthesis for advanced lithography
WO2015106261A1 (en) * 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition

Also Published As

Publication number Publication date
US20170170007A1 (en) 2017-06-15
US10079145B2 (en) 2018-09-18
KR20170069909A (ko) 2017-06-21

Similar Documents

Publication Publication Date Title
KR102637883B1 (ko) 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용
US9911646B2 (en) Self-aligned double spacer patterning process
US10020196B2 (en) Methods of forming etch masks for sub-resolution substrate patterning
US10103032B2 (en) Methods of forming etch masks for sub-resolution substrate patterning
US9831117B2 (en) Self-aligned double spacer patterning process
CN107112212B (zh) 使用接枝聚合物材料图案化基底
TWI567488B (zh) 使用嵌段共聚物的定向自組裝之自對準圖案化
US9472414B2 (en) Self-aligned multiple spacer patterning process
US20180138078A1 (en) Method for Regulating Hardmask Over-Etch for Multi-Patterning Processes
US20170301552A1 (en) Method for Patterning a Substrate Using a Layer with Multiple Materials
KR102306307B1 (ko) 하부층의 패터닝 방법
US9412612B2 (en) Method of forming semiconductor device
TW202119497A (zh) 旋塗且藉cvd法沉積之有機膜的平坦化方法
CN111668091A (zh) 半导体器件及其形成方法
CN111640668A (zh) 半导体器件及其形成方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant