JP6284925B2 - 誘導自己組織化用のケイ素系ハードマスク層 - Google Patents

誘導自己組織化用のケイ素系ハードマスク層 Download PDF

Info

Publication number
JP6284925B2
JP6284925B2 JP2015507082A JP2015507082A JP6284925B2 JP 6284925 B2 JP6284925 B2 JP 6284925B2 JP 2015507082 A JP2015507082 A JP 2015507082A JP 2015507082 A JP2015507082 A JP 2015507082A JP 6284925 B2 JP6284925 B2 JP 6284925B2
Authority
JP
Japan
Prior art keywords
self
layer
hard mask
assembled
composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015507082A
Other languages
English (en)
Other versions
JP2015516686A (ja
Inventor
ユバオ ワン
ユバオ ワン
メアリー アン ホッケー
メアリー アン ホッケー
ダグラス ジェイ. ゲレロ
ダグラス ジェイ. ゲレロ
バンダナ クリシュナマーシー
バンダナ クリシュナマーシー
ロバート シー. コックス
ロバート シー. コックス
Original Assignee
ブルーワー サイエンス アイ エヌ シー.
ブルーワー サイエンス アイ エヌ シー.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルーワー サイエンス アイ エヌ シー., ブルーワー サイエンス アイ エヌ シー. filed Critical ブルーワー サイエンス アイ エヌ シー.
Publication of JP2015516686A publication Critical patent/JP2015516686A/ja
Application granted granted Critical
Publication of JP6284925B2 publication Critical patent/JP6284925B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00349Creating layers of material on a substrate
    • B81C1/0038Processes for creating layers of materials not provided for in groups B81C1/00357 - B81C1/00373
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B1/00Devices without movable or flexible elements, e.g. microcapillary devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Description

本出願は2012年4月16日出願の「誘導自己組織化用のケイ素系ハードマスク層」と題する米国特許仮出願番号61/624,805号による優先権の利益を主張すると共に、その全てが参照として本願に組み込まれる。
本公開はマイクロエレクトロニクス構造体の製造における誘導自己組織化によるパターン形成の新しい方法およびこれに用いるハードマスク中立層に関係する。
現在、193nmの液浸スキャナーを用いた単一パターニング光学リソグラフィー技術の偽りのない分解能の限界は密集線およびスペースに関しては37nmである。しかしながら、リソグラフィーではない誘導自己組織化(DSA)と呼ばれる比較的新しいパターニング技術では、すでに<15nmのパターンを形成することができる。DSAは幾つかの分子が自らを指示されたナノメートルスケール構造に再配列する能力を利用している。このような自己組織化する分子は非常に規則的かつ拡張する交互する線または密集したタイル状の円形配列を形成する傾向がある。少なくとも2つの異なる成分を含むブロック共重合体はDSAの材料として提案されているもので、これらはアニーリングにより調整することができる。一般に、自己組織化はブロックの内の1つが、下にある平面および/または空間インターフェイスに対して持つ親和性または優先傾向に基づいたものである。これにより通常は平行なラメラ層になる。化学エピタキシーまたはグラフォエピタキシーのようなプレパターニング技法をDSAと共に用いることにより、ブロック共重合体層をアニーリングして形成された交互パターンを非ランダム化することができ、これによりこの技術をIC製造においてなお一層有用なものにしている。グラフォエピタキシーにおいては、ウェーハー面上のフォトレジストの線/溝のようなトポグラフィーは自己組織化処理工程を導くために用いられる。斯くして、DSAはとりわけ線/スペースの繰り返し増殖技法として有用である。化学エピタキシーにおいては、DSA材料が塗布される層の表面エネルギーの局所的な変化がブロック共重合体がどのように整列するかを決定する。このように扱い方に柔軟性があるため、DSAは速やかに集積回路(IC)製造で<20nmのパターンを形成するための首位快走の技術になりつつあり、さらにこれらのタイプの非リソグラフィー技法は将来益々重要になってくるであろう。
しかしながら、現在のDSA処理工程の流れは幾つかの層を用いることを必要とするため、処理工程が複雑になりかねない。とりわけ、ブロック共重合体のDSAは通常ブロック共重合体層の下側のスタックに塗布された有機の中立「ブラシ」層を必要とし、これにより基板表面に対して垂直となるようにパターン形成を誘導する。典型的なPS−b−PMMA ブロック共重合体については、このブラシ層は通常長期間をかけて硬化されてきたスチレンおよびメチルメタクリレート(PS−r−PMMA)のランダム共重合体から成る。通常ブラシ層はすでにスピンオンカーボン、ハードマスク層、およびBARC(反射防止膜(リソグラフィー支援DSA技法については)を含むスタックの上に塗布される。次いでブラシ層の上にブロック共重合体DSA調合物を200〜400Åぐらいの厚みに塗工してアニールする。アニーリング処理によりブロック共重合体は自らを別の組織構造に整える。
現在のDSA処理工程が図1に描かれている。上に記したように、BARCはリソグラフィーのプレパターンニングの間の反射を調節するためにしばしばスタック内に使用される。このプレパターンはフォトレジストのパターンニングのような標準的なフォトリソグラフィー技法でしばしば形成される。さらにパターンの伝達処理工程を容易にするため処理工程の流れの中に無機の層も含まれる(例えば,CVDハードマスク)。これらの層のそれぞれが処理工程を複雑さのレベルおよび層間の化学的整合性の課題を増大させる。さらに多層処理工程はDSAフローの時間の長さおよびコストを増大させる。
斯くして、マイクロエレクトロニクス基板のDSAパターンニングの組成物および方法に対してまだ技術的に改善する必要がある。
本公開は誘導自己組織化を用いてマイクロエレクトロニクス構造体を形成する方法に広く関係する。この方法は、面を持つ基板;基板面上の1つ以上の随意的な中間層;および中間層が存在する場合にはこれに隣接し、または中間層が存在しない場合には基板面上のハードマスク層を含むウェーハースタックの供給が含まれる。自己組織化組成物はハードマスク層の上に直接塗布され、放置されて自己組織化しハードマスク層に直接隣接する自己組織化層になる。自己組織化された層は第1自己組織化領域および第1自己組織化領域とは異なる第2自己組織化領域を含む。
さらにここにはマイクロエレクトロニクス構造体も公開されている。構造体は、面を持つ基板;基板面上の随意的な1つ以上の中間層;中間層が存在する場合はこれに隣接して、または中間層が存在しない場合は基板面上のハードマスク層;およびハードマスク層の上に直接形成された自己組織化層を含む。自己組織化層は第1自己組織化領域および第1自己組織化領域とは異なる第2自己組織化領域を含む。
現在のDSA処理工程の略図である; 本発明により形成されたマイクロエレクトロニクス構造体を描いた略図である(縮尺通りではない); 本発明の実施態様により形成されたマイクロエレクトロニクス構造体を描いた略図である(縮尺通りではない); 本発明のさらなる実施態様により形成されたマイクロエレクトロニクス構造体を描いた略図である(縮尺通りではない); 実施例5によるハードマスク2の上の PS−b−PMMA ブロック共重合体(BCP)に形成されたDSAパターンのSEMイメージである; 実施例6による OptiStackTM SOC110D−311 材料のハードマスク2の上の PS−b−PMMA BCPに形成されたDSAパターンのSEMイメージである(300,000倍に拡大); 実施例6による OptiStackTM SOC110D−311 材料のハードマスク2の上の PS−b−PMMA BCPに形成されたDSAパターンのSEMイメージである(100,000倍に拡大); 実施例9による OptiStackTM SOC110D−311 材料のハードマスク3の上の PS−b−PMMA BCPに形成されたDSAパターンのSEMイメージである(300,000倍に拡大); 実施例9による OptiStackTM SOC110D−311 材料のハードマスク3の上の PS−b−PMMA BCPに形成されたDSAパターンのSEMイメージである(100,000倍に拡大); 実施例9によるPS−b−PMMA BCPに形成されたDSAパターンのSEMイメージ(300,000倍に拡大)で13.2nm/線間スペースを示している; 実施例11による表面改変後のハードマスク中立層の整列および非整列性を示すSEMイメージである; 実施例13による OptiStackTM SOC110D−311 材料のハードマスク4の上の PS−b−PMMA BCPに形成されたDSAパターンのSEMイメージである(300,000倍に拡大); 実施例15による OptiStackTM SOC110D−311 材料のハードマスク5の上の PS−b−PMMA BCPに形成されたDSAパターンのSEMイメージである(300,000倍に拡大); 実施例16によるフォトレジストのプレパターンの間に塗布されたPS−b−PMMA BCPをアニーリングした後に形成された濃密なラメラパターンを示すSEMイメージである(3倍に拡大); 塗工されたリソグラフィーのプレパターン上の PS−b−PMMA BCPの挙動を焦点および放射線量を介して立証しているSEMイメージを示す;および 実施例17で行われたコンタクトホールの縮小過程を立証しているSEMイメージを示す。
本公開はDSA処理工程に用いる新しい材料と共に、DSAパターニング用の新しい方法および構造体に関係する。本実施態様では独立した中性ブラシ層または反射防止塗膜の必要性が処理工程から取り除かれることにより、DSA層をハードマスクの上に直接塗布することを可能にする。本発明はさらにDSAパターニング技法を容易にするための化学エピタキシーおよび/またはグラフォエピタキシーの新しい方法を提供する。図2(A)〜図2(C)は新規のハードマスクおよび方法を用いた多層スタックの構成およびパターニングを図解している。図2(A)に関しては、面10aを持つ基板10が準備されている。基板10は平らな面を持つ場合も、またはトポグラフィー(ビアホール、コンタクトホール、突起物、他)を含む場合もある。ここに用いた「トポグラフィー」とは、基板面10a内のまたは上の構造体の高さまたは深さを意味する。本発明では全てのマイクロエレクトロニクス基板10を用いることが可能で、ケイ素、SiGe、SiO、Si、SiON、アルミニウム、タングステン、ケイ化タングステン、ガリウムヒ素、ゲルマニウム、タンタル、窒化タンタル、Ti、ハフニウム、HfO、ルテニウム、リン化インジウム、コラール、ブラックダイヤモンド、リン酸塩ガラス、またはホウ素ドープガラス、アルファカーボン、およびこれらの混合物から成る群から選択されたものが含まれる。
随意的な中間層12を基板10の面10aに存在させる、または形成することができる。中間層12は既知の全ての塗布方法で形成することができるが、好適な方法の1つは組成物を約1000から約5000rpm(約1250から約1750rpmが好ましくい)の速度で約30から約120秒間(約45から約75秒が好ましくい)スピンコートする方法である。好適な中間層12には、スピンオンカーボン層、アモルファスカーボン層、平坦化層、およびこれらの組み合わせから成る群から選択されたものが含まれる。多重の中間層を用いることもまた可能である(非表示)。1つ以上の実施態様において、中間層12はスタック内に存在しかつカーボンリッチな層を含む。用語「カーボンリッチ」は組成物中の固形分全体を重量で100%としたものを基準として、重量で約50%を超えるカーボン、好ましくは重量で約70%を超えるカーボン、およびより好ましくは重量で約75から約80%のカーボンを含む組成物で形成されている層を意味する。好適なカーボンリッチな層は、スピンオンカーボン層(SOC)、アモルファスカーボン層、およびカーボン平坦化層から成る群から選択される。典型的なカーボンリッチな層は厚い層を形成するために好適でありさらに組成物の合計重量を重量で100パーセントとしたものを基準として固形物の含有量が約0.1%から約70%あることが好ましく、約5%から約40%がより好ましく、約10%から約30%がさらにより好ましい。カーボンリッチな組成物を塗布した後、好ましくは約100℃から約300℃、より好ましくは約160℃から約250℃の温度で、約30秒から120秒、好ましくは約45秒から60秒の間これを加熱して溶媒を蒸発させる。焼き付け後のカーボンリッチな層12の平均厚みは約10nmから約50000nmとなり、約50nmから約5000nmが好ましく、約50nmから約1500nmがより好ましく、約50nmから約300nmがさらにより好ましい。
次いで図2(A)に示すように、ハードマスク中立層14を最上部の中間層12に、これが存在する場合は、隣接して(すなわちその上に)形成する。中間層12が存在しない場合は、ハードマスク中立層14は基板面10aに直接隣接して形成される(非表示)。ハードマスク層14はハードマスク組成物を約1000から約5000rpm(約1250から約1750rpmが好ましい)の速度で約30から約120秒(約45から約75秒が好ましい)の間スピンコートすることにより形成されることが好都合である。スピンにより塗布したハードマスク組成物を用いることによりDSA技法で通常用いられる従来のCVDハードマスクの複雑さを避けることができる。典型的なハードマスク組成物は、硬化した層の全重量を重量で100%としたものをベースとして高い含有量のケイ素、少なくとも重量で約10%のケイ素、好ましくは重量で約20%から40%のケイ素、およびより好ましくは重量で約22%から38%のケイ素を含む。ハードマスク組成物は一般に溶媒系に溶解または分散しているケイ素含有ポリマーを含み、ここに「ポリマー」という用語はここでは結合した単量体の繰り返し単位の主鎖を持ちかつ重量基準分子量が約800から約100000ダルトンのポリマー類およびオリゴマー類の両方を包含して用いられる。ケイ素含有ポリマー類はケイ素および酸素原子の交互の繰り返し単位を含み、かつ好ましくはシラン類、シロキサン類、およびシルセスキオキサン類のようなケイ素前駆体の重合により準備されることが好ましい。ケイ素含有ポリマー類は自己組織化層と適合性(compatible相溶性)のある部分(ペンダント部分が好ましい)を含み、以下でより詳細に説明する。前駆体の異なる組み合わせを用いて少なくとも2つの異なる単量体の繰り返し単位をポリマー主鎖に含む共重合体類を合成することができる。当然のことながら望ましい適合性のある部分は自己組織化組成物の特有の特性にしたがって選択することができ、これによりポリマー中の少なくとも1つのコモノマーが自己組織化組成物と適合性のある部分を含むことになる。
1つ以上の実施態様において、典型的な前駆体材料は:
およびこれらの組み合わせから成る群から選択されたものを含み、ここにそれぞれのRは −H、アルキル基類(C〜Cアルキル基類が好ましく、C〜Cアルキル基類がより好ましい)、酢酸基類、およびこれらの組み合わせから成る群から個別に選択され;さらにそれぞれのR は独立に適合性のある部分である。選択された前駆体材料の少なくとも1つはR 置換基を持ちこれが適合性のある部分であることが好ましい。ここに用いた「適合性のある部分」という用語は、選択された自己組織化組成物内の成分の1つに対応するポリマーに結合した(そこからペンダントであることが好ましい)官能基または部分を意味し、以下でより詳細に説明する。言い換えると、適合性のある部分は自己組織化組成物と形状、機能、または特性において同一、類似、または同等であり、このため適合性のある部分およびその組成物の間には親和性がある。このような親和性は自己組織化組成物の組織化中のパターン形成を誘導する。当業者には好適な適合性のある部分は用いられる自己組織化材料に基づいて選択できることが理解できるであろう。1つ以上の実施態様において、R適合性のある部分はアルキル基(C〜C20アルキル基類が好ましく、C〜Cアルキル基類がより好ましい)、光減衰部分、フッ化炭化水素類、エステル類、エーテル類、およびこれらの組み合わせから成る群から選択できる。例えば、好適な光減衰部分には芳香族基類のフェニル、ナフタレン、アントラセン、および/またはカルバゾールのようなものが含まれる。さらにこのような光減衰部分を用いて高屈折率塗膜をつくり出すことができる。言い換えると適合性のある部分は、誘導自己組織化のための適合性部分として作用すること、同様に光吸収を提供することを含む、複合的な機能を発揮するように選択できることが想像できる。さらに当然のことながら、ハードマスクに1つ以上の適合性のある部分を同時に用いることができることがわかり、したがって幾つかの実施態様においてはハードマスク組成物には少なくとも2つの異なる適合性のある部分が含まれていることが好まれる。
この発明には幾つかの市販されているハードマスクを用いることができる。他の好適なハードマスク層にはフェネチルトリメトキシシラン(PETMS)、2−(カルボメトキシ)エチルトリメトキシシラン(CMETMS)、テトラエトキシシラン(TEOS)、メチルトリメトキシシラン、および/またはフェニルトリメトキシシランから成る群から選択されたモノマー類の共重合体が含まれる。
当然のことながらケイ素含有ポリマー類(つまりはハードマスク層14)の官能性および機能はポリマーの置換基を変更することにより(例えば、上に述べたように適合性のある部分を変更することで)カスタマイズできる。1つ以上の実施態様において、ケイ素含有ポリマーは以下の特性:光吸収性;フッ素化エッチャント内の耐エッチング性;および/またはパターン形成を誘導する適合性(すなわち、表面エネルギー)、の少なくとも2つ、およびより好ましくは3つ全ての特性をハードマスク層14に与える基を含んでいる。さらに当然のことながら、処理工程で用いられるDSA材料の特性によってはハードマスクの特性を改変する必要がある。
1つ以上の実施態様において、ケイ素含有ポリマー類は1つ以上の置換側鎖を持つ分岐ポリマー類であることが好ましく、2−D および/または 3−D 分岐を持ち、これがカゴ型(すなわち主鎖)を規定する交互のケイ素および酸素原子、ならびにこれから懸垂する適切な置換基を含むカゴ型構造を形成することがさらに好ましい。1つ以上の実施態様において、2−D および/または 3−D 構造は
のような繰り返し単位を含み、ここにそれぞれの R は独立に上に規定した適合性のある部分である。ケイ素含有ポリマー類は一般にペンダント置換基、または−OH基のいずれかである末端基を含む。とりわけ好適なケイ素含有ポリマーは以下の構造式を含む:
ここに mは主鎖(カゴ型)内の分布または位置に関わらず単量体の繰り返し単位を意味し、「波状」線は末端−OH基(端末キャップ)を単量体繰り返し単位に結合したかもしれない追加的なポリマー主鎖を示し、および各々のRは上に規定したように独立に適合性のある部分である。組成物内に存在しうる添加物には表面エネルギー調整剤、発色団、流動性改質剤、粘着性調整剤、などが含まれる。このような添加物はハードマスクポリマー内のコモノマーとして存在することも、または単に組成物内に物理的に混ぜ込むこともできる。
ハードマスク組成物に用いられる好適な溶媒系にはプロピレングリコールモノメチルエーテル(PGME)、プロピレングリコールモノメチルエーテルアセテート(PGMEA)、ガンマ−ブチロラクトン(GBL)、シクロヘキサノン、シクロペンタノン、乳酸エチル(EL)、エチルアセトアセテート(EAA)、n−ブチルアセテート、メチルイソブチルカルビノール(MIBC)、2−ヘプタノン、イソプロピルアルコール(IPA)、メチルエチルケトン(MEK)、およびこれらの混合物から成る群から選択された溶媒が含まれる。溶媒系の沸点は約80から約210℃が好ましく、約90から約205℃がより好ましい。溶媒系は組成物の全成分の合計重量を重量で100%としたものを基準として、重量で約30から約99.9%、好ましくは重量で約90から約99.5%、さらにより好ましくは重量で約98から約99%のレベルで用いられることが好ましい。1つ以上の実施態様において、ハードマスク組成物の固形分含有量は組成物の合計重量を重量で100%としたものを基準として、重量で約0.1%から約70%であることが好ましく、約0.5%から約10%であることがより好ましく、約1%から約2%であることがさらにより好ましい。
ケイ素含有ポリマーは以下の随意的な成分:界面活性剤、酸または塩基触媒、モノマー類、ポリマー類、ナノ粒子、および/または架橋剤、と共に溶媒系に分散または溶解される。典型的な触媒には、塩化ベンジルトリエチルアンモニウム、アンモニウムアセテート、リチウムアセテート、水酸化テトラメチルアンモニウム、塩基性塩、などが含まれる。架橋剤が組成物に含まれることがある;しかしながら、本発明は架橋剤を使用する必要がないという注目すべき利点をもたらす。すなわち、ハードマスクは必要な硬化および/または架橋を自らが自らにもたらし高い架橋密度を持つハードマスク層を産出する。1つ以上の実施態様において、ハードマスク組成物は固形分の合計重量を重量で100%としたものを基準として、重量で約3%未満の架橋剤を含むことが好ましく、重量で約1%未満の架橋剤を含むことがより好ましく、重量で約0.1%未満の架橋剤を含むことがさらにより好ましいが、それでもさらにより好ましいのは実質的に架橋剤を含まないことである。ここに用いた「架橋剤(crosslinking agent)」という用語は「橋かけ剤(crosslinker)」と同義に用いられアミノ樹脂、クレゾール類、エポキシ類(ゾルゲル化合物が存在する場合はそれ自体の上に存在するものを除く)、ポリオール類、無水物類、グリシジルエーテル類、ビニルエーテル類、メラミン類、グリコールウリル類、ベンゾグアナミン類、およびこれらの混合物のような化合物を含む。
実施態様にかかわらず、ハードマスクを塗布した後、好ましくは約100℃から約300℃の温度まで、より好ましくは約150℃から約250℃まで、約30秒から約120秒、好ましくは約45秒から約60秒の間加熱し、溶媒を蒸発させハードマスク層14を硬化または架橋させることが好ましい。1つ以上の実施態様において、架橋したハードマスク層14はシロキサン交差結合を構成する。1つ以上の実施態様において、ハードマスク組成物は感光性ではなく、このため光または放射線に曝されても化学的または物理的な変化を被らない。例えば、ある実施態様では、硬化したハードマスク層14は現像液に溶解せずしたがって光照射により現像液可溶の状態にすることはできない。
焼き付け後のハードマスク層14の平均厚み(5点の測定による)は約5nmから約 50000nmが好ましく、約5nmから約 1000nmがより好ましく、約10nmから約 100nmがさらにより好ましい。できたハードマスク層14は約500nm未満の波長(例えば、365nm、248nm、193nm、157nm、または13.5nm)を含む広い波長域で光吸収特性を保有することが好ましい。ハードマスク層14のk値(複素屈折率の虚数成分)は少なくとも約 0.05で、好ましくは約 0.1から約0.3、さらに好ましくは約0.15から約 0.25であり、かつ n値(複素屈折率の実成分)は少なくとも約 1.45で、好ましくは約1.55から約 2.25、さらに好ましくは約1.65から約 2であることが好ましい。これらの値は上に列挙したように広い波長域で得られる。ハードマスク層14は高い架橋密度および高い耐溶媒性を持つが、以下でより詳細に説明する。
当然のことながら新規のハードマスク中立層14の形成は現在のCVDハードマスク層で要求される複雑さ、すなわち特殊な機械を必要とし、さらにその後のブラシ中立層の塗布ではDSAに好適な構造体を形成するために数時間のアニーリングおよび溶媒すすぎを必要とすること、を回避できる。斯くして、スピン塗布したハードマスク中間層は現行の技術に対し著しい利点をもたらす。
都合のよいことに、自己組織化層16はハードマスク層14の上に直接塗布することができる。言い換えると、2つの層の間には反射防止層、またはブラシ中立層のような介在する層がなく、このため自己組織化層16は図2(A)に描かれているようにハードマスク層14の少なくとも一部と直接接触している。自己組織化層16はDSA用の全ての好適な材料を用いて形成することができる。一般に、そのような材料は2つ以上の混和しない化合物(例えば、ポリマーブレンド)または官能性、極性、または水親和性、耐エッチング性、などのような特性がはっきりと異なる(しばしば反対の)少なくとも2つの成分を持つ自己組織化化合物を含み、これが2つの化合物または成分を合理的な方法で隔離(基本的にナノ相分離)および整列すると同時に、1つの化合物または成分を選択的に除去できるようにする。とりわけブロック共重合体は、少なくとも2つのはっきりと異なるブロックを持つものを合成でき、適切な条件の下で各成分を整列させ、かつ整列後に選択的に除去できることからDSA技法に適合している。1つ以上の実施態様において、自己組織化組成物はOガスの中で高いエッチング速度を持つ少なくとも1つのブロック、および Oガスの中で低いエッチング速度を持つ少なくとも第2のブロックを含んでいるブロック共重合体を含む。ポリスチレン−ブロック−ポリ(メチルメタクリレート)共重合体(PS−b−PMMA)は本発明に用いることが適したDSA材料の一例である。これらのブロック共重合体分子は一般にPMMAの紐につながるPSの紐を含む。DSAブロック共重合体によく用いられる他のブロックには、ポリ(乳酸)(PLA)、ポリ(酸化エチレン)(PEO)、ポリジメチルシロキサン(PDMS)、ポリビニルピロリドン(PVP;P2VP)、などが含まれる。改変したブロック共重合体もまた本発明で用いることができる。例えば、個々のブロックは共重合体ブロックを作るように改変できる。言い換えると、個々のブロックそれ自体がその単一ブロック単位内に共重合体を含み、この共重合ブロックが他の個々のブロックと結合して改変ブロック共重合体をつくり出すことができる。例えば、ポリ(スチレン)ブロックはビニルベンゾシクロブテンと改変してポリ(スチレン−共−ビニルベンゾシクロブテン)ブロックをつくり出し、次いでこれが上に記したようにPMMAブロックに結合することができる。このような改変は、例えば、層がアニールされた時点でポリ(スチレン)ブロックの架橋密度を増大させ、さらに第1および第2ブロックの異なる特性を強化し自己組織化後の1つのブロックの選択的除去を促進するために行われる場合がある。当然のことながら、自己組織化のための機能的に他と異なるブロックを持つブロック共重合体を形成するためには事実上適切な共重合体または改変された共重合体のどのような組み合わせも用いることができる。斯くして、選択されたDSA材料次第で、ハードマスク適合性部分は自己組織化の間にパターン形成を誘導するようにハードマスク層14および自己組織化層16を互いに同調することが可能である。
自己組織化組成物は一般に構造体の上に流し込むために溶媒系の中に分散または溶解された自己組織化化合物を含むものである。好適な溶媒にはハードマスク層のために上で説明したすべての前述の溶媒と同様に、PGME、PGMEA、GBL、シクロヘキサノン、シクロペンタノン、EL、EAA、n−ブチルアセテート、MIBC、2−ヘプタノン、IPA、MEK、およびこれらの混合物が含まれる。都合のよいことに、架橋したハードマスク層14はDSA層16内、同様に通常のフォトレジスト現像液内に用いられる溶媒には実質的に不溶である。これにより混合することなく自己組織化層16が全く混ざることなく直接ハードマスク層14に隣接して形成することが可能になる。斯くして、剥離試験を行うと、ハードマスク層14のパーセント剥離は約5%未満であり、約 1%未満が好ましく、約0%がより好ましい。剥離試験は先ず層14の異なる 5つの場所の測定値の平均をとって厚みを決める。これがフィルムの初期平均厚みである。次に、フィルムを溶媒または現像液で約 30秒すすぎ、それから約 500〜3000rpmで約20〜60秒スピン乾燥して溶媒を除去する。再度ウェーハー上の先の 5点で偏光解析法を用いて厚みを測定し、これら測定値の平均を決める。これが最終平均フィルム厚みである。剥離量は初期および最終の平均フィルム厚みの差である。パーセント剥離は:
自己組織化層16は図2(B)に示すように、次いで放置され自己組織化する。自己組織化はすべての適切な技法を用いて実行することが可能で、熱アニーリング、溶媒アニーリング、マイクロ波アニーリング、およびこれらの組み合わせが含まれる。1つ以上の実施態様において、自己組織化層16は十分な温度、好ましくは自己組織化化合物のガラス転移点温度(Tg)を超えるまで加熱される熱アニーリングを受ける。1つ以上の実施態様において、自己組織化層16は約100℃から約 350℃までの温度(約150℃から約 250℃が好ましい)で、約30秒から約 10分の間(約 1分から約 5分が好ましい)加熱される。当然のことながら、具体的なアニーリング条件は用いられる特定の自己組織化材料によるもので、したがってそれにより変動し得る。
再度図2(B)を参照しながら、アニーリングおよび自己組織化の間、自己組織化化合物の異なる成分はそのはっきり異なる特性にしたがって隔離し、このため自己組織化または「アニールされた」層16’は実質的に少なくとも2つの異なる自己組織化または「アニールされた」領域にさらに分割される。とりわけ1つ以上の実施態様において、自己組織化層16’は第1自己組織化またはアニール領域16aおよび第2自己組織化またはアニール領域16bを含み、これらは基板10に対し垂直に配向している。例えば、PS−b−PMMAブロック共重合体に関しては、自己組織化の間、それぞれの鎖のPMMA側は互いに引き寄せられ、一方で鎖のPS側はハードマスク14内の適合性のある部分(すなわち、フェニル基類)に対して親和性を有する。用いられる技法によっては、これはPMMA部分を球状にまとめ、ポリスチレン(16b)のマトリックス内の、実質的に基板に垂直に方向づけられたPMMAの円柱または層(16a)を形成する。PMMA(16a)を除去することによりナノメートルサイズの孔または溝のあるポリスチレン面(16b)が残る。斯くして、第1および第2自己組織化領域16aおよび16bは組成的に(すなわち、化学的に、および好ましくは物理的に)相互にはっきり分かれ、次いで第1または第2の自己組織化領域のどちらかを選択的に除去してパターン20をつくり出すことができる。例えば、ここに第1および第2エッチング速度が異なり、第1組織化領域16aには湿式または乾式のエッチャント内で第1エッチング速度を持たせ、一方で第2組織化領域16bには湿式または乾式のエッチャント内で第2エッチング速度を持たせることができる。具体的には、用いるエッチャントにより第1エッチング速度は第2エッチング速度に比べて速くもまたは遅くもできる。斯くして、第1または第2組織化領域16aまたは16bの一方を除去し同時に第1または第2組織化領域16aまたは16bの他方をハードマスク層14に実質的に完全なまま(または少なくともこれから実質的に除去されずに)残るように、適切なエッチャントを選択できる。
例えば、図2(c)に示すように、第1自己組織化領域16aを選択的に除去してパターン化スタック18’の上の自己組織化層16’のパターン20を生じさせることができる。パターン20は通常はアニールした自己組織化層16’内の溝、スペース、ビアホール、および/またはコンタクトホールのような地物から構成されている。都合のよいことに、これらの地物の平均(中間)個別地物寸法は約30nm未満である。ここに用いる「地物寸法」という用語は、スタックのSEM断面を測定した地物の平均(中間)幅を意味する(したがって孔の場合幅は孔の直径と同じである)。特定の自己組織化化合物により湿式または乾式エッチングのような種々の除去技法を用いることができる。1つ以上の実施態様においては、第1自己組織化領域16aを乾式エッチング(例えば、Oプラズマ)を用いて除去することができる。これでパターン20をハードマスク層14およびカーボンリッチ層12内まで,さらに最終的には基板10(非表示)内まで下方に伝達できる。1つ以上の実施態様において、ハードマスク層14はフッ化ガスエッチャント内では高エッチング速度を、および酸素ガスエッチャント内では低エッチング速度であるべきである。例えば、ハードマスク層14はエッチャントとしてフッ素に富むプラズマ(例えばCF)が用いられる場合、自己組織化層16’の第2自己組織化領域16bに比べて少なくとも0.75倍のエッチング速度を持つべきである。さらにハードマスク層14もエッチャントとして酸素に富むプラズマが用いられる場合、カーボンリッチな中間層12よりも少なくとも5倍遅いエッチング速度であるべきである。
図3はリソグラフィー アシスト(例えばグラフォエピタキシー)の自己組織化を用いた本発明によるさらなる実施態様を描いている。上に記載したように基板10,随意的な中間層(例えばカーボンリッチ層)12、およびハードマスク層14を用いてスタック18を準備した。これで硬化したハードマスク層14に画像形成層22を形成するための感光性組成物を塗布できる。できたスタック18が図3(A)に描かれている。画像形成層22に用いるために好適な感光性組成物には少なくとも約1mJ/cm の放射線に暴露することでパターン化できるフォトレジスト、反射防止画像形成層、などのような全ての組成物が含まれる。画像形成層22はそれから少なくとも約80℃、好ましくは約 100℃から約 140℃の温度で、約 10秒から約 120秒(好ましくは約30秒から約 60秒)の間塗布後焼き付け(PAB)することができる。画像形成層22の厚みは約10nmから約 300nmが好ましく、約20nmから約 150nmがより好ましく、約30nmから約 100nmがさらにより好ましい。
図3(A)に描かれているように、次いで画像形成層22は、例えば適切な波長の放射線(例えば、光学リソグラフの場合では光)に暴露し、続いて画像形成層22の露出していない部分を現像することによりパターン化できる。1つの実施態様において、図3(A)に示すように、画像形成層22は画像形成層22の上に位置するマスク24を用いて露光される。マスク24には開口部24aがあり放射線(hv)がマスク24を透過して画像形成層22に到達して画像形成層22に露光した部分を生じさせることができるように設計され、そこは溶媒中で不溶の状態となる(ネガ型のフォトレジストを使用の場合)。マスク24の残りの非開口部分24bは放射線が画像形成層22の特定領域に到達することを防ぐように設計され、これにより画像形成層に露光しない部分を生じ、そこは溶媒可溶のままである。開口部24aおよび非開口部24bの配置は画像形成層22に形成されることを希望するパターンに基づいて設計されることが当業者には直ちに理解されるだろうが、この方法はとりわけ画像形成層22の大部分が放射線から遮蔽され線および柱状物のような凸状の地物を形成する暗視野露出に適している。露出の後、画像形成層22は約80℃から約 150℃、より好ましくは約100℃から約 130℃の温度で、約 30秒から約 60秒の間露出後焼き付け(「PEB])を受けることが好ましい。
露出に際し、画像形成層22の放射線に暴露された部分は有機(非アルカリ性)の溶媒現像液に不溶の状態になる。図3(B)に示されるように、露光した画像形成層22は次いで溶媒に接触して非露光部分を除去して画像形成層22に希望するプレパターン20’を形成する。代わりに、ポジ型フォトレジストを用いた場合には画像形成層22の露光した部分は露光過程で水性のアルカリ性現像液に可溶な状態になり、この場合は除去処理工程は上に記載したものと逆になる。すなわち、露光した部分が現像中に除去されパターンを形成する(非表示)。何れの実施態様においても、画像形成層22の非露光(場合によっては、露光)部分の少なくとも約95%が現像液により除去されることが好ましく、少なくとも約 99%がより好ましく、約 100%が除去されることがさらにより好ましい。好適な非アルカリ性の溶媒現像液にはn−ブチル酢酸、n−ブチルプロピオネート、イソブチルブチレート、および/またはケトン類(例えば、2−ヘプタノン)が含まれる。ポジ型画像形成層用に好適なアルカリ性現像液は水酸化カリウム(KOH)および水酸化テトラメチルアンモニウム(TMAH)のような有機または無機のアルカリ性溶液で、さらに0.26Nまたは未満のTMAH水溶液を含むことが好ましい。これらの現像液の幾つかはPD523AD(Moses Lake Industries,Inc.ワシントン州モーゼスレークから入手可能)、MF−319(Shipley社、マサチューセッツ州から入手可能)、MF−320(Shipley社から入手可能)およびNMD3(TOK社日本から入手可能)の 商品名の元で市販されている。
斯くして、画像形成層22の選択された部分がスタック18’から除去されたとき、カバーされていないまたは露光した画像形成層22の下のハードマスク層14の部分にプレパターンが形成される結果となる。図3(B)にはパターン化されたスタック18’が描かれている。できたプレパターン20’はハードマスク層14の上に形成された凸状の地物22’(例えば、線、円筒、方形の島、またはこれらの組み合わせ)で構成されることが好ましい。これらの地物22’は画像形成層22の露光部分と化学的に同一で、さらに各々はそれぞれの側壁22aおよびそれぞれの上面22bで規定されている。当然のことながら、別の実施態様においては、画像形成層22をパターン化しおよび凸状の地物22’を形成するために多数個取り処理、同様に液浸リソグラフィーを含む他のいかなる適切なパターニング処理工程を用いることも可能である。上述したように、さらにこれも当然のことながら、ここに記載したネガ型画像形成層22の代わりにポジ型レジストまたは感光性材料を用いることもできる。その場合、画像形成層22の非露光部分が不溶のまま残り、一方で露光部分が可溶状態になり現像液で除去される。他のパターニング方法もまた用いることが可能で、インプリントリソグラフィー、ナノ−インプリントリソグラフィー、ホットエンボシングリソグラフィー、およびスタンピングパターン転写のような最新の技術も含まれる。これらの技術は上に記載したようなフォトリソグラフィーパターニングの代わりにパターンを転写するためにパターン化した型を用いる。
実施態様にかかわらず、希望するプレパターン20’が形成され次第、図3(C)に描いたように自己組織化組成物16を凸状の地物22’の間のすき間(ハードマスク14に直接隣接して)、および凸状の地物22’の側壁22aに隣接して流れ込むようにパターン化したスタック18’に塗布することができる。1つ以上の実施態様において、自己組織化組成物16はさらに凸状地物22’の上面22bに上塗りすることもできる。しかしながら、別の実施態様においては、自己組織化組成物16は凸状地物22’の上面22bに上塗りされないことが好ましい。いいかえると、自己組織化組成物16は、凸状の地物22’の間および地物22’の側壁22aに隣接して堆積されるものの、凸状地物22’の上面22bにはないということである。その結果、凸状地物22’の上面22bはむき出しのままなので溶媒除去またはエッチングにより簡単に除去でき、プレパターン20’を露出させるための自己組織化層16のエッチバック措置または他の改変を必要としない。
次いで自己組織化組成物16は上に記載したように自己組織化またはアニールされ、自己組織化またはアニール層16’に第1自己組織化領域16aおよび第2自己組織化領域16bを得ると共に、ここでは第1または第2自己組織化領域の一方は凸状地物の側壁22aに隣接し、かつ第1または第2自己組織化領域16a、16bの他方は凸状地物の側壁22’から隔離している。図3(D)に描かれているように、第1自己組織化領域16aは凸状地物の側壁22aから隔離している(すなわち、接触していない)が、一方第2自己組織化領域16bは凸状地物の側壁22aに隣接している。例えば、PS−b−PMMA ブロック共重合体自己組織化材料の場合、ポリスチレン(16b)がフォトレジスト側壁22bに隣接して整列し、一方でPMMAブロック(16a)は互いに引き寄せられこのため隣接するポリスチレンの自己組織化領域の間に隔離されている。
それから第1または第2自己組織化領域16a、16bのいずれかを除去することができパターン20が生じる。例えば、図3(E)および(F)を参照すると、次いで第1自己組織化領域16aを除去することができ、パターン化スタック18’上の自己組織化層16’内にパターン20を生じさせ、それからこのパターンをハードマスク14’およびカーボンリッチ中間層12’内に下方に伝達する。当然のことながら、第1自己組織化領域16aの代わりに、第2自己組織化領域16bが代わりに除去されることもあり得る。いずれにせよ、できたパターン20はそれから最終的に基板10(非表示)に至るまで下方に伝達される。
本発明の1つの有利なことはハードマスク中立層の表面特性を自己組織化材料の整列を促進する中立層から非整列層に改変し、その上にある自己組織化材料がアニーリングまたは自己組織化の間に整列しないようにすることができることである。図4を参照すると、化学エピタキシー用のハードマスク14を改変する1つの実施態様が描かれている。スタックは上に説明したように、基板10,随意的な中間層12、ハードマスク中立層14、および画像形成層22を含むスタックを準備する。次いで画像形成層22をパターン化する。図4(A)に描かれている実施態様は画像形成層22をパターニングする光学リソグラフィー技法について説明しているもので、開口部24aがあるマスク24で放射線(hv)がマスク24を通過して画像形成層22に接触し画像形成層22の露光した部分が現像液に可溶な状態をつくり出す(ポジ型レジストを用いた場合)ことを可能にする様に設計されたものを用いている。マスク24の残りの非開口の部分24bは放射線が画像形成層22の特定域に当たることを妨げるように設計されているため現像液に不溶のままである画像形成層の露光していない部分を生みだす。同様に、上で説明したように、当業者には開口部24aおよび非開口部24bの配置は画像形成層22に形成したいと希望するプレパターンに基づき設計されていることは直ちに判るであろう。露光の後、画像形成層22は露光後焼き付け(「PEB」)を約80℃から約 150℃の温度、より好ましくは約100℃から約 130℃の温度で、約 30秒から約 60秒の間受けることが好ましい。
ポジ型のフォトレジストが用いられる場合は、図4(b)にイラストされているように画像形成層22’の露光部分はそれからアルカリ性の現像液に接触することで除去される。画像形成層22の選択された部分を除去してプレパターン20’をつくり出すという目的で、上に記載されているように、画像形成層22をパターニングする別の方法を用いることもできる。斯くしてカバーされていなかったまたは露光した画像形成層22の選択された部分がスタック18’から除去されると、画像形成層22の下のハードマスク層14の部分にプレパターンが形成されることになる。ハードマスク14に隣接する画像形成層22’の残りの部分はハードマスク中立層14の表面改変のためのマスクとして作用する。1つ以上の実施態様において、画像形成層22は光学リソグラフィーおよびアルカリ性現像液リンスを用いてパターン化される。あるいは、画像形成層はもう一つの適切な方法を用いてパターン化し、次いでアルカリ性現像液溶液に接触させる。いずれにせよ、ハードマスク14の露光した部分はアルカリ性の現像液溶液と(別々にまたは現像液リンスの間に)接触する。図4(C)に描かれているように、画像形成層22’の残りの部分はそれから除去され(例えば溶媒により)表面改変領域26aおよび非改変領域26bを持つハードマスク14を生じ、ここに表面改変領域26aは画像形成層22のパターニングの際にハードマスク14のカバーされていなかった部分に対応する。都合のよいことに、アルカリ性現像液と接することによりハードマスク14の表面エネルギーが変わる。1つ以上の実施態様において、表面エネルギーは増加し、その結果ハードマスク層14の表面改変部分26aが中立層として作用する能力を失わせると同時に自己組織化処理工程の間に整列を誘発する。しかしながら、ハードマスク層14の非改変領域26bは、パターニングおよび現像液に接する間は画像形成層22により覆われていて、その中立層の特性をなお維持している。斯くして、表面改変領域26aはハードマスク14の上の非整列域に対応し一方非改変領域26bは整列域に対応する。したがって活性な整列域26bには自己組織化の際にパターン形成のガイド構造体となる能力がある。
図4(D)に描かれているように、次いで自己組織化層16は表面改変ハードマスク層14の上に、自己組織化層16および表面改変領域26aおよび非改変領域26bの間に直の接触があるように、直接形成される。次いで自己組織化層16は上述したように自己組織化し成分を自己組織化させる。表面改変のため、自己組織化層16は図4(E)に描かれているように層16のハードマスク14の非変換域26bに隣接する部分でのみ第1自己組織化領域16aおよび第2自己組織化領域16bに自己組織化する。いいかえると、自己組織化層16のハードマスク層14の表面改変域26bに隣接する部分はアニーリングまたは自己組織化の際にはパターンに分離したり隔離したりはせず「未集合」、または「非整列」である。次いで第1または第2自己組織化領域16a、16bの一方を選択的に除去することができ、次いで図4(F)に描かれているようにハードマスク層14’および随意的な中間層12’内にできたパターン20をエッチングする。このパターン20が最終的に基板10内まで下方に伝達される(非表示)。
当然のことながら先のそれぞれの方法、自己組織化またはアニーリングにおいて結果的に自己組織化層16内のナノ相分離となり、これが現在の光学リソグラフィー技法では通常到達できないナノメートルサイズのパターンの形成を可能にしている。さらに当然のことながら本方法は自己組織化層16内の少なくとも2つのはっきり異なるアニールまたは自己組織化領域の形成を説明しているが、追加的なDSA材料を調合して、第3および第4のアニールまたは自己組織化領域を含む2つを超えるはっきり異なる位相に分離することも予測できる。
本公開の種々の実施態様による付加的な利点はここの公開および下の実施例によって当業者には明らかであろう。当然のことながらここに記載した種々の実施態様は特に別途述べない限り必ずしも相互排他的なものではない。例えば、1つの実施態様で説明または描かれた特性は他の実施態様に含まれる場合もあるし、必ずしも含まれる必要はない。斯くして、本発明はここに説明した具体的な実施態様の種々の組み合わせおよび/または融合を包含する。
その上、ある好適な実施態様を図に描き、さらに明細書に記載する一方で、このような公開はほんの一例であることは当然である。実施態様はここに断面図を参照して記載されそれらは本発明の理想化された実施形態の略図である。そのため、結果としてイラストの形からの変動、例えば、製造技法および/または誤差はあり得る。本実施態様の本質を特定の公開された実施態様に限定する意図はない。例えば、図面では、寸法および層の相対的な寸法および領域は明瞭にするために誇張されている場合がある。さらに、実施態様はここに描かれた領域の特定の形状に限定されるのではなく結果として、例えば製造からくる、形の逸脱も含むものと解釈すべきである。例えば、矩形に描かれているエッチングした領域は丸みを帯びまたは曲線的な特徴を持つ場合もある。斯くして、図で描かれた領域は本来的に概略図でありその形は特に指定がない限り必ずしもデバイスの領域の正確な形を描こうと意図したものではなく、かつここに公開した実施態様の範囲を限定することを意図するものではない。
ここに用いた「および/または」という表現は、2つ以上の品目のリストで用いられた場合、リストの品目のどの1つでもそれ自体で用いることもまたはリストの品目の1つ以上のどのような組み合わせを用いることもできることを意味する。例えば、組成物が成分A、B、および/またはCを含むまたは除外すると記載された場合、組成物はA単独;B単独;C単独;AおよびBの組み合わせ;AおよびCの組み合わせ;BおよびCの組み合わせ;またはA、B、およびCの組み合わせを含むまたは除外することができる。
さらに本記述では本発明の種々の実施態様に関連する特定のパラメーターを定量化するために数値範囲を用いている。当然のことながら、数値範囲が提示された場合、その範囲は請求範囲を規定する文言上のサポート記載、範囲の下側値を表現するだけのもの、同様に請求範囲を制限する範囲の上側値を表現するだけのものを提供すると理解されるべきである。例えば、約10から約100と開示された数値範囲は請求範囲が「約10より大きく」(上限はなく)という表現および請求範囲が「約100より小さく」(下限はなく)という表現に文言上のサポートを提供している。
以下の実施例は本発明に則り方法を説明する。しかし、当然ながら、これらの実施例は説明のために準備されたものであり内容の如何なるものも本発明の全体の範囲を制約するものと解釈すべきではない。
<ポリマー1の合成>
この実施例では、シリコンハードマスク共重合体は 8.01グラムのフェネチルトリメトキシシラン(Gelest Inc.、ペンシルバニア州モリスビル)、5.33グラムの 2−(カルボメトキシ)エチルトリメトキシシラン(Gelest Inc.)、および60.11グラムのPGMEA((Ultra Pure Solutions,Inc.,カリフォルニア州カストロビル)を2首丸底フラスコに加えて合成した。5分間をかけて5.50グラムの 3N 酢酸溶液(水中の 17.6%酢酸、Aldrich社、ミズーリー州セントルイス)を溶液がかき混ぜられているフラスコに加えた。丸底フラスコには蒸留ヘッド、蒸留カラム、および回収フラスコが取り付けられていた。溶液を97.5℃で 6.5時間加熱して反応を完了させそれから放置して室温まで冷却した。合計64.8グラムの溶液を回収し推定固形分含有量は 15.00%であった。
<ハードマスク1の合成>
ハードマスク調合物は 4.00グラムのポリマー1を 1.50グラムの塩化ベンジルトリエチルアンモニウム(BTEAC、Aldrich社)のPGME中の0.4%溶液、18.40グラムのPGME、および16.50グラムのPGMEAと混合して固形分 1.50%の溶液を作って準備した。調合物を4インチのシリコンウェーハーに 1500rpmで60秒スピンコートしその後ホットプレート上で 230℃で60秒焼き付けした。この処理工程で ≒400Åのフィルムを得た。
<ポリマー2の合成>
この実施例においては、シリコン共重合体は 8.00グラムのフェネチルトリメトキシシラン、5.45グラムの 2−(カルボメトキシ)エチルトリメトキシシラン、および3.19グラムのテトラエトキシシラン(Gelest Inc.)を2首丸底フラスコ内の60.70グラムのPGMEに加えて合成した。5分間をかけて、6.91グラムの 3N酢酸溶液(水中の17.6%酢酸)を溶液がかき混ぜられているフラスコに加えた。丸底フラスコには蒸留ヘッド、蒸留カラム、および回収フラスコが取り付けられていた。溶液を97.5℃で 6.0時間加熱して反応を完了させそれから放置して室温まで冷却した。合計68.0グラムの溶液を回収し推定固形分含有量は 15.78%であった。
<ハードマスク2の合成>
ハードマスク調合物は 4.00グラムのポリマー2を 1.58グラムの 0.4%のBTEAC溶液(PGME中の)、19.99グラムのPGME、および 16.96グラムのPGMEAと混合して固形分1.50%溶液を作って準備した。調合物を4インチのシリコンウェーハー上に 1500rpmで 60秒スピンコートしその後ホットプレート上で 230℃で 60秒焼き付けした。
<ハードマスク2上の誘導自己組織化>
ハードマスク2を 300mmシリコンウェーハー上に1500rpmのスピンスピードで 60秒スピンコートし次いでホットプレート上で230℃で焼き付けして約 300Åの厚みのフィルムを得た。ブロック共重合体組成物(PGMEA中のPS−b−PMMAの1%溶液(分子量 25000ダルトン);Polymer Source,Inc.、カナダ、ケベック州)をハードマスク層の上に 1500rpmで 60秒スピンコートしてハードマスクおよびDSA層の両方で合計約 725Åの厚みを得た。ホットプレート上で230℃で 5分の熱アニーリングを実施した。次にウェーハーは出力20W、0.45Torrの真空、およびガス流量 5.25sccmで 5秒の O プラズマエッチングを受け、SEM上でハイコントラストをつくり出した。図5はハードマスク2の上のDSA層に形成されたパターンを表している。
<スピンオンカーボン層上のハードマスク2の上のDSA>
TEL CLEAN TRACK ACT12TMのトラック上でスピンオンカーボン(SOC;OptiStackTM SOC110D−311; Brewer Science社、ミズーリー州ローラ)を 300mmのシリコンウェーハーの上に1500rpmのスピンスピードで 30秒スピンコートしそれからホットプレート上で205℃で 60秒焼き付けして厚み約110nmのフィルムを得た。次いでハードマスク2をSOC層の上に 1500rpmで 30秒スピンコートして塗工し、それからホットプレート上で 250℃で 60秒焼き付けして厚み約 40nmのフィルムを得た。それからウェーハーをPS−b−PMMAブロック共重合体(PGMEA溶液内の 1%PS−b−PMMA、AZ Electronic Materials社、ニュージャージー州ブランチバーグ)をスピンコートにより塗工し目標厚みの 31nmを得た。次いでN雰囲気下のホットプレート上で 250℃で 5分間熱アニーリングを実施した。ウェーハーを KLA eCD CD−SEM で検査した。図6および7はブロック共重合体DSA層に形成されたパターンを示す。
<ポリマー3の合成>
この実施例では、シリコン共重合体は 8.07グラムのフェネチルトリメトキシシラン、5.37グラムの 2−(カルボメトキシ)エチルトリメトキシシラン、8.51グラムのテトラエトキシシラン、および60.50グラムのPGMEを2首丸底フラスコに加えて合成した。5分間をかけて、9.21グラムの3N酢酸溶液(水中の 17.6%酢酸)をフラスコをかき混ぜながら加えた。丸底フラスコには蒸留ヘッド、蒸留カラム、および回収フラスコが取り付けられていた。溶液を97.5℃で 5.0時間加熱して反応を完了させそれから放置して室温まで冷却した。合計70.0グラムの溶液が回収され推定固形分含有量は 17.51%であった。
<ハードマスク3の合成>
ハードマスク調合物を 4.00グラムのポリマー3を 1.75グラムのPGME中の0.4%BTEAC溶液、18.18グラムのPGME、および 23.23グラムのPGMEAと混合して固形分 1.50%の溶液を作って準備した。調合物を4インチのシリコンウェーハー上に 1500rpmで60秒スピンコートしその後ホットプレート上で 230℃の60秒焼き付けした。この処理工程で ≒400Åのフィルムを得た。
<SOC上のハードマスク3の上のDSA>
TEL CLEAN TRACK ACT12TMのトラック上で OptiStackTM SOC110D−311(Brewer Science社)SOCを 300mmのシリコンウェーハー上に 1500rpmのスピンスピードで 30秒スピンコートしそれからホットプレート上で205℃で 60秒焼き付けして厚み約110nmのフィルムを得た。次いでハードマスク3をSOC層の上に 1500rpmで 30秒スピンコートして塗工し、それからホットプレート上で 205℃で 60秒焼き付けして厚み約 40nmのフィルムを得た。それからウェーハーにPS−b−PMMAブロック共重合体(PGMEA溶液中の 1%PS−b−PMMA、AZ Electronic Materials社)をスピンコートにより塗工し目標厚みの 31nmを得た。ブロック共重合体がウェーハーに塗工されると同時に、N雰囲気下のホットプレート上で 250℃で5分間熱アニーリングを実施した。次いでウェーハーを KLA eCD CD−SEM で検査した。図8および9はDSA層に形成されたパターンを示す。図10はブロック共重合体に形成されたDSAパターンを示し、間隔が13.2nm/線を示している。
<溶媒試験およびパターン形成におよぼす表面エネルギーの影響>
この実施例では、ハードマスク中立層をポジ型またはネガ型現像のいずれかに普通に用いられる種々の溶媒に曝した。表面エネルギーの測定値はHOおよびヨウ化メチレン(MI)の接触角の測定結果を用いて得た。ハードマスク中立層の表面に分注した静止液滴の形をゴニオメーターを用いて測定した。液−固−気(LSV)境界における接線の傾きおよび液滴の形を用いて接触角および表面エネルギー値を判定した。それぞれの接触角の試験について基板当たり3回の測定結果を平均した。
110nmスピンオンカーボン基板の上にハードマスク中立層を 30nmの厚みまで塗工しさらに 240℃で 1分焼き付けした。次いで各々の溶媒を 30秒の間表面に垂らしておいた(合計滞留時間)。スピンドライによる除去ステップに続いて標準Brewer ScienceTM CeeTM 100CB スピンコーター/焼き付けプレート装置を用いて 150℃で 30秒の焼き付けを実施した。後溶媒処理の後に表面エネルギー計算のための接触角測定が繰り返された。我々はネガ型(2−ヘプタノン、n−ブチルアセテート)およびポジ型(TMAH)双方の現像液を用いた。ポジ型現像液テストに関しては、先ずハードマスク中立層に193nmフォトレジスト(JSR1682J)を塗布し、続いて全面的な照射(17mJ/cm)、および2.38%TMAH溶液を用い 60秒間の現像をおこなった。
下の表はハードマスク層の表面が異なる現像液および溶媒と接触した後の異なる接触角(表面エネルギー)を示す。
ネガ型フォトレジストの現像に用いられた溶媒では表面エネルギーまたは接触角に対する変化は最小限であった(1〜1.5%)。しかしながら、ポジ現像液を用いて行ったテストでは合計表面エネルギーで5〜7%の範囲の変化を観察した。TMAH現像液を用いて観察した変化は顕著なもので、その結果はハードマスク中立層がブロック共重合体を誘導自己組織化する、およびパターン形成を誘導する、という能力を消失させるというものであった。この影響を理解するために我々は下に記載したように、ハードマスクを塗工したウェーハー上にポジ型レジストおよび処理を用いて四角い開口部を印刷した。
<改変したハードマスク中立層を用いた化学エピタクシー>
TEL CLEAN TRACK ACT12TMのトラック上で OptiStackTM SOC110D−311 を300mmシリコンウェーハー上に 1500rpmのスピンスピードで30秒スピンコートして多層スタックを準備し、続いてホットプレート上で 205℃で 60秒焼き付けして厚みが約 110nmのフィルムを得た。次いでハードマスク2をSOC表面に 1500rpmで 30秒スピンコートし、それからホットプレート上で 250℃で 60秒焼き付けし厚みが約 40nmのフィルムを得た。ポジ型フォトレジスト(PAR855; Sumitomo Chemicals、日本)をハードマスクに 2100rpmで40秒塗布しそしてホットプレート上で 100℃で60秒焼き付けした。塗工したウェーハーをASML1250スキャナー内で11x11マトリックスを用いて 50mJ/cmで照射した。照射の後、ウェーハーを 105℃で60秒の照射後焼き付け、冷却し、さらにTMAH OPD262(Fuji Film Electronic Materials社、ベルギー、ツウィンドレヒト)を用いて 60秒間現像した。
フォトレジスト(11x11の方形)の露光した部分は下方のハードマスクまでむき出しとなり、一方で非露光域はそのままフォトレジストで覆われていた。次いでウェーハーをフォトレジスト除去溶媒(RFR500(EL/MEK溶媒);Fuji Film Electronic Materials社、ベルギー、ツウィンドレヒト))と共に 400rpmで回転しながら 60秒間すすいだ。それからウェーハーを 100℃のホットプレート上で45秒間焼き付けして乾燥し、フォトレジストが完全にないウェーハーが残った。
それからウェーハーにPGMEA溶液中のPS−b−PMMAブロック共重合体、(AZ Electronic Materials社、ニュージャージー州ブランチバーグ)をスピンコートにより塗工した。ブロック共重合体がウェーハー上に塗工されると同時に、N 雰囲気の元でホットプレート上で 250℃で 5分間熱アニーリングし厚み 31nmを得た。ウェーハーはKLA eCD CD−SEM 上で検査した。
図11はハードマスクの現像液に曝された部分(方形の内側)では、パターニングが起きていないことを示している。いいかえると、ブロック共重合体は自己組織化しなかった。しかしながら、曝されなかった部分(ここはハードマスクが現像液に接していない)では、ハードマスクはまだ中立層として作用し、かつなおブロック共重合体層のパターニングを指示することが可能であった。結果は現像液がハードマスク中立層と接触していた場所を明瞭に描写して示している。これらの場所はもはやBCP整列を誘導せず、一方レジストで覆われていた場所はなおパターン形成を示す。ハードマスク中立層の表面エネルギー値を変える能力は必ずしもこれらの材料の否定的な特性ではなく、むしろ将来的には化学エピタキシー流れに利用できるかもしれない。
<ポリマー4の合成>
この実施例では、シリコン共重合体は 10.00グラムのフェネチルトリメトキシシラン、4.30グラムのメチルトリメトキシシラン、10.52グラムのテトラエトキシシラン、および60.00グラムのPGMEを2首丸底フラスコに加えて合成した。5分間をかけて、11.36グラムの3N酢酸溶液(水中に 17.6%の酢酸)をフラスコをかき混ぜながら加えた。丸底フラスコには蒸留ヘッド、蒸留カラム、回収フラスコが取り付けられていた。溶液を97.5℃で 5.0時間加熱して反応を完了させそれから放置して室温まで冷却した。合計63.5グラムの溶液が回収され推定固形分含有量は 19.08%であった。
<ハードマスク4の合成>
ハードマスク調合物は 3.50グラムのポリマー4を 1.67グラムのPGME中の0.4%のBTEAC溶液、17.65グラムのPGME、および 22.17グラムのPGMEAと混合して準備し固形分 1.50%の溶液を作った。調合物を4インチのシリコンウェーハー上に 1500rpmで60秒間スピンコートし、次いでホットプレート上で 230℃で 60秒間焼き付けした。この処理で ≒400Åのフィルムを得た。
ハードマスク4はさらにスピンオンカーボン層(OptistackTM SOC110D−311)の上に塗工され、これに上に記載したように、PS−b−PMMAブロック共重合体DSA層および熱アニーリングが続く。できたパターン形成を図12に示す。
<ポリマー5の合成>
この実施例では、シリコン共重合体は 8.01グラムのフェニルトリメトキシシラン、4.30グラムのアセトキシメチルトリエトキシシラン、6.92グラムのテトラエトキシシラン、および60.93グラムのPGMEを2首丸底フラスコに加えて準備した。5分間をかけて、9.66グラムの3N酢酸溶液(水中に 17.6%の酢酸)をフラスコにかき混ぜながら加えた。丸底フラスコには蒸留ヘッド、蒸留カラム、回収フラスコが取り付けられていた。溶液を97.5℃で 5.0時間加熱して反応を完了させそれから放置して室温まで冷却した。合計75.8グラムの溶液が回収され推定固形分含有量は 15.43%であった。
<ハードマスク5の合成>
ハードマスク調合物は 4.00グラムのポリマー5を 1.54グラムのPGME内の0.4%BTEAC溶液、15.56グラムのPGME、および20.47グラムのPGMEAと混合して準備し固形分1.50%の溶液を得た。調合物を 4インチのシリコンウェーハー上に1500rpmで 60秒スピンコートし、次いでホットプレート上で230℃で 60秒焼き付けした。この処理で≒400Åのフィルムを得た。
さらにハードマスク5はスピンオンカーボン層(OptistackTM SOC110D−311)の上に塗工され、これに上に記載したように、PS−b−PMMAブロック共重合体DSA層および熱アニーリングが続いた。できたパターン形成を図13に示す。
<線/スペースビッチの増殖>
グラフォエピタキシー処理フローをネガ型フォトレジスト(FUJIFILM Electronic Materialsのもの)とともに用いてプレパターニングを用いた線/スペースを形成する能力に関するハードマスク中立層の性能を評価した。N 雰囲気の元でTEL CLEAN TRACK ACT12TMを用いて、100nmのSOC層の上にハードマスク中立層を塗工し、フォトレジストがこれに続き、これは100nmスペースおよび600nmピッチにパターン化された。次いでブロック共重合体DSA層をパターン化されたフォトレジストに塗布し、続いて熱アニーリングした。ブロック共重合体組成物は内作で市販されているPS−b−PMMAブロック共重合体(L = 25 nm; Polymer Source Inc.)をPGMEAとブレンドして準備し1%の固体含有溶液を達成した。
全ての層は、レジストを例外として、240℃で 1分焼き付けた(なおブロック共重合体については、最大 5分まで)。レジストは200℃で 5分の強焼き付けにした。線/スペースのプレパターンはASML XT 1250D スキャナーの0.85NAで実施され双極子照明を用いた(σ = 0.93, σ = 0.69)。CD SEM検査ではアニーリング後のプレパターンの間におよそ 26nmピッチの線およびスペースが形成されていることを示している(図14)。
<コンタクトホールの縮み>
コンタクトホールの縮みはパターン化したウェーハーでターゲットCDが86nmで 130nmピッチであるものの上で試験した。N の元でTEL CLEAN TRACK ACT12TMを用い、先ず100nmSOC層の上にハードマスク中立層を、続いてネガ型レジスト(SG−N003i resist; TOK、日本、東京)、これはブロック共重合体DSA層を加える前にパターン化されていた、を用いて多層スタックを形成した。全ての層は、レジストを例外として、240℃で1分焼き付けた(ブロック共重合体については、最大 5分まで)。レジストは 200℃で 5分の強焼き付けにした。ブロック共重合体組成物は内作で市販されているPS−b−PMMAブロック共重合体(L = 25 nm; Polymer Source Inc.)をPGMEAとブレンドして準備し1%の固体含有溶液を達成した。
コンタクトホールのプレパターンは、ASML NXT 1950i スキャナー、NA 1.30と結合した Sokudo DUO トラック内でQuasar 30照明(σ = 0.93, σ = 0.69)を用いて準備した。図15はコンタクトホールのプレパターンの上のブロック共重合体の焦点合わせおよび放射線量を介した挙動を示す。焦点合わせおよび放射線量の範囲を通じてPMMAコアおよびPSの間の良好な分離が観察された。
DSAパターニングの最終段階はパターンの伝達である。PMMAコアは最初にエッチングされHMNL(hardmask neutral layer)を露出する。開かれたパターンはそれからHM NL、SOC、および最終的に下にある基板に伝達されなくてはならない。エッチングパターンの伝達作業にOxford PlasmaLab80+エッチング工具が用いられた。容積エッチング速度はブランケット基板について計算し、エッチング速度、これに続いて動力、圧力、および流量設定のDOE作業を判定した。PMMA除去は酸素リッチなプラズマ内で短時間(<10秒)実施され、開口がおよそ 25nmのコンタクトホールをつくり出した。PSおよびPMMAの間のまずいエッチングバイアスのため、PS厚みの 30%超が失われた。しかしながら、HMML はエッチングの利得をもたらした。残りのPSおよびHM NLの間の選択性はずっと大きく、しかも低動力(≒200ワット)、低圧力(10〜15mTorr)の Ar:CF(1:3)混合ガスフローを用いてHM MLを成功裏にエッチングして貫通した。HMエッチング処理工程に沿って、110nmのSOC材のエッチングは低動力(<200ワット)、中圧力(30mTorr)および N:Ar:Oガス割合が 1:1:3を用いて達成できた。我々はHMNLに 40nm、続いて SOCに100nmを開けることができた。最終のCDはレジストの最初の 86nmの開口より≒60nm小さかった。図16が処理工程全体のコンタクトホールの縮みを総括している。

Claims (35)

  1. 誘導自己組織化を用いるマイクロエレクトロニクス構造体を形成する方法で、前記方法が:
    ウェーハースタックを供給し、前記スタックは以下を含む:
    面がある基板;
    前記基板面の上にある1つ以上の随意的な中間層;
    適合性のある部分を含む組成物をスピンコートすることにより形成され、前記中間層が存在するならこれに隣接する、または中間層が存在しないなら前記基板面の上のハードマスク層;および
    自己組織化組成物を前記ハードマスク層に直接塗布する、
    前記自己組織化組成物は前記ハードマスク層に直接隣接して自己組織化層に自己組織化し、ここに前記自己組織化層は第1自己組織化領域および前記第1自己組織化領域とは異なる第2自己組織化領域を含む、
    ことを含む、マイクロエレクトロニクス構造体を形成する方法。
  2. さらに前記第1自己組織化領域または第2自己組織化領域の一方を除去し前記自己組織化層にパターンを生みだすことを含む、請求項1に記載の方法。
  3. さらに前記パターンを前記ハードマスク層、存在するなら前記中間層、および前記基板内に伝達することを含み、ここに前記パターンが平均地物寸法で約30nm未満の溝、スペース、ビアホール、およびコンタクトホールから成る群から選択された複数の地物を含む、請求項2に記載の方法。
  4. 前記ウェーハースタックがさらに前記ハードマスク層の上に複数の凸状地物を含むプレパターンを含み、前記凸状地物はスペースで隔てられかつ各々がそれぞれの側壁および上面で規定され、ここに前記自己組織化組成物が前記ハードマスク層の上の前記凸状地物のスペースに直接塗布されている、請求項1に記載の方法。
  5. 前記複数の凸状地物が:
    感光性組成物を前記ハードマスク層の上に塗布して画像形成層を形成する; および
    前記自己組織化組成物を前記ハードマスク層に塗布する前に、前記画像形成層をパターニングして前記プレパターンを生みだす、
    ことにより形成されている請求項4に記載の方法。
  6. 前記パターニングが:
    前記画像形成層を放射線に露光させ前記画像形成層に露光および非露光部分を生みだす;および
    前記画像形成層を有機の非アルカリ性溶媒と接触させることにより前記非露光部分を除去する;
    ことを含む、請求項5に記載の方法。
  7. 前記ハードマスク層が表面改変領域および非改変領域を含む表面を含み、前記第1および第2自己組織化領域が前記非改変領域に隣接している、請求項1に記載の方法。
  8. 前記自己組織化組成物を前記ハードマスク層に塗布する前に、前記表面改変領域および非改変領域が:
    感光性組成物を画像形成層を形成するように前記ハードマスク層の上に塗布する;および
    前記画像形成層をパターニングして前記プレパターンを生みだし、ここに前記パターニングが前記画像形成層の一部を選択的に除去して前記ハードマスク層の一部を露わにすることを含み;
    ハードマスク層の前記露わになった部分をアルカリ性の現像液と接触させて前記表面改変領域を生みだす;および
    前記画像形成層の残りの部分を前記ハードマスク層から除去し前記非改変領域を生みだす;
    ことにより形成される、請求項7に記載の方法。
  9. 前記ハードマスク層がシロキサン架橋を含む架橋した層である、請求項1に記載の方法。
  10. 前記ハードマスク層が溶媒系に溶解または分散しているケイ素含有ポリマーを含む組成物から形成されている、請求項1に記載の方法。
  11. 前記ケイ素含有ポリマーがシラン類、シロキサン類、シルセスキオキサン類、およびこれらの組み合わせから成る群から選択されたケイ素前駆体材料の重合により製造される、請求項10に記載の方法。
  12. 前記ケイ素含有ポリマーがさらにフェニル、ナフタレン、アントラセン、カルバゾール、およびこれらの組み合わせから成る群から選択された光減衰部分を含む、請求項10に記載の方法。
  13. 前記ケイ素含有ポリマーが前記適合性のある部分を含前記適合性のある部分が前記ケイ素含有ポリマーからのペンダントである、請求項10に記載の方法。
  14. 前記自己組織化組成物が溶媒系に分散または溶解しているブロック共重合体を含む、請求項1に記載の方法。
  15. 前記ブロック共重合体がポリスチレン、ポリ(メチルメタクリレート)、ポリ(酪酸)、ポリ(エチレンオキシド)、ポリメチルシロキサン、およびポリビニルピロリドンから成る群からそれぞれ選択された少なくとも2つのはっきりと異なるブロックを含む、請求項14に記載の方法。
  16. さらに前記自己組織化組成物を前記ブロック共重合体の少なくとも約ガラス転移点温度まで加熱することを含み、ここで前記自己組織化組成物は前記加熱の間に自己組織化する、請求項14に記載の方法。
  17. 前記自己組織化組成物が混和しないポリマー類のブレンドを含む、請求項1に記載の方法。
  18. 前記第1自己組織化領域は乾式または湿式エッチャント内で第1エッチング速度を持ち、および第2自己組織化領域は乾式または湿式エッチャント内で第2エッチング速度を持ち、およびここに前記第1エッチング速度が前記第2エッチング速度と異なる、請求項1に記載の方法。
  19. マイクロエレクトロニクス構造体で:
    面がある基板;
    前記基板面の上にある1つ以上の随意的な中間層;
    前記中間層が存在するならこれに隣接する、または中間層が存在しないなら前記基板面の上の、適合性のある部分を含むスピンコート層としてのハードマスク層;および
    前記ハードマスク層の上に直接形成されている自己組織化組成物、ここに前記自己組織化層は第1自己組織化領域および第1自己組織化領域とは異なる第2自己組織化領域;
    を含むマイクロエレクトロニクス構造体。
  20. 前記構造体がさらに前記ハードマスク層の上に複数の凸状地物を含むプレパターンを含み、前記凸状地物はスペースで隔てられかつ各々がそれぞれの側壁および上面で規定され、ここに前記自己組織化組成物が前記ハードマスク層の上の前記凸状地物のスペースに直接塗布されている、請求項19に記載の構造体。
  21. 前記ハードマスク層が表面改変領域および非改変領域を持つ面を含み、前記第1および第2自己組織化領域が前記ハードマスク面の上の前記非改変領域に隣接している、請求項19に記載の構造体。
  22. 前記中間層がカーボンリッチ層である、請求項19に記載の構造体。
  23. マイクロエレクトロニクス構造体で:
    面がある基板;
    前記基板面の上にある1つ以上の随意的な中間層;
    前記中間層が存在するならこれに隣接する、または中間層が存在しないなら前記基板面の上の、ハードマスク層;および
    前記ハードマスク層の上に直接形成されている自己組織化組成物、ここに前記自己組織化層は第1自己組織化領域および第1自己組織化領域とは異なる第2自己組織化領域;
    を含み、
    前記ハードマスク層が溶媒系に分散または溶解しているケイ素含有ポリマーを含む組成物で形成され、前記ポリマーが繰り返し単位
    を含み、ここにそれぞれのRは独立にアルキル基、発色団、互換性部分、およびこれらの組み合わせから成る群から選択される、マイクロエレクトロニクス構造体。
  24. 前記ハードマスク層がシロキサン架橋を含む架橋した化合物を含む、請求項19に記載の構造体。
  25. 前記ハードマスク層のk値が少なくとも約0.05およびn値が少なくとも約1.45である、請求項19に記載の構造体。
  26. 前記自己組織化層がポリスチレン、ポリ(メチルメタクリレート)、ポリ(酪酸)、ポリ(エチレンオキシド)、ポリジメチルシロキサン、およびポリビニルピロリドンから成る群からそれぞれ選択される少なくとも2つのはっきりと異なるブロックを含むブロック共重合体を含む自己組織化組成物から形成される、請求項19に記載の構造体。
  27. 前記ブロック共重合体がポリスチレン−ブロック−ポリ(メチルメタクレート)である、請求項26に記載の構造体。
  28. 前記第1自己組織化領域が前記ポリ(メチルメタクレート)を含みかつ前記第2自己組織化領域が前記ポリスチレンを含む、請求項27に記載の構造体。
  29. 前記構造体が底部反射防止膜または中立ブラシ層を持たない、請求項19に記載の構造体。
  30. 前記ハードマスク層が溶媒系に溶解または分散しているケイ素含有ポリマーを含む組成物から形成されており、
    前記ケイ素含有ポリマーが前記適合性のある部分を含む、請求項19に記載の構造体。
  31. 前記適合性のある部分が前記ケイ素含有ポリマーからのペンダントである、請求項30に記載の構造体。
  32. 前記適合性のある部分がアルキル基、光減衰部分、フッ化炭化水素類、エステル類、エーテル類、およびこれらの組み合わせから成る群から選択される、請求項19に記載の構造体。
  33. 前記自己組織化層が自己組織化組成物を用いて形成され、
    前記適合性のある部分と前記自己組織化組成物における組成物との間には親和性があり、前記自己組織化層の前記自己組織化中のパターン形成を誘導する、請求項19に記載の構造体。
  34. 前記適合性のある部分がアルキル基、光減衰部分、フッ化炭化水素類、エステル類、エーテル類、およびこれらの組み合わせから成る群から選択される、請求項1に記載の方法。
  35. 前記適合性のある部分と前記自己組織化組成物における組成物との間には親和性があり、前記親和性が前記自己組織化組成物の前記自己組織化中のパターン形成を誘導する、請求項1に記載の方法。
JP2015507082A 2012-04-16 2013-04-15 誘導自己組織化用のケイ素系ハードマスク層 Active JP6284925B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261624805P 2012-04-16 2012-04-16
US61/624,805 2012-04-16
PCT/US2013/036548 WO2013158527A1 (en) 2012-04-16 2013-04-15 Silicon hardmask layer for directed self-assembly

Publications (2)

Publication Number Publication Date
JP2015516686A JP2015516686A (ja) 2015-06-11
JP6284925B2 true JP6284925B2 (ja) 2018-02-28

Family

ID=49325368

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015507082A Active JP6284925B2 (ja) 2012-04-16 2013-04-15 誘導自己組織化用のケイ素系ハードマスク層

Country Status (7)

Country Link
US (1) US9249013B2 (ja)
EP (1) EP2839341B1 (ja)
JP (1) JP6284925B2 (ja)
KR (1) KR102121081B1 (ja)
CN (1) CN104380194B (ja)
TW (1) TWI679488B (ja)
WO (1) WO2013158527A1 (ja)

Families Citing this family (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2975823B1 (fr) * 2011-05-27 2014-11-21 Commissariat Energie Atomique Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6079263B2 (ja) * 2012-03-07 2017-02-15 Jsr株式会社 レジスト下層膜形成用組成物及びパターン形成方法
EP2832807A4 (en) * 2012-03-27 2015-10-21 Nissan Chemical Ind Ltd SINGLE-LAYER-FORMING COMPOSITION FOR SELF-BUILDING FILMS
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8906802B2 (en) * 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process
KR102245179B1 (ko) 2013-04-03 2021-04-28 브레우어 사이언스, 인코포레이션 지향성 자가 조립용 블록 공중합체에 사용하기 위한 고도로 내에칭성인 중합체 블록
US10490402B2 (en) 2013-09-04 2019-11-26 Tokyo Electron Limited UV-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
JP6402867B2 (ja) 2013-12-06 2018-10-10 エルジー・ケム・リミテッド ブロック共重合体
JP6483695B2 (ja) 2013-12-06 2019-03-13 エルジー・ケム・リミテッド ブロック共重合体
CN105899557B (zh) 2013-12-06 2018-10-26 株式会社Lg化学 嵌段共聚物
CN105980342B (zh) 2013-12-06 2019-02-15 株式会社Lg化学 单体和嵌段共聚物
CN105960422B (zh) 2013-12-06 2019-01-18 株式会社Lg化学 嵌段共聚物
WO2015084131A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
JP6496318B2 (ja) 2013-12-06 2019-04-03 エルジー・ケム・リミテッド ブロック共重合体
JP6361893B2 (ja) 2013-12-06 2018-07-25 エルジー・ケム・リミテッド ブロック共重合体
WO2015084125A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
JP6432846B2 (ja) 2013-12-06 2018-12-05 エルジー・ケム・リミテッド ブロック共重合体
CN105916904B (zh) 2013-12-06 2018-11-09 株式会社Lg化学 嵌段共聚物
JP6419820B2 (ja) 2013-12-06 2018-11-07 エルジー・ケム・リミテッド ブロック共重合体
CN105899558B (zh) 2013-12-06 2018-09-18 株式会社Lg化学 嵌段共聚物
US10202480B2 (en) 2013-12-06 2019-02-12 Lg Chem, Ltd. Block copolymer
TWI573808B (zh) * 2013-12-31 2017-03-11 陶氏全球科技責任有限公司 經引導之自組裝圖案形成方法及組成物
EP3095127B1 (en) * 2014-01-16 2020-05-20 Brewer Science, Inc. High-chi block copolymers for directed self-assembly
US9190285B1 (en) * 2014-05-06 2015-11-17 International Business Machines Corporation Rework and stripping of complex patterning layers using chemical mechanical polishing
KR102270752B1 (ko) 2014-08-11 2021-07-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
WO2016052994A1 (ko) 2014-09-30 2016-04-07 주식회사 엘지화학 블록 공중합체
EP3202799B1 (en) 2014-09-30 2021-08-25 LG Chem, Ltd. Block copolymer
EP3225641B1 (en) 2014-09-30 2021-11-24 LG Chem, Ltd. Block copolymer
CN107078026B (zh) 2014-09-30 2020-03-27 株式会社Lg化学 图案化基底的制备方法
EP3214102B1 (en) 2014-09-30 2022-01-05 LG Chem, Ltd. Block copolymer
CN107075050B (zh) 2014-09-30 2019-08-13 株式会社Lg化学 嵌段共聚物
CN107075052B (zh) 2014-09-30 2020-05-29 株式会社Lg化学 嵌段共聚物
EP3202801B1 (en) 2014-09-30 2021-08-18 LG Chem, Ltd. Block copolymer
JP6633062B2 (ja) 2014-09-30 2020-01-22 エルジー・ケム・リミテッド パターン化基板の製造方法
US10295908B2 (en) 2014-09-30 2019-05-21 Lg Chem, Ltd. Block copolymer
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9305834B1 (en) * 2014-12-30 2016-04-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits using designs of integrated circuits adapted to directed self-assembly fabrication to form via and contact structures
KR101932799B1 (ko) 2015-02-17 2018-12-26 주식회사 엘지화학 블록 공중합체 자기 조립 패턴의 습식 식각 방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9733566B2 (en) 2015-03-17 2017-08-15 Tokyo Electron Limited Spin-on layer for directed self assembly with tunable neutrality
KR102358710B1 (ko) * 2015-04-06 2022-02-08 에스케이하이닉스 주식회사 선폭이 다른 패턴들 형성 방법
US9991132B2 (en) * 2015-04-17 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique incorporating varied pattern materials
KR102350587B1 (ko) 2015-04-23 2022-01-14 삼성전자 주식회사 미세 패턴 형성 방법
US9904171B2 (en) * 2015-05-22 2018-02-27 I-Shan Ke Resist pattern hardening material and method of fabricating the same
US9558310B2 (en) * 2015-05-28 2017-01-31 International Business Machines Corporation Method and system for template pattern optimization for DSA patterning using graphoepitaxy
US9530663B1 (en) 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US9349607B1 (en) * 2015-07-07 2016-05-24 United Microelectronics Corp. Method of forming line pattern
US9455177B1 (en) * 2015-08-31 2016-09-27 Dow Global Technologies Llc Contact hole formation methods
KR102603019B1 (ko) * 2015-11-20 2023-11-15 도쿄엘렉트론가부시키가이샤 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
JP6643875B2 (ja) * 2015-11-26 2020-02-12 東京エレクトロン株式会社 エッチング方法
KR102637883B1 (ko) * 2015-12-11 2024-02-19 아이엠이씨 브이제트더블유 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102611450B1 (ko) 2016-01-26 2023-12-08 삼성전자주식회사 패턴 형성 방법
JP6928764B2 (ja) * 2016-01-28 2021-09-01 東京エレクトロン株式会社 金属酸化物のスピンオン堆積の方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102394042B1 (ko) * 2016-03-11 2022-05-03 인프리아 코포레이션 사전패터닝된 리소그래피 템플레이트, 상기 템플레이트를 이용한 방사선 패터닝에 기초한 방법 및 상기 템플레이트를 형성하기 위한 방법
US9947597B2 (en) * 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10170591B2 (en) * 2016-06-10 2019-01-01 International Business Machines Corporation Self-aligned finFET formation
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102412137B1 (ko) * 2016-09-23 2022-06-23 에스케이이노베이션 주식회사 블록 공중합체를 이용한 미세 패턴의 형성 방법
US10366887B2 (en) 2016-10-04 2019-07-30 Brewer Science, Inc. Method of using chemically patterned guide layers in chemoepitaxy directing of block co-polymers
US9632408B1 (en) 2016-10-12 2017-04-25 International Business Machines Corporation Graphoepitaxy directed self assembly
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11078337B2 (en) 2016-12-14 2021-08-03 Brewer Science, Inc. High-χ block copolymers for directed self-assembly
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN108400085B (zh) * 2017-02-06 2019-11-19 联华电子股份有限公司 形成半导体元件图案的方法
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10395978B2 (en) * 2017-02-27 2019-08-27 Imec Vzw Method of patterning target layer
US10734239B2 (en) 2017-03-01 2020-08-04 Brewer Science, Inc. High-chi block copolymers with tunable glass transition temperatures for directed self-assembly
KR102308953B1 (ko) 2017-03-10 2021-10-05 주식회사 엘지화학 패턴화 기판의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
EP3454121A1 (en) * 2017-09-06 2019-03-13 IMEC vzw Method for manufacturing a mask
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
TWI791618B (zh) * 2018-02-01 2023-02-11 美商布魯爾科技公司 微電子結構及製造形成這種微電子結構的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
CN109880327A (zh) * 2019-03-07 2019-06-14 华东理工大学 一种聚乳酸组合物、其自组装体以及制备方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JPWO2022210960A1 (ja) * 2021-03-31 2022-10-06
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
FR3134651A1 (fr) * 2022-04-13 2023-10-20 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procédé de fabrication d'un capteur de lumière

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7026716B2 (en) * 2003-06-06 2006-04-11 Rensselaer Polytechnic Institute Self-assembled sub-nanolayers as interfacial adhesion enhancers and diffusion barriers
US7045851B2 (en) * 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
KR100618850B1 (ko) 2004-07-22 2006-09-01 삼성전자주식회사 반도체 소자 제조용 마스크 패턴 및 그 형성 방법과 미세패턴을 가지는 반도체 소자의 제조 방법
US7371684B2 (en) * 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
CN100442445C (zh) * 2006-05-18 2008-12-10 联华电子股份有限公司 制作栅极与蚀刻导电层的方法
US7482270B2 (en) * 2006-12-05 2009-01-27 International Business Machines Corporation Fully and uniformly silicided gate structure and method for forming same
US7767099B2 (en) * 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
KR100908601B1 (ko) * 2007-06-05 2009-07-21 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용한 기판상 재료의패턴화 방법
US7521094B1 (en) 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
JP5739325B2 (ja) * 2008-04-23 2015-06-24 ブルーワー サイエンス アイ エヌシー. マイクロリソグラフィー用の感光性ハードマスク
JP4654280B2 (ja) * 2008-08-28 2011-03-16 株式会社日立製作所 微細構造体の製造方法
KR101288572B1 (ko) * 2008-12-17 2013-07-22 제일모직주식회사 보관안정성이 우수한 레지스트 하층막용 하드마스크 조성물
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8048795B2 (en) * 2009-07-10 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-assembly pattern for semiconductor integrated circuit
JP2011134856A (ja) * 2009-12-24 2011-07-07 Toshiba Corp パターン形成方法
US8492239B2 (en) * 2010-01-27 2013-07-23 International Business Machines Corporation Homogeneous porous low dielectric constant materials
JP2011243655A (ja) 2010-05-14 2011-12-01 Hitachi Ltd 高分子薄膜、パターン媒体、及びこれらの製造方法、並びに表面改質材料
US8877430B2 (en) * 2010-08-05 2014-11-04 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
JP5721164B2 (ja) 2010-09-14 2015-05-20 東京応化工業株式会社 ブロックコポリマーを含む層のパターン形成方法
JP5171909B2 (ja) * 2010-09-16 2013-03-27 株式会社東芝 微細パターンの形成方法
EP2832807A4 (en) * 2012-03-27 2015-10-21 Nissan Chemical Ind Ltd SINGLE-LAYER-FORMING COMPOSITION FOR SELF-BUILDING FILMS

Also Published As

Publication number Publication date
CN104380194B (zh) 2019-05-31
EP2839341A1 (en) 2015-02-25
JP2015516686A (ja) 2015-06-11
TWI679488B (zh) 2019-12-11
CN104380194A (zh) 2015-02-25
KR20150008098A (ko) 2015-01-21
US9249013B2 (en) 2016-02-02
TW201407265A (zh) 2014-02-16
US20130273330A1 (en) 2013-10-17
EP2839341A4 (en) 2015-11-25
EP2839341B1 (en) 2020-01-15
WO2013158527A1 (en) 2013-10-24
KR102121081B1 (ko) 2020-06-18

Similar Documents

Publication Publication Date Title
JP6284925B2 (ja) 誘導自己組織化用のケイ素系ハードマスク層
TWI290265B (en) Lithographic antireflective hardmask compositions and uses thereof
JP6454324B2 (ja) 誘導自己組織化用ブロックコポリマーに用いる高エッチング耐性ポリマーブロック
TWI565749B (zh) 含有嵌段共聚物之組成物及圖型之縮小方法
JP4852360B2 (ja) 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法
TWI440972B (zh) 使用可顯影劑修整之硬罩幕產生光微影結構之方法
US9291909B2 (en) Composition comprising a polymeric thermal acid generator and processes thereof
JP6316788B2 (ja) レリーフ画像形成方法
TWI737870B (zh) 包含金屬氧化物奈米粒子及有機聚合物之旋轉塗佈材料組合物
JP6810782B2 (ja) 誘導自己集合体施与のためのケイ素含有ブロックコポリマー
TW201030804A (en) A hardmask process for forming a reverse tone image using polysilazane
TW200936635A (en) Hardmask composition having antireflective properties and method of patterning material using the same
KR102017360B1 (ko) 아다만틸 기를 포함하는 비폴리머성 반사 방지 조성물
CN112368645A (zh) 用于euv光刻的粘附层
TW200919093A (en) Non-covalently crosslinkable materials for photolithography processes
JP2014507795A (ja) 高度なパターン形成に必要な小型フィーチャのパターン形成プロセス
US10366887B2 (en) Method of using chemically patterned guide layers in chemoepitaxy directing of block co-polymers
TW200903579A (en) Electronic device manufacture
TWI380129B (en) High etch resistant hardmask composition having antireflective properties, method for forming patterned material layer using the hardmask composition and semiconductor integrated circuit device produced using the method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160314

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170207

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170508

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170706

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170727

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180109

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180131

R150 Certificate of patent or registration of utility model

Ref document number: 6284925

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250