JP5739325B2 - マイクロリソグラフィー用の感光性ハードマスク - Google Patents

マイクロリソグラフィー用の感光性ハードマスク Download PDF

Info

Publication number
JP5739325B2
JP5739325B2 JP2011506399A JP2011506399A JP5739325B2 JP 5739325 B2 JP5739325 B2 JP 5739325B2 JP 2011506399 A JP2011506399 A JP 2011506399A JP 2011506399 A JP2011506399 A JP 2011506399A JP 5739325 B2 JP5739325 B2 JP 5739325B2
Authority
JP
Japan
Prior art keywords
hard mask
layer
mask layer
nanoparticles
exposed portion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011506399A
Other languages
English (en)
Other versions
JP2011519063A (ja
Inventor
ハオ シュ
ハオ シュ
ラミル−マルセロ エル. メルカド
ラミル−マルセロ エル. メルカド
ダグラス ジェイ. ゲレロ
ダグラス ジェイ. ゲレロ
Original Assignee
ブルーワー サイエンス アイ エヌシー.
ブルーワー サイエンス アイ エヌ シー.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルーワー サイエンス アイ エヌシー., ブルーワー サイエンス アイ エヌ シー. filed Critical ブルーワー サイエンス アイ エヌシー.
Publication of JP2011519063A publication Critical patent/JP2011519063A/ja
Application granted granted Critical
Publication of JP5739325B2 publication Critical patent/JP5739325B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/114Initiator containing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/128Radiation-activated cross-linking agent containing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/151Matting or other surface reflectivity altering material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24851Intermediate layer is discontinuous or differential
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24893Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including particulate material

Description

(関連出願)
本願は2008年4月23日提出の、米国仮特許出願第61/047,302号「マイクロリソグラフィー用の感光性ハードマスク」の優先権の利益を主張するものであり、当該仮出願を引用として本明細書に含める。
(発明の分野)
本発明は、一般に非常に薄いフォトレジスト層を用いてマイクロエレクトロニクス構造を形成することを可能にする組成物、および、マイクロリソグラフィックプロセスに関する。
マイクロエレクトロニクス製造の進歩は、マイクロフォトリソグラフィープロセスによって製造される半導体構造の密度および寸法に反映される。高密度化と限界寸法(CD)低減化の要求が、フォトリソグラフィー技術を常にその限界まで推し進めてきた。半導体業界のロードマップに遅れを取らないようにするには、次世代のパターン形成材料および革新的なリソグラフィックプロセスを一体として機能させ、高解像度リソグラフィーを達成することが必要となろう。限界特徴寸法が32nmおよびそれ以下にまで縮小しており、また一方では印刷されたラインのアスペクト比にはラインが崩れる可能性を避けるため一定の限界があるため、薄いフォトレジストの使用はより良好な解像度と大きい焦点深度(DOF)を提供するものとして広く受け入れられてきた。レーリーの法則(Rayleigh’s law)を用いて、次のようにパターンの解像度と焦点深度(DOF)を定義することができる。
解像度=k1λ/NA;および
DOF=k2λ/NA2
式中、λは照射波長、NAは露光ツールの開口数、そしてk1とk2は所与のプロセスに関する定数である。レーリー論は、短い波長と大きい開口数を有する露光ツールはより良好なパターン解像度を提供することを示す。この原理が、マイクロエレクトロニクス業界が徐々に短い露光波長に向けて進んできた理由である。しがしながら、レーリーの法則は、解像度が高くなるとDOFの減少を引き起こすことも示している。薄いフォトレジストを用いることによって、k1の値を減少させ、そしてkの値を増加させ、その結果、解像度がより良好になり、DOFが大きくなる。しかしながら、フォトレジストの厚みが減少すると、特に193−nmのArFフォトリソグラフィーの場合、パターンを基板の中に転写するための十分なエッチング耐性を提供することができない。透明性を要件とするために、芳香族構造をArFレジストの中に入れることができないので、多くのArFレジストは以前のフォトレジストよりもエッチング速度が更に速い。より良好な解像度を得るためには今まで以上の薄さのフォトレジストが必要となることと、パターン転写のためには十分なエッチング余裕度が必要となることの間には矛盾があり、それを解決するためのプロセスおよび物質の解決策は現在ほんの僅かしか存在しない。
1つのアプローチでは、完全なパターン転写を補助するためケイ素または金属含有ハードマスクを多層エッチング積層中に導入している。通常、反応性イオンエッチング(RIE)を用いて、フォトレジストの下のハードマスク層を開ける。ハードマスク対フォトレジストのエッチング選択性が、フォトレジストをどれだけ薄くできるのかを決定することは明らかである。残念なことに、一般的なハードマスクプラズマエッチング化学反応下では、現在使用可能なフォトレジストのほとんど全てが未だにエッチング速度が速い。従って、高解像度リソグラフィーに関して、フォトレジストは未だに実質的に厚くなければならない。
別の解決策は現像剤可溶性の下層物質を用いて、そうでなければ必要であるであろうエッチング工程を省略することである。等方的に現像可能な感光性の底部反射防止被覆については論じられてきた。しかしながら、等方的に現像可能な底部反射防止被覆においては、アンダーカットをコントロールすることが非常に難しい。感光性で、異方的に現像可能な底部反射防止被覆に関しては、基板のトポグラフィーの上に被覆された場合、底部反射防止被覆のクリアランスおよびCD均一性が主要な懸念事項である。一方、それらがスピンオン炭素(SOC)平坦化層の上部に被覆された場合、それらの有機底部反射防止被覆物質はハードマスクとしては有効ではない。
より最近では、次世代の印刷ノードのための多重露光技術が、193nmより短い波長、例えば13.5nmの露光が使用可能になるまでの、唯一の実行可能な選択肢であった。多重露光技術については、多くのプロセス案が研究報告されてきた。いくつかの案は明視野マスクを利用し、そこではフォトレジストの小さな部分だけ、例えばラインだけを露光から保護し、レジストの残りの部分を露光する。次に、フォトレジストを現像剤に接触させ、レジストの露光部分を除去し、それによってハードマスク層の上に残ったフォトレジストの露光されていない部分(すなわちライン)だけを残す。フォトレジストの露光されていない部分の下側の領域以外のハードマスク層をエッチングして除くことによって、このパターンをハードマスクに転写する。所望のパターンが得られるまでこのプロセスを繰返す。暗視野露光プロセスでは、フォトレジストの大部分を露光から保護し、フォトレジストの小さな部分だけを露光し、現像の後除去する。明視野と同様にエッチングプロセスを用いて、パターンをハードマスクに転写する。
同様に、ArF液浸リソグラフィーは、二重パターン形成と合わせて、32−nmおよび22−nmハーフピッチノードの製造に関してより現実的な解決策を提供する。しかしながら、現行のリソ−エッチ−リソ−エッチ(LELE)プロセスは、EUVリソグラフィーとの比較においてさえ、非常に高価である。
このように、既存のプロセスの多くは、パターンを下側の層に転写するために未だにドライ−エッチ工程を必要とする。ドライ−エッチ工程は、プロセスを複雑化し、関係する経費や時間を増加させる。従って、この分野ではハードマスク層として用いることができ、そして平坦化層の上で用いることができ、あるいは多重露光プロセスにおいて用いることができ、エッチング工程の必要性をなくし、非常に薄いフォトレジスト層を用いてDOFの増加およびCD制御改善を可能にする、保護物質が必要とされている。また、二重パターン形成と合わせて、ArF液浸リソグラフィーと共に用いることのできるハードマスク層が必要とされている。
本発明は、マイクロエレクトロニクス構造を形成するために用いる組成物、そのような構造を形成する方法、およびこれらの構造、を幅広く提供することによって上述の問題を克服するものである。本発明は、必要となるフォトレジストの厚みを減少あるいは無くし、一方ではエッチング工程を省略することによってプロセス時間が短縮されるようなハードマスク組成物を提供する。更に本発明は、従来のケイ素窒化物/酸化物の化学蒸着(CVD)プロセスに比べて必要となる時間が短縮され、より安価であるスピンコートによって簡単に塗布することができる物質を提供する。更に本発明は、特に多重パターン形成プロセスと結合すると、32−nmノードおよびそれ以下までもCDの継続的な縮小を可能にし、一方エッチング工程を省略することによって現在の多重層製造の所有経費も低減する。
更に詳細には、マイクロエレクトロニクスデバイスの形成に有用な組成物を提供する。組成物は溶剤系中に溶解または分散した非ポリマーナノ粒子を含有する。有利なこととして組成物が現像剤可溶性である。
また、マイクロエレクトロニクス構造を形成する方法も提供される。この方法は表面を有する基板を提供することを含む。1またはそれ以上の中間層が、随意的に基板表面に形成される。中間層が存在する場合はそれに隣接して、中間層が存在しない場合は基板表面に隣接してハードマスク組成物を塗布する。ハードマスク組成物は、溶剤系中に溶解または分散した非ポリマーナノ粒子を含有する。ハードマスク組成物は焼成され、中間層(複数の中間層)が存在する場合はその上に、あるいは中間層(複数の中間層)が存在しない場合は基板表面にハードマスク層を形成する。ハードマスク層は放射線に露光され、ハードマスク層の露光部分を生成する。有利なこととして、ハードマスク層の露光部分を除去するように、ハードマスク層を現像剤に接触させる。
また本発明は、表面を有する基板、基板表面の上の随意的な1またはそれ以上の中間層、および中間層(複数の中間層)が存在する場合はそれに隣接する、中間層(複数の中間層)が存在しない場合は基板表面に隣接するハードマスク層を備えるマイクロエレクトロニクス構造を提供する。ハードマスク層は架橋された非ポリマーナノ粒子を含有する。ハードマスク層は現像剤可溶性であることが有利である。
本特許または出願書類はカラーで作成された1以上の図面を含む。カラー図面を含む本特許または出願公開書類の複写は、請求して必要な料金を支払うことによって米国特許庁によって提供されるであろう。
図1(A)〜(D)は本発明のプロセスによって形成された構造(スケール表記なし)を示す概略図である。 図2はハードマスク組成物2の層厚(単位nm)を実施例1の露光線量(単位mJ/cm2)に対比した対比曲線である。 図3はハードマスク配合物2を用いて実施例3において形成されたパターンの走査型電子顕微鏡(SEM)写真である。 図4は上部にArFフォトレジスト層のあるハードマスク配合物3の層厚(単位nm)を実施例4の露光線量(単位mJ/cm2)に対比した対比曲線である。 図5は実施例5の3層積層(底部反射防止被覆、ハードマスク配合物4、およびフォトレジスト)の厚み(単位nm)を露光線量(単位mJ/cm2)に対比した対比曲線である。 図6は実施例6のCF4、Ar、およびO2ガス混合物を用いた配合物5のエッチング速度(単位nm/分)を示す。
(好ましい実施形態の詳細な説明)
(本発明の組成物)
本発明の組成物は、熱硬化性(すなわち架橋可能)であり、選択された波長の放射線に露光すると、塩基性現像剤中で可溶化(すなわち湿式現像可能)される機能を持つ。すなわち組成物は、好ましいことに、硬化時は有機溶剤およびフォトレジスト現像剤の両方において不溶性であるが、放射線に露光すると脱架橋され、現像剤を用いて除去しうる(すなわち、ハードマスク組成物が感光性および現像剤可溶性である)。この結果、ハードマスクの露光した部分と露光していない部分が異なる溶解速度を有することになり、露光していない部分を除去することなく露光部分を除去することを可能にする。本書中「現像剤可溶性」または「湿式現像可能」という用語が用いられる場合は、組成物が露光することにより塩基性現像剤中で可溶化され、そのため本書に記載される従来の水性現像剤を用いて実質的に除去可能であるということを意味する。
更に詳細には、本発明の組成物は溶剤系中に溶解または分散した非ポリマーナノ粒子を含有する。組成物の総重量を100重量%とするときに、組成物は約0.1重量%〜約5重量%のナノ粒子を含有し、好ましくは約1重量%〜約3重量%のナノ粒子を含有し、更により好ましくは約1.5重量%〜約2.5重量%のナノ粒子を含有することが好ましい。本書中「ナノ粒子」という用語は、最大寸法(いずれの1寸法においても)が約1nm〜約100nmの規模であり、その搬送および特性において単一の単位として行動する粒子を総称するもので、超微細固形粒子、コロイド状粒子、2またはそれ以上の原子が共有結合している凝集体(すなわち分子)、その表面が電荷を帯びている粒子(すなわち、多原子イオン)、およびその他微細に分割された粒子物質を含む。適切なナノ粒子は、ナノ粒子の水溶性または有機コロイド状分散液(例えば、コロイド状シリカ、Nissan Chemical社(Houston、TX州)から入手可能)、乾燥粉末(例えば、POSSR NANOSTRUCTUREDR 化学薬品、Hybrid PlasticsTM社(Hattiesburg、MS州)から入手可能)および/またはMeliorum社(Rochester、NY州)である。乾燥粉末は、通常、ミクロン−サイズの凝集体の形で入手可能であり、次にそれを分散してナノスケールの寸法を得ることができる。あるいは、ナノ粉末を用いることができる。本書中「非ポリマー(ノンポリマー)」という用語を用いる場合は、約10以上のポリマーの繰返しを形成しないが、組成物中で分離した明確な単位として残る個別ナノ粒子を意味する。本発明の組成物中で用いる好ましいナノ粒子の平均粒径は、好ましくは約15nm未満であり、より好ましくは約1nm〜約10nmであり、更により好ましくは約1nm〜約5nmである。本書中「平均粒径」という用語を用いる場合は、ナノ粒子の最大の表面−対−表面の寸法(すなわち、球体の粒子の場合は直径になる)として定義する。
ナノ粒子は好ましくは金属含有であり、任意のタイプの金属(元素金属を含む)または合金を含有しうる。好ましい金属は、ケイ素(Si)、チタニウム(Ti)、ハフニウム(Hf)、亜鉛(Zn)、ジルコニウム(Zr)、スズ(Sn)、アルミニウム(Al)、セリウム(Ce)、タンタル(Ta)、バナジウム(V)、ゲルマニウム(Ge)、カドミウム(Cd)、セレニウム(Se)、これらの酸化物、水酸化物、セレン化物、および硫化物、およびそれらの組合せから成る群から選択される。組成物は、組成物中の総固形物を100重量%とするときに、好ましくは約4重量%〜約80重量%の金属を含有し、より好ましくは約20重量%〜約80重量%の金属を含有し、更により好ましくは約60重量%〜約80重量%の金属を含有する。
また、ナノ粒子は、好ましくは酸性官能基を含有する。より好ましくは、ナノ粒子の各表面は1またはそれ以上の酸性基によって官能化される(すなわち、酸性官能化ナノ粒子)。好ましい酸性基は、ヒドロキシル基(−OH)、カルボキシル基(−COOH)、フェノール、フルオロアルコール、フルオロアクリルアミド、およびそれらの組合せから成る群から選択される。
適切なナノ粒子は、酸性官能性を有して市販されている。あるいは、ナノ粒子は配位子交換のような公知の方法を用いて官能化することができる。ナノ粒子は、好ましくは1粒子当たり約3以上の酸性基、より好ましくは1粒子当たり約5またはそれ以上の酸性基、更により好ましくは1粒子当たり約10またはそれ以上の酸性基を含有する。
特に好ましい組成物は、溶剤系に溶解または分散した、コロイド状シリカ(SiO2)ナノ粒子、多角体オリゴマーシルセスキオキサン分子(POSS)分子、およびそれらの混合物から成る群から選択されるナノ粒子を含有する。より好ましくは、これらのナノ粒子は、ヒドロキシル基、カルボキシル基、およびそれらの組合せから成る群から選択される複数の酸性基により官能化される。好ましいPOSS分子は、カルボン酸(例えば、POSSR Octa Amic Acid)、分子シリカ(例えば、OctaTMA POSSR等のオクタキス(テトラメチルアンモニウム)ペンタシクロ[9.5.13,9.l5,15.l7,13]オクタシロキサン1、3、5、7、9、1l、13、15−オクタキス(シロキシド)ハイドレート)、およびシラノール(例えば、トリシラノールシクロペンチルPOSS、トリシラノールエチルPOSSR等の1、3、5、7、9、11、14−ヘプタエチルトリシクロ[7.3.3.1(5、ll)]ヘプタシクロサン−エンド−3、7、14−トリオール、トリシラノールイソブチルPOSSR等の1、3、5、7、9、11、14−ヘプタイソブチルトリシクロ[7.3.3.1(5、11)]ヘプタシクロサン−エンド−3、7、14−トリオール、トリシラノールイソオクチルPOSSR等の1、3、5、7、9、11、14−ヘプタイソオクチルトリシクロ[7.3.3.1(5、ll)]ヘプタシロキサン−エンド−3、7、14−トリオール、トリシラノールフェニルPOSSR等の1、3、5、7、9、11、14−ヘプタフェニルトリシクロ[7.3.3.1(5、11)]ヘプタシロキサン−エンド−3、7、14−トリオール、およびテトラシラノールフェニルPOSSR等のトリシクロ[7.3.3.3(3、7)]オクタシクロサン−5、11、14、17−テトラオール−l、3、5、7、9、11、14、17−オクタフェニル)から成る群から選択される。以上はすべてHybrid PlasticsTM社から入手可能である。
また、組成物は、好ましくはナノ粒子と共に溶剤系中に溶解または分散した架橋剤(本書中用いられる場合は「架橋用薬剤」という用語と交換可能である)を含有する。好ましい架橋剤は、ビニルエーテル架橋剤である。架橋剤は、多官能価(二官能価、三官能価、および四官能価)であることが特に好ましい。市販のビニルエーテルの例としては、商品名VECTomerTM(Aldrich社(St.Louis,MO州))で販売されているものが挙げられる。また、適切なビニルエーテル架橋剤は、本書に記載の方法で調製可能である。架橋剤は、組成物の総重量を100重量%とするときに、組成物中に約0.1重量%〜約5重量%、好ましくは約0.5重量%〜約2.5重量%、更により好ましくは約1重量%〜約2重量%のレベルで存在することが好ましい。
より好ましくは、ビニルエーテル架橋剤は、存在する場合は、以下の一般式を持つ。
R’−(X−O−CH=CH2n
式中、R’は、アリール(好ましくはC6〜C14)およびアルキル(好ましくはC1〜C18、より好ましくはC1〜C10)から成る群から選択され、各Xはアルキル(好ましくはC1〜C18、より好ましくはC1〜C10)、アルコキシ(好ましくはC1〜C18、より好ましくはC1〜C10)、カルボニル、およびこれらの2またはそれ以上の組合せから成る群から個別に選択され、nは2以上、好ましくは2〜6である。最も好ましいビニルエーテルは、エチレングリコールビニルエーテル、トリメチロールプロパントリビニルエーテル、1,4−シクロヘキサンジメタノールジビニルエーテル、およびそれらの混合物から成る群から選択されるものが含まれる。他の好ましいビニルエーテルは、以下から成る群から選択される一般式を持つ。
好ましい溶剤系としては、エチルラクテート(EL)、プロピレングリコールメチルエーテルアセテート(PGMEA)、プロピレングリコールメチルエーテル(PGME)、プロピレングリコール n−プロピルエーテル(PnP)、ジメチル−アセトアミド、シクロヘキサノン、およびそれらの混合物から成る群から選択される溶剤が含まれる。好ましくは溶剤系の沸点は、約50〜250℃であり、より好ましくは約100〜175℃である。溶剤系は、組成物の総重量を100重量%とするときに、約90重量%〜約99.9重量%、好ましくは約95重量%〜約99重量%、更により好ましくは約97.5重量%〜約98.5重量%のレベルで使用されるべきである。好ましくは、組成物は組成物の総重量を100重量%とするときに、約0.1重量%〜約90重量%、好ましくは約1重量%〜約5重量%、更により好ましくは約1.5重量%〜約2.5重量%の固形物を含むことになる。
ナノ粒子の架橋は好ましくは熱的に開始され、通常は架橋剤およびナノ粒子と共に溶剤系中に分散または溶解した酸性触媒によって達成される。好ましい触媒としては、スルフォン酸(例えば、p−トルエンスルフォン酸、スチレンスルフォン酸)、スルフォネート(例えば、ピリジニウムp−トルエンスルフォネート、ピリジニウムトリフルオロメタンスルフォネート、ピリジニウム 3−ニトロベンゼンスルフォネート)、およびそれらの混合物が含まれる。組成物は、組成物中の固形物の総重量を100重量%とするときに、約0重量%〜約5重量%の触媒、好ましくは約0.01重量%〜約2重量%の触媒、より好ましくは約0.02重量%〜約1重量%の触媒を含有しうる。好ましくは、触媒は、周囲条件において約1〜約18時間の時間で、ナノ粒子および架橋剤と共に溶剤系中に分散または溶解する。
好ましい実施形態では、次に酸発生剤を組成物に添加することができる。あるいは、組成物中の成分を、一度に全部溶剤系中に単に分散または溶解させてもよい。好ましい酸発生剤は、光酸発生剤(「PAG」;イオン性および/または非イオン性の両方)である。放射線の存在下で酸を発生するPAGであればどれでも適切である。好ましいPAGは、ジ−(p−t−ブチルフェニル)ヨードニウムトリス(ペルフルオロメタンスルフォニル)メチド(例えば、DTBPI−Cl;DAYCHEM Laboratories Inc社(Vandalia,OH州)から入手)、オニウム塩(例えば、トリフェニルスルフォニウムノナフレートおよびトリフェニルスルフォニウムトリフレート等のトリフェニルスルフォニウムペルフルオロスルフォネート)、オキシム−スルフォネート(例えば、CIBA社から商品名CGITMとして販売されているもの)、トリアジン(例えば、Midori Kagaku Company社から入手可能なTAZ108R)、およびそれらの混合物から成る群から選択される。好ましくは、組成物は固形物の総重量を100重量%とするときに、約0重量%〜約10重量%の酸発生剤、好ましくは約1重量%〜約8重量%の酸発生剤、更により好ましくは約2重量%〜約5重量%の酸発生剤を含有する。
熱酸発生剤(「TAG」)を本発明の組成物に含むことができるが、好ましい実施形態では、組成物は、本質的にTAGを含まない。すなわちTAGが存在する場合でも、含まれる量は組成物の総重量を100重量%とするときに約0.5重量%未満、好ましくは約0重量%未満という非常に低いレベルである。
また、好ましい組成物は消光剤(クエンチャー)を含むことになる。好ましい消光剤は、トリエタノールアミン、トリエチルアミン、トリメタノールアミン、トリメチルアミン、トリイソプロパノールアミン、トリイソプロピルアミン、トリ−t−ブタノールアミン、トリ−t−ブチルアミン、トリ−n−ブタノールアミン、トリ−n−ブチルアミン、ジエタノールアミン、ジエチルアミン、ジメタノールアミン、ジメチルアミン、ジイソプロパノールアミン、ジイソプロピルアミン、ジ−t−ブタノールアミン、ジ−t−ブチルアミン、ジ−n−ブタノールアミン、ジ−n−ブチルアミン、エタノールアミン、エチルアミン、メタノールアミン、メチルアミン、イソプロパノールアミン、イソプロピルアミン、t−ブタノールアミン、t−ブチルアミン、n−ブタノールアミン、およびn−ブチルアミンおよびそれらの組合せから成る群から選択される。反射防止被覆組成物は、好ましくは組成物の固形物の総重量を100重量%とするときに、約0重量%〜約5重量%、好ましくは約0.25重量%〜約4重量%、更により好ましくは約0.5重量%〜約2重量%の消光剤を含有することがある。
組成物中に用いられうる追加の成分としては、界面活性剤、接着促進剤、抗酸化剤、光開始剤、およびそれらの組合せが含まれる。ポリマーを組成物中に含むこともできる。組成物中に用いられうるポリマーの例としては、アクリレート、メタクリレート、スチレン、アクリルアミド、メタクリルアミド、およびそれらの組合せから成る群から選択されるものが含まれる。好ましくは適切なポリマーの分子量は、約1,000〜約50,000ダルトン、より好ましくは約3,000〜約25,000ダルトン、更により好ましくは約4,000〜約15,000ダルトンである。しかしながら、組成物は実質的にポリマー成分(すなわち、100以上の繰返し単位を有するもの)を含まないことが好ましい。従って、好ましくは本発明の組成物は、組成物中の固形物の総重量を100重量%とするときに、約5重量%未満のポリマー、より好ましくは約2重量%未満のポリマーを含む。
(本発明の方法および構造)
図1(A)〜(D)は本発明の好ましい実施形態を図示したものである。この方法では、表面10aを有する基板10を準備する。この発明では任意のマイクロエレクトロニクス基板を用いることができる。例示的な基板10としては、ケイ素、SiGe、SiO2、Si34、アルミニウム、タングステン、ケイ化タングステン、ガリウム砒素、ゲルマニウム、タンタル、窒化タンタル、サンゴ、ブラックダイヤ、リンまたはホウ酸ドープガラス、およびそれらの混合物から成る群から選択されるものが含まれる。方法は、本発明の組成物を基板10に塗布し基板10の表面10aの上に組成物の層12を形成する工程を含む。組成物を任意の公知の塗布方法を用いて塗布することができるが、好ましい1つの方法は速度約500〜約5,000rpm(好ましくは約1,000〜約3,000rpm)、時間は約15〜約90秒(好ましくは約30〜約60秒)で組成物をスピンコートする方法である。組成物を基板表面10aに直接塗布してもよく、あるいは基板表面上に形成された随意的な1またはそれ以上の中間層(図示せず)の上に塗布してもよい。適切な中間層としては、スピンオン炭素層(SOC)、非晶質炭素層、底部反射防止被覆、平坦化層およびそれらの組合せから成る群から選択されるものが含まれる。基板10は平坦な表面を備えることもでき、あるいはトポグラフィー(ビア孔、コンタクトホール、隆起構造的特徴など)を備えることもできる。本書中「トポグラフィー」とは、基板表面の中あるいは上にある構造の高さまたは深さを意味する。
ハードマスク組成物を塗布した後、温度約110〜250℃、より好ましくは温度約130〜205℃で、加熱することにより硬化工程を行い、時間約10秒〜約120秒(好ましくは約30秒〜約90秒)間で、組成物中にナノ粒子の架橋したマトリックス(すなわち硬化ハードマスク層12)を形成することが好ましい。例えば、ナノ粒子上の酸性官能基がカルボキシル基であり、架橋剤がビニルエーテル架橋剤である実施形態では、架橋したナノ粒子マトリックスはアセタール架橋を含むことになる。焼成後のハードマスク層12の厚みは、好ましくは約1nm〜約100nm、より好ましくは約10nm〜約80nm、更により好ましくは約20nm〜約40nmである。
ハードマスク層12は好ましくは光吸収特性を有する。特に本発明の方法で用いるハードマスク層12のk値(複素屈折率の虚部)は約0.05以上、好ましくは約0.1〜約0.3、より好ましくは約0.15〜約0.25であり、n値(複素屈折率の実部)が約1.45以上、好ましくは約1.55〜約2.25、より好ましくは約1.65〜約2であることが好ましい。これらの値は、約500nm未満の波長(例えば、365nm、248nm、193nm、157nm、または13.5nm)を含む広範囲の波長において得ることができる。
有利なこととして、硬化ハードマスク層12は十分に架橋するので、EL、PGMEA、PGME、PnP、シクロヘキサノン、アセトン、ガンマブチロラクトン(GBL)、およびそれらの混合物のような一般的な溶剤中では実質的に不溶性となるであろう。従って剥離試験を行うと、硬化ハードマスク層12の剥離率は約5%未満、好ましくは約1%未満、更により好ましくは約0%になるであろう。剥離試験では、先ず硬化層の異なる5箇所の測定値の平均を取ることによって厚みを決定する。これが初期平均層厚である。次に、その膜を溶剤(例えば、エチルラクテート)で約30秒間すすぎ洗いを行い、その後約500〜3,000rpmで約20〜60秒間スピンドライを行い溶剤を除去する。偏光解析法を用いてウエハ上の5箇所の厚みを測定し、測定値の平均を決定する。これが最終平均膜厚である。
剥離量は初期と最終の平均膜厚の差である。パーセント剥離は下記式で求められる:
%剥離=(剥離量/初期平均膜厚)×100
また硬化ハードマスク層12は、本書中に記載される一般的なフォトレジスト現像剤には実質的に不溶性であることが好ましい。硬化ハードマスク層の現像剤中の溶解度は上述の剥離試験と同様の手順および計算を用いて評価する。しかしながら、ELのような溶剤を用いてすすぎ洗いを行う代わりに、硬化層を0.26Nのテトラメチルアンモニウム水酸化物(TMAH)現像剤中に60秒間浸漬する。硬化層の厚みにおけるいかなる損失も「暗損失(dark loss)」として定義する。好ましくは硬化層の暗損失は、約10%未満、好ましくは約5%未満、更により好ましくは約0%である。
またハードマスク層12は、十分なプラズマ障壁を提供するように、基板10よりも高いエッチング選択性を有するべきである。その理由は、このプロセスでそれ以降に塗布されるフォトレジストは、露光と湿式現像のみによってハードマスク層12のパターン形成を行うために用いられるのに対し、このフォトレジストは従来のプロセスと同様プラズマエッチング障壁として機能するからである。このように、基板10に対するハードマスク層12のエッチング選択性は、エッチャントとしてO2プラズマを用いる場合は、約10:1以上、好ましくは約20:1以上、より好ましくは約25:1〜約100:1となる。更にエッチャントとしてCF4プラズマを用いる場合は、フォトレジスト(例えば、193−nmフォトレジスト)に対するハードマスク層12のエッチング選択性は約1以上、好ましくは約1.5以上、より好ましくは約2〜約4となる。ハードマスク層12のエッチング速度は、エッチャントとしてO2プラズマを用いる場合は、約30nm/分未満、より好ましくは約0nm/分〜約10nm/分であるべきである。
次に、フォトレジスト組成物を硬化ハードマスク層に塗布して画像形成層14を形成しうる。その結果できる積層16を、図l(B)に図示する。次に画像形成層14に温度約85℃以上、好ましくは約90℃〜約120℃、時間約30秒〜約90秒の塗布後焼成(「PAB」)を行う。適切な画像形成組成物としては、市販されているフォトレジスト(例えば、Pi6−001、TOK社(神奈川県川崎市(日本)):ARX3001、JSR Micro社(Sunnyvale,CA州):AM2073J、JSR Micro社)、または他の任意の感光性組成物が含まれる。
次に画像形成層14を適切な波長の光に露光し、その後露光したフォトレジストの現像を行うことによりパターン形成することができる。より詳細には、画像形成層14の表面上に配置したマスク18を用いて画像形成層14を露光する。マスク18には、放射線(hv)がマスク18を通過して画像形成層14に接触するように設計された開口領域18aがある。マスク18の残りの固形部分18bは、ある種の領域では、画像形成層14の表面に放射線が接触するのを防ぐように設計されている。画像形成層14の中に、そして最終的には基板10の中に形成すべき所望のパターンに基づいて開口領域18aおよび固形部分18bの配置が設計されることは、当業者であれば容易に理解できるであろう。
有利なこととして、画像形成層14が放射線(すなわち光)に露光すると、本発明のハードマスク層12も同じく露光する。光に露光すると感光性ハードマスク層12内のナノ粒子マトリックスが「脱架橋」される。すなわち、熱性架橋によりナノ粒子と架橋剤との間に形成された結合が壊れる。従って露光すると、ハードマスク層12と画像形成層14の放射線に露光した部分が同時に、湿式現像剤中で可溶化される。露光後は、画像形成層14およびハードマスク層12に、温度が約85℃〜約130℃、より好ましくは約90℃〜約110℃、時間が約30秒〜約90秒の露光後焼成(PEB)を行うことが好ましい。
上述のプロセスで可溶化された画像形成層14およびハードマスク層12の露光部分を、次に露光部分を除去するためにフォトレジスト現像剤に接触させる。画像形成層14が除去されると、画像形成層14の露光部分の下側のハードマスク層12の露光部分が現像剤によって除去される。画像形成層14が除去され、同時に画像形成層14およびハードマスク層12の中に所望のパターン20が形成される。パターン20は、ビア孔、トレンチ、ライン、スペースなどであり、それらは最終的にエッチングまたはイオン注入プロセスを用いて基板10に転写されうる。好ましくは、画像形成層14およびハードマスク層12の露光部分の約95%以上が現像剤によって除去され、より好ましくは約99%以上、更により好ましくは約100%が除去されることが好ましい。有利なこととして現像剤可溶性の中間層が用いられている場合は(例えば、現像剤可溶性の底部反射防止被覆)、次にまた中間層の露光部分を除去して同時に画像形成層14、ハードマスク層12、および中間層(図示せず)中に所望のパターン20を形成することができる。
適切な現像剤はカリウム水酸化物(KOH)、TMAHのような有機または無機アルカリ性溶液であり、濃度が0.26Nまたはそれ以下のTMAHの水溶液を含有することが好ましい。これらの現像剤のいくつかは商品名PD523AD(Moses Lake Industries inc社(Moses Lake,WA州)から入手可能)、MF−319(Shipley社(Massachusetts)から入手可能)、MF−320(Shipley社から入手可能)、およびNMD3(TOK社(日本)から入手可能)として市販されている。露光後の0.26NのTMAH現像剤中のハードマスク層12の溶解速度は約50nm/秒〜約250nm/秒、更により好ましくは約100nm/秒〜約200nm/秒となることが好ましい。
次に、パターン形成された積層22に従来のエッチング、メタライゼーション、などを実施し、デバイス製造を完成させる。また多重露光プロセスを所望する場合は、パターン形成されたハードマスク層に隣接する第2の画像形成層を用いて露光−現像プロセスを繰返すこともできる。
他の実施形態では、ArF液浸リソグラフィー(図示せず)を用いてフォトレジストをパターン形成することができる。空気(従来のリソグラフィーのように)の代わりに、露光中に放射線が通過する媒体は液体である。リソグラフィックシステムの光学投射要素(すなわちレンズ)を介して画像形成層14が放射線に露光され、その際、リソグラフィックシステムの光学要素の1部分および構造(すなわち積層16)の1部分以上に液浸液が接触する。更により好ましくは、光学要素が液体中に液浸するように、システムの最後の光学要素と画像形成層14との間の空間を液体で充填する。好ましくは、適切な液浸液の屈折率は1より大きく(好ましくは約1〜約2、より好ましくは約1.3〜約1.4)、そして、水(好ましくは純水)、有機溶剤、およびそれらの混合物から成る群から選択される。液浸リソグラフィーシステムは、この分野では公知であり、AmphibianTM Systems社(Rochester,NY州)のAmphibian Interferometerが含まれる。
以下の実施例は、本発明による好ましい方法を示す。なおこれらの実施例は、例を示すために提供されているものであり、これによって本発明の全体の範囲をなんら限定するものではない。
(実施例1)
(ハードマスク配合物1)
この手順では、感光性ハードマスクを配合した。最初に、コロイド状シリカの3.5グラムのイソプロパノール溶液(〜30重量% SiO2、平均粒径10〜15nm)(OrganosilicasolTMIPA−ST:Nissan Chemical社(Houston、TX州)から入手)を5mlのPGME(Harcros Chemicals社(St.Louis、MO州)から入手)を用いて希釈した。次に350mgの三官能ビニルエーテル架橋剤(実施例8を参照)を、5mgのピリジニウムp−トルエンスルフォネート(PPTS:Aldrich社(Milwaukee、WI州)から入手)と共に溶液に添加した。ガラスバイアル中で混合物を一晩室温で撹拌した、次に溶液の総重量が56グラムになるように更にPGMEで希釈した。次に20mgのトリエタノールアミン(TEA;Aldrich社(Milwaukee、WI州)から入手)および26mgのPAG ジ−(p−t−ブチルフェニル)ヨードニウムトリス(ペルフルオロメタンスルフォニル)メチド(DTBPl−CI;DAYCHEM Laboratories Inc社(Vandalia、OH州)から入手)を添加した。この最終配合物を、粒子フィルタを通してろ過した。
配合物1を2,000rpmでケイ素基板上にスピンコートし、次に130℃で60秒間焼成した。可変角光度偏光解析器(VASER;J.A.Woollam Co.Inc社)を用いて光学定数を測定した。193nmにおける膜のn値は1.40であった。193nmにおける膜のk値は0.075であった。248nmにおける膜のn値は1.45であった。248nmにおける膜のk値は0.021であった。
次に、膜の溶剤耐性を試験した。基板を上述のように配合物1によって被覆し、膜の初期厚みを測定した。次に、膜をELで30秒間すすぎ洗いした(Harcros Chemicals社(St Louis、MO州))。その結果の膜の厚みを再び測定し記録した。暗損失を評価するために別の基板を配合物1で被覆し、膜の初期厚みを測定し記録した。次に膜を60秒間、0.26N TMAH フォトレジスト現像剤(PD523AD;Moses Lake Industries Inc社(Moses Lake、WA州)から入手)中に浸漬した。膜厚を測定し記録した。膜の湿式現像を評価するために、第3の基板を上述のように配合物1で被覆し、膜の初期厚みを測定した。次に水銀キセノンランプからの248nmの光に膜を露光し、その後露光後焼成(PEB)を130℃で60秒間行い、そしてPD523ADを用いて60秒間現像した。その結果の厚みを測定した。結果を次の表1に示す。配合物1は良好な溶剤耐性を有し、ほとんど暗損失がないが、露光後アルカリ性現像剤によって除去可能であることを示した。
(実施例2)
(ハードマスク配合物2および感光性試験)
この手順では10mgのDTBPI−CI PAGを10mgの上述の実施例1のハードマスク配合物1に添加することにより第2のハードマスク配合物を作製し、より感光性の高い配合物2を生成した。配合物を2,000rpmでケイ素基板上にスピンコートし、次に130℃で60秒間焼成した。次に、膜を248−nmフィルタを介してUV光に、各露光時間(すなわち、5、8、10、および12秒)の間露光した。130℃で60秒間のPEBと、PD523ADを用いる現像の後、露光領域の残りの厚み(単位ナノメートル)を測定し、露光線量(単位mJ/cm2)に対するグラフにした。図2に示す代表的な対比曲線は、露光線量が25mJ/cm2に到達する前と後の現像剤溶解度における明らかな対比を明確に示している。
(実施例3)
(反射防止被覆物質の上に配合物2を用いる画像形成)
この手順では、構造を準備し、上述の実施例2のハードマスク配合物2を用いてパターン形成した。先ず、反射防止被覆物質(ARCR 29A;Brewer Science Inc社(Rolla、MO州)から入手)をケイ素ウエハの上に2,500rpmでスピンコートし、その後205℃で焼成した。反射防止被覆の厚みは80nmであった。配合物2を2,000rpmでARCR 29A被覆の上部にスピンコートし、次に130℃で60秒間焼成した。膜積層は248−nmフィルタを介してUV光に露光し、>25mJ/cm2の露光を得た(図2の対比曲線に基づく)。130℃で60秒間のPEBと、PD523ADを用いる現像の後、その結果のパターンを、光学顕微鏡下で画像形成したものを図3に示す。図ではハードマスク配合物2を用いて分解されたポジティブのマイクロパターン(10−μmラインまで分解されたもの)が示されている。
(実施例4)
(配合物3および市販のフォトレジストを用いる試験)
この手順では第3のハードマスク配合物を作製し、市販のフォトレジストを用いて試験を行った。10グラムの配合物1に15mgのDTBPl−CI PAGを添加して、より感光性の高いハードマスク配合物3を作製した。その結果の配合物を、2,500rpmでケイ素基板の上にスピンコートし、次に130℃で60秒間焼成した。ArFフォトレジスト(AM2073J;JSR Micro社(Sunnyvale、CA州)から入手)を1,750rpmでハードマスク層の上部にスピンコートし、次に112℃で60秒間焼成した。次に248−nmフィルタを介して膜積層をUV光に、各露光時間(すなわち、0、2、4、および6秒)の間露光した。130℃で60秒間のPEBと、PD523ADを用いる現像の後、残りの厚み(単位ナノメートル)を測定し、露光線量(単位mJ/cm2)に対比してグラフにした。図4に示す代表的な対比曲線は、露光線量が〜10mJ/cm2に到達する時に、配合物3とフォトレジストが共に除去されたことを明確に示している。
(実施例5)
(配合物4および現像剤可溶性反射防止下層部とArFフォトレジスト上層部を用いる試験)
この手順では、10mlのPnP(Harcros社(St.Louis、MO州)から入手)を用いて3.5グラムのIPA−STを希釈することにより第4のハードマスク配合物を作製した。次に、350mgの自製ビニルエーテル架橋剤(Brewer Science Inc社(Rolla、MO州)から入手)を溶液に添加した。この架橋剤の作製は以下の実施例9に記載する。混合物をPnPを用いて溶液の総重量が56−グラムになるまで更に希釈し、次に7mgのTEAを添加した。最終の配合物4を、粒子フィルタを介してろ過した。
底部反射防止被覆(BSI.W07046B;Brewer Science Inc社(RoIIa、MO州)から入手)を、先ずケイ素ウエハの上に1,500rpmでスピンコートし、その後160℃で60秒間焼成した。次に配合物4を、2,000rpmで底部反射防止被覆の上部にスピンコートし、次に150℃で60秒間焼成した。ArFフォトレジストAM2073Jをハードマスク層の上部に1,300rpmでスピンコートし、その後110℃で60秒間焼成した。
その結果の膜積層を、248−nmフィルタを介して、各露光時間(すなわち、0、2、4、および6秒)の間UV光に露光した。130℃で90秒間のPEBとPD523ADを用いる現像の後、露光領域の残りの厚み(単位ナノメートル)を測定し、露光線量(単位mJ/cm2)に対比してグラフにした。図5に示すように配合物4はPAG分子を全く添加しない場合も、上部のフォトレジスト層および底部の現像可能な底部反射防止層を用いることにより、光酸拡散により、現像剤可溶性のままである。
(実施例6)
(配合物5の作製および関連試験)
94.423グラムのPGMEを用いて5グラムのIPA−STを希釈することにより、ハードマスク配合物5を作製した。次に、以下の実施例9で作製する500mgの自製ビニルエーテル架橋剤を、11.5mgのTEA、27.9mgのトリフェニルスルフォニウム ペルフルオロ−l−ブタンスルフォネート(TPS−ノンアフレートPAG;Sigma−Aldrich Inc社(St.Louis、MO州)から入手)、および37.6mgのトリス(4−tert−ブチルフェニル)スルフォニウム ペルフルオロ−l−ブタンスルフォネート(SAFC PAG;Sigma−Aldrich Inc社(St.Louis、MO州)から入手)と共に、希釈したIPA−ST溶液に添加した。最終の配合物5を、粒子フィルタを介してろ過した。
配合物5を、1,500rpmでケイ素基板上にスピンコートし、次に160℃で60秒間焼成した。VASERを用いて、光学定数を測定した。193nmにおける膜のn値は1.45であった。193nmにおける膜のk値は0.083であった。248nmにおける膜のn値は1.46であった。248nmにおける膜のk値は0.029であった。
次に膜の溶剤耐性を、実施例1に記載の手順を用いて、EL剥離、暗損失、および湿式現像試験を用いて試験した。その結果を次の表2に示す。
次にCF4、アルゴン、および酸素ガスの混合物を用いて配合物5のブランケットエッチング速度を測定し、パターン形成された膜をパターン転写層として機能させるための有用性を評価した。表3は、用いられた条件および45秒エッチングに基づくエッチング速度を示す。
エッチング速度とガス混合物との関係を分析した。それを図6に示す。どの条件においても、同様の条件のときの有機レジスト、または底部反射防止被覆物質よりエッチング速度は概して遅い。このハードマスク配合物は、純酸素プラズマエッチングにおいて良好なエッチング耐性を示し、一方CF4および酸素(およそ1:2の比率)の混合物は適度に高速のエッチングを提供する。
(実施例7)
(ハードマスク配合物6の作製)
この手順では、次の方法でより別のハードマスク配合物を作製した。240mgのPOSSR Octa Amic Acid(平均粒径1.5nm)(Hybrid PlasticsTM社(Hattiesburg、MS州)から入手したPOSSR NanostructurcdR Chemical)、80mgの自製ビニルエーテル架橋剤(Brewer Science Inc社(Rolla、MO州);実施例9参照)、9.6mgのトリフェニルスルフォニウムトリフレート PAG(Aldrich社(St.Louis、MO州))を9.674グラムのジメチル−アセトアミド(Aldrich社(St.Louis、MO州))に溶解し、全体で10グラムの溶液を生成した。この溶液を20−mLガラスバイアル中で一晩室温で混合し、次に粒子フィルタを介してろ過した。
配合物6を1,500rpmでケイ素基板上にスピンコートし、次に各温度(例えば、130℃、155℃、170℃)で60秒間焼成した。その結果の膜を、露光せずに、フォトレジスト現像剤中に液浸させ、暗損失を評価した。130℃の焼成の後、膜が完全に剥離した(100%の暗損失)。155℃で焼成した後、厚みが87.9nmから39.9nmに変化し(54.6%の暗損失)、170℃の焼成の後、厚みが72.5nmから70.8nmに変化した(2.3%の暗損失)。
(実施例8)
(三官能ビニルエーテル架橋剤配合物)
この実施例では、次の反応スキームに基づいて三官能ビニルエーテル架橋剤を作製した。
最初に6グラムのエチレングリコールビニルエーテルおよび7.5mlのトリエチルアミンを、40mlのエーテル中で混合し、エーテル中のトリメシン酸塩化物(6グラム)の溶液を液滴処理した(40%)。添加の後、混合物を加熱し1.5時間還流させた。残留した塩をろ過によって除去し、エーテル溶液を10%NaOH(2x25ml)で洗浄し、その後、水(25ml)で洗浄し、次に無水硫酸マグネシウムの上で乾燥させる。圧力下で溶剤を除去した後、淡黄色のオイルを回収した。全体の歩留まりは69%であった。
(実施例9)
(追加のビニルエーテル架橋剤配合物)
この実施例では、500−mlの二口フラスコに、25.15グラムのテトラメチレングリコールモノビニルエーテル(Aldrich社(St Louis、MO州))、22.91グラムのトリエチルアミン(Aldrich社(St Louis、MO州))、および250mlのテトラヒドロフラン(「THF」;Aldrich社(St Louis、MO州))を添加することによって、別の三官能ビニルエーテル架橋剤を作製した。フラスコは撹拌棒、追加の漏斗、凝縮器、および窒素の入口と出口を備えていた。フラスコを氷水槽の中に浸漬し、溶液を窒素流下で撹拌した。
次に、20.00グラムの1,3,5−ベンゼントリカルボニルトリクロリド(Aldrich社(St.Louis、MO州))を250−mlの三角フラスコ中の50mlのTHF中に溶解した。この溶液を500−mlの二口フラスコの上の追加の漏斗に移し、撹拌中のテトラメチレングリコールモノビニルエーテル/トリエチルアミン/THF溶液に、添加が完了するまで約15分間液滴添加した。接触により白色の析出物が形成された。次に、フラスコを氷槽から取出し、スラリーをフラスコ内で室温にした。これにはおよそ16時間かかった。次に、スラリーを加熱し4時間還流した。フラスコを熱から取出し、室温まで冷却させた。次に、吸込ろ過装置を用いてスラリーをろ過し、回転式蒸発器を用いて濃縮し、粘性の高い黄色の液体を得た。
この液体を100mlのジエチルエーテル(Aldrich社(St.Louis、MO州))の中に溶解し、25−ml部の水性12.5%TMAH(Aldrich社(St.Louis、MO州))を用いて2回洗浄した。分液漏斗を用いてエーテル層を抽出し、次に50−ml部の脱イオン水を用いて2回洗浄した。エーテル層を沈殿させ、採取した。5.0gの活性塩基性アルミナと混合することによって、エーテル層を乾燥させた。混合物を1時間撹拌し、重力ろ過した。透明な黄色の液体をロータバップの中で濃縮させ、黄色の高粘度の油を得た。総歩留まりはおよそ29.28グラム(77%の歩留まり率)であった。

Claims (16)

  1. 以下の工程を含むマイクロエレクトロニクス構造を形成する方法:
    (a) 表面を有する基板を提供する工程;
    (b) 随意的に1またはそれ以上の中間層を前記表面の上に形成する工程;
    (c) 溶剤系に溶解または分散された、平均粒径が15nm未満である非ポリマーナノ粒子を含有するハードマスク組成物を、前記中間層が存在する場合はそれに隣接して塗布する、または中間層が存在しない場合は、前記基板表面に隣接して塗布する工程;
    (d) 前記ハードマスク組成物を焼成してハードマスク層を生成する工程;
    (e) 画像形成層を前記ハードマスク層に塗布する工程;
    ) 前記ハードマスク層を放射線に露光して前記ハードマスクの露光部分を生成する工程;および
    ) 前記ハードマスク層を現像剤に接触させ前記ハードマスク層の前記露光部分を除去する工程。
  2. 前記露光は、前記画像形成層の露光部分を生成し、そして前記接触は前記ハードマスク層の前記露光部分および前記画像形成層の前記露光部分を同時に除去する、請求項1に記載の方法
  3. 前記焼成は、前記組成物中のナノ粒子を架橋し、前記ナノ粒子の架橋したマトリックスを生成し、前記ハードマスク層を形成する、請求項1に記載の方法
  4. 前記露光は、前記マトリックスを脱架橋する、請求項3に記載の方法
  5. 前記中間層は、スピンオン炭素層、非晶質炭素層、底部反射防止被覆、平坦化層、およびそれらの組合せから成る群から選択される、請求項1に記載の方法
  6. 前記露光は、前記中間層の露光部分を生成し、そして前記接触は前記ハードマスク層の前記露光部分および前記中間層の前記露光部分を同時に除去する、請求項5に記載の方法
  7. 前記ハードマスク層は、前記露光の前に塩基性現像剤における初期溶解度を有し、前記ハードマスク層の前記露光部分は塩基性現像剤における最終溶解度を有し、前記最終溶解度は前記初期溶解度より大きい、請求項1に記載の方法
  8. 前記ナノ粒子は、ケイ素、チタニウム、ハフニウム、亜鉛、ジルコニウム、錫、アルミニウム、セリウム、タンタル、バナジウム、ゲルマニウム、カドミウム、セレニウム、これらの酸化物、水酸化物、セレン化物、および硫化物、およびそれらの組合せから成る群から選択される金属を含有する、請求項1に記載の方法
  9. 前記ハードマスク組成物は、前記ナノ粒子と共に前記溶剤系中に分散または溶解したビニルエーテル架橋剤を更に含有する、請求項1に記載の方法
  10. 以下を備えるマイクロエレクトロニクス構造であって:
    表面を有する基板;
    随意的な前記基板表面の上の1またはそれ以上の中間層;および
    前記中間層が存在する場合は、それに隣接する、あるいは中間層が存在しない場合は前記基板表面に隣接する、ハードマスク層、
    前記ハードマスク層は架橋した、平均粒径が15nm未満である非ポリマーナノ粒子を含有し、露光すると現像剤可溶性である、マイクロエレクトロニクス構造
  11. 前記ナノ粒子はビニルエーテル架橋剤を用いて架橋される、請求項10に記載の構造
  12. 前記ハードマスク層に隣接して画像形成層を更に備える、請求項10に記載の構造
  13. 前記ハードマスク層はパターンを含む、請求項10に記載の構造
  14. 前記パターンはビアおよびトレンチから成る群から選択される、請求項13に記載の構造
  15. 前記パターン形成されたハードマスク層に隣接する画像形成層を更に含む、請求項13に記載の構造
  16. 前記基板は、ケイ素、SiGe、SiO 2 、Si 3 4 、アルミニウム、タングステン、ケイ化タングステン、ガリウム砒素、ゲルマニウム、タンタル、窒化タンタル、サンゴ、ブラックダイヤ、リンまたはホウ酸ドープガラス、およびそれらの混合物から成る群から選択される、請求項10に記載の構造
JP2011506399A 2008-04-23 2009-04-21 マイクロリソグラフィー用の感光性ハードマスク Active JP5739325B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US4730208P 2008-04-23 2008-04-23
US61/047,302 2008-04-23
PCT/US2009/041282 WO2009132023A2 (en) 2008-04-23 2009-04-21 Photosensitive hardmask for microlithography

Publications (2)

Publication Number Publication Date
JP2011519063A JP2011519063A (ja) 2011-06-30
JP5739325B2 true JP5739325B2 (ja) 2015-06-24

Family

ID=41217392

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011506399A Active JP5739325B2 (ja) 2008-04-23 2009-04-21 マイクロリソグラフィー用の感光性ハードマスク

Country Status (7)

Country Link
US (1) US7939244B2 (ja)
JP (1) JP5739325B2 (ja)
KR (1) KR101697790B1 (ja)
CN (1) CN102016724B (ja)
DE (1) DE112009000979B4 (ja)
TW (1) TWI440069B (ja)
WO (1) WO2009132023A2 (ja)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8206996B2 (en) 2006-03-28 2012-06-26 Lam Research Corporation Etch tool process indicator method and apparatus
US9005907B2 (en) 2010-10-01 2015-04-14 St. Jude Children's Research Hospital Methods and compositions for typing molecular subgroups of medulloblastoma
US8647809B2 (en) 2011-07-07 2014-02-11 Brewer Science Inc. Metal-oxide films from small molecules for lithographic applications
EP2783389B1 (en) * 2011-11-21 2021-03-10 Brewer Science, Inc. Structure comprising assist layers for euv lithography and method for forming it
WO2013109748A1 (en) * 2012-01-19 2013-07-25 Brewer Science Inc. Nonpolymeric antireflection compositions containing adamantyl groups
WO2013158527A1 (en) * 2012-04-16 2013-10-24 Brewer Science Inc. Silicon hardmask layer for directed self-assembly
CN102723260B (zh) * 2012-06-18 2015-07-01 中国电子科技集团公司第四十六研究所 一种可自剥离的氮化物半导体材料生长方法
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
US9541834B2 (en) * 2012-11-30 2017-01-10 Rohm And Haas Electronic Materials Llc Ionic thermal acid generators for low temperature applications
JP5827939B2 (ja) * 2012-12-17 2015-12-02 東京エレクトロン株式会社 成膜方法、プログラム、コンピュータ記憶媒体及び成膜装置
US9348228B2 (en) 2013-01-03 2016-05-24 Globalfoundries Inc. Acid-strippable silicon-containing antireflective coating
KR102245179B1 (ko) * 2013-04-03 2021-04-28 브레우어 사이언스, 인코포레이션 지향성 자가 조립용 블록 공중합체에 사용하기 위한 고도로 내에칭성인 중합체 블록
KR102364329B1 (ko) 2014-01-16 2022-02-17 브레우어 사이언스, 인코포레이션 유도 자가-조립용 하이-카이 블록 공중합체
US9330221B2 (en) * 2014-05-23 2016-05-03 Globalfoundries Inc. Mask-aware routing and resulting device
US9583358B2 (en) 2014-05-30 2017-02-28 Samsung Electronics Co., Ltd. Hardmask composition and method of forming pattern by using the hardmask composition
KR102287343B1 (ko) * 2014-07-04 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
KR102287344B1 (ko) 2014-07-25 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
KR20160029900A (ko) 2014-09-05 2016-03-16 삼성전자주식회사 반도체 소자의 제조 방법
KR102384226B1 (ko) 2015-03-24 2022-04-07 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴 형성방법
KR102463893B1 (ko) 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US10712483B2 (en) 2015-08-24 2020-07-14 Samsung Electronics Co., Ltd. Photosensitive compositions, quantum dot polymer composite pattern prepared therefrom, and electronic devices including the same
KR102631400B1 (ko) 2015-10-22 2024-01-29 삼성전자주식회사 감광성 조성물, 이로부터 제조된 양자점-폴리머 복합체 패턴, 및 이를 포함하는 전자 소자
KR102527764B1 (ko) 2015-12-17 2023-05-02 삼성전자주식회사 감광성 조성물, 이를 제조하기 위한 방법, 및 이로부터 제조된 양자점-폴리머 복합체 패턴
US10120277B2 (en) * 2016-02-19 2018-11-06 Jsr Corporation Radiation-sensitive composition and pattern-forming method
KR20170098173A (ko) * 2016-02-19 2017-08-29 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법
JP6389839B2 (ja) * 2016-03-23 2018-09-12 株式会社先端ナノプロセス基盤開発センター 感光性組成物およびパターン形成方法
US9929012B1 (en) 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
US11034847B2 (en) 2017-07-14 2021-06-15 Samsung Electronics Co., Ltd. Hardmask composition, method of forming pattern using hardmask composition, and hardmask formed from hardmask composition
KR102433666B1 (ko) 2017-07-27 2022-08-18 삼성전자주식회사 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
KR102486388B1 (ko) 2017-07-28 2023-01-09 삼성전자주식회사 그래핀 양자점의 제조방법, 상기 제조방법에 따라 얻어진 그래핀 양자점을 포함한 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
CN111095106B (zh) * 2017-08-30 2023-07-28 富士胶片株式会社 图案形成方法、离子注入方法、层叠体、试剂盒及电子器件的制造方法
US11972948B2 (en) 2018-06-13 2024-04-30 Brewer Science, Inc. Adhesion layers for EUV lithography

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05163021A (ja) * 1991-12-13 1993-06-29 Ishihara Sangyo Kaisha Ltd フォトレジスト用酸化チタン微粉末、およびそれを使用するフォトレジスト用組成物
JP3798080B2 (ja) 1996-08-20 2006-07-19 富士写真フイルム株式会社 ベンゾアゼピン構造を有する芳香族三級アミン化合物
JP4022312B2 (ja) * 1998-05-08 2007-12-19 株式会社Kri レジスト組成物およびパターン形成方法
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US7070914B2 (en) 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
DE10219122B4 (de) * 2002-04-29 2005-01-05 Infineon Technologies Ag Verfahren zur Herstellung von Hartmasken
US20040185674A1 (en) 2003-03-17 2004-09-23 Applied Materials, Inc. Nitrogen-free hard mask over low K dielectric
US7364832B2 (en) 2003-06-11 2008-04-29 Brewer Science Inc. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
TW200510934A (en) * 2003-06-20 2005-03-16 Zeon Corp Radiation-sensitive resin composition and method for forming pattern using the composition
US7223517B2 (en) * 2003-08-05 2007-05-29 International Business Machines Corporation Lithographic antireflective hardmask compositions and uses thereof
US7550097B2 (en) * 2003-09-03 2009-06-23 Momentive Performance Materials, Inc. Thermal conductive material utilizing electrically conductive nanoparticles
US7270931B2 (en) * 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
JP4131864B2 (ja) * 2003-11-25 2008-08-13 東京応化工業株式会社 化学増幅型ポジ型感光性熱硬化性樹脂組成物、硬化物の形成方法、及び機能素子の製造方法
US7241682B2 (en) * 2004-02-27 2007-07-10 Taiwan Seminconductor Manufacturing Co., Ltd. Method of forming a dual damascene structure
US6900134B1 (en) * 2004-03-18 2005-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming openings in a substrate using bottom antireflective coatings
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US20050255410A1 (en) * 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20070207406A1 (en) * 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US7638266B2 (en) * 2004-08-12 2009-12-29 International Business Machines Corporation Ultrathin polymeric photoacid generator layer and method of fabricating at least one of a device and a mask by using said layer
US20060166132A1 (en) * 2005-01-27 2006-07-27 Meagley Robert P Ultraviolet light transparent nanoparticles for photoresists
US7341939B2 (en) * 2005-02-18 2008-03-11 Taiwan Semiconductor Maunfacturing Co., Ltd. Method for patterning micro features by using developable bottom anti-reflection coating
US7524606B2 (en) * 2005-04-11 2009-04-28 Az Electronic Materials Usa Corp. Nanocomposite photoresist composition for imaging thick films
JP2007025012A (ja) * 2005-07-13 2007-02-01 Nippon Zeon Co Ltd 樹脂組成物
CN100437160C (zh) * 2005-07-22 2008-11-26 鸿富锦精密工业(深圳)有限公司 彩色光阻的制造方法
KR100703007B1 (ko) * 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
US7914974B2 (en) * 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
US8168372B2 (en) * 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
US8455178B2 (en) * 2006-09-26 2013-06-04 Rohm And Haas Electronic Materials Llp Coating compositions for photolithography
KR101332227B1 (ko) * 2006-11-29 2013-11-22 주식회사 동진쎄미켐 유기 반사방지막 형성용 단량체, 중합체 및 이를 포함하는유기 조성물
US8053368B2 (en) * 2008-03-26 2011-11-08 International Business Machines Corporation Method for removing residues from a patterned substrate
US7919225B2 (en) * 2008-05-23 2011-04-05 International Business Machines Corporation Photopatternable dielectric materials for BEOL applications and methods for use
US8455176B2 (en) * 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
US8182978B2 (en) * 2009-02-02 2012-05-22 International Business Machines Corporation Developable bottom antireflective coating compositions especially suitable for ion implant applications
US8313889B2 (en) * 2009-04-01 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning method using metallic compound mask layer
US20100255412A1 (en) * 2009-04-06 2010-10-07 Sam Xunyun Sun Photo-imaging Hardmask with Negative Tone for Microphotolithography
US8911932B2 (en) * 2009-04-13 2014-12-16 Sam Xunyun Sun Photo-imageable hardmask with positive tone for microphotolithography
US8822347B2 (en) * 2009-04-27 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Wet soluble lithography

Also Published As

Publication number Publication date
JP2011519063A (ja) 2011-06-30
US7939244B2 (en) 2011-05-10
DE112009000979T5 (de) 2011-02-17
KR101697790B1 (ko) 2017-02-01
WO2009132023A2 (en) 2009-10-29
US20090297784A1 (en) 2009-12-03
DE112009000979B4 (de) 2014-12-11
CN102016724A (zh) 2011-04-13
TWI440069B (zh) 2014-06-01
KR20110020780A (ko) 2011-03-03
CN102016724B (zh) 2014-07-02
TW201003735A (en) 2010-01-16
WO2009132023A3 (en) 2010-01-28

Similar Documents

Publication Publication Date Title
JP5739325B2 (ja) マイクロリソグラフィー用の感光性ハードマスク
JP5357186B2 (ja) 多重暗視野露光によるハードマスクのパターン形成のためのオントラックプロセス
JP6109164B2 (ja) リソグラフィー用途用の小分子由来の金属酸化物フィルム
US7855045B2 (en) Immersion topcoat materials with improved performance
JP6592243B2 (ja) Euvリソグラフィのためのアシスト層
JP4918162B2 (ja) 193nmリソグラフィー用の二重層の感光性で現像液に可溶な底面反射防止塗膜
TWI737870B (zh) 包含金屬氧化物奈米粒子及有機聚合物之旋轉塗佈材料組合物
WO2006057782A1 (en) Silicon containing tarc/barrier layer
JP2012518812A (ja) 酸感応性、現像剤可溶性の下層反射防止膜
EP1678556A2 (en) Low-activation energy silicon-containing resist system
CN103025835A (zh) 在光刻胶图案上涂覆的组合物
WO2014171984A2 (en) Silicon-containing antireflective coatings including non-polymeric silsesquioxanes
WO2010080758A1 (en) Substrate planarization with imprint materials and processes
JP2008257170A (ja) パターン形成方法
UENO et al. Appeal decision Appeal No. 2015-6131 USA Appellant INTERNATIONAL BUSINESS MACHINES CORPORATION Tokyo, Japan

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120301

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130704

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130709

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130920

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130930

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131212

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140805

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141031

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150331

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150423

R150 Certificate of patent or registration of utility model

Ref document number: 5739325

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250