JP5357186B2 - 多重暗視野露光によるハードマスクのパターン形成のためのオントラックプロセス - Google Patents

多重暗視野露光によるハードマスクのパターン形成のためのオントラックプロセス Download PDF

Info

Publication number
JP5357186B2
JP5357186B2 JP2010545164A JP2010545164A JP5357186B2 JP 5357186 B2 JP5357186 B2 JP 5357186B2 JP 2010545164 A JP2010545164 A JP 2010545164A JP 2010545164 A JP2010545164 A JP 2010545164A JP 5357186 B2 JP5357186 B2 JP 5357186B2
Authority
JP
Japan
Prior art keywords
hard mask
layer
image forming
group
mask layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010545164A
Other languages
English (en)
Other versions
JP2011514662A (ja
Inventor
サム シ. サン
ハオ シュ
トニー ディー. フレイム
Original Assignee
ブルーワー サイエンス アイ エヌ シー.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルーワー サイエンス アイ エヌ シー. filed Critical ブルーワー サイエンス アイ エヌ シー.
Publication of JP2011514662A publication Critical patent/JP2011514662A/ja
Application granted granted Critical
Publication of JP5357186B2 publication Critical patent/JP5357186B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • G03F7/0758Macromolecular compounds containing Si-O, Si-C or Si-N bonds with silicon- containing groups in the side chains
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist

Description

(関連出願)
本願は2008年1月29日提出の、米国仮特許出願第61/024,474号「多重暗視野露光によるハードマスクのパターン形成のためのオントラックプロセス」の優先権の利益を主張するものであり、当該仮出願を引用として本明細書に含める。
(発明の分野)
本発明は一般にマイクロフォトリソグラフィーによる半導体構造の製造プロセスに関し、更に詳細にはピッチ多重化のための多重露光による半導体構造の製造プロセスに関する。
マイクロエレクトロニクス製造の進歩はマイクロフォトリソグラフィープロセスによって製造される半導体構造の密度および寸法に反映される。高密度化と限界寸法(CD)低減化を求める要求がフォトリソグラフィー技術を常にその限界まで推し進めてきた。フォトリソグラフィープロセスの限界においては、高ピッチ、例えば、1:1ライン/スペースのような高ピッチの構造的特徴ではなく、低減化CDによって緩和ピッチの構造的特徴を形成することができる。このようなCD低減化のプロセスのトレードオフは、構造的特徴の密度の低化である。原理的には密度の損失は露光プロセスの反復によって補うことができる。
CD最小化の潜在的可能性については(1)多重露光プロセスがコスト高であり複雑さも増すこと、と(2)CD最小化のために他の選択肢が利用可能であること、の2つの理由から最近まで真剣に研究されたことは無かった。過去10年間に、マイクロエレクトロニクス業界は主に、CD最小化のために露光ツールの放射線波長を短くすることに依存してきた。フォトリソグラフィー技術は、i−ライン(365nm)からKrF(248nm)およびArF(193nm)まで順調な進化を遂げてきた。
193−nmの液浸フォトリソグラフィーを用いて、1:1のピッチを持つ45−nmライン/スペースのパターンを確実に印刷することができる。しかしながら、液浸フォトリソグラフィーはすぐにその解像度の限度に到達するので、フォトリソグラフィープロセスの改善の趨勢の1つは、開口度(NA)の高いツールおよび/または液浸流動体を用いることであった。高いNA度(>1.0)を有する画像形成ツールを単独または液浸と組合せて用いることによって、より低減化された限界寸法およびより高い密度を持つ高解像度パターンを達成する方法が得られる。画像形成層に対してより大容量の光を転写することができるので、これらの進歩が可能となる。しかしながら、これらの選択肢は非常にコストがかかり新規のツールセットを必要とする。
より最近では、次世代の印刷ノードのための多重露光技術が、193nmより短い波長、例えば13.5nmの露光が使用可能になるまでの、唯一の実行可能な選択肢であった。多重露光技術については多くのプロセス案が研究報告されてきた。これらの案の多くは明視野マスクを利用する。すなわちフォトレジストの小さな部分、例えばラインだけを露光から保護し、レジストの残りの部分を露光する。次にフォトレジストを現像剤に接触させ、レジストの露光部分を除去し、それによって、ハードマスク層の上に残ったフォトレジストの露光されていない部分(すなわちライン)だけを残す。フォトレジストの露光されていない部分の下側の部分以外のハードマスク層をエッチングすることによって、このパターンをハードマスクに転写する。所望のパターンが得られるまでこのプロセスを繰り返す。従来の明視野プロセスの1つの欠点は、2回目の露光−現像−エッチングプロセスの前にハードマスクを基板に対して再度塗布しなければならないことであった。この追加工程が処理時間と全体のコストを増加させる。ビアまたはトレンチのような構造的特徴を形成するために、暗視野を利用することにはほとんど関心が向けられていなかった。暗視野露光プロセスでは、フォトレジストの大部分を露光から保護し、フォトレジストの小さな部分だけを露光し、現像の後除去する。明視野と同様にエッチングプロセスを用いて、パターンをハードマスクに転写する。
このように、既存の二重露光プロセスは、2回の露光の間にドライエッチング工程を必要とする。言い換えれば、2回目の露光を処理可能にする前に1回目の露光によって得られたパターンを反応性イオンエッチング(RlE)によって下側の層に転写する必要がある。このドライエッチング工程は、二重露光技術を大きく複雑化する。したがって、この技術分野では、第2のハードマスク層を塗布する必要がなくまたドライエッチング工程の不要な多重パターン形成技術が求められている。
本発明は、マイクロエレクトロニクス構造の形成方法、特に多重露光プロセスのための方法、これらの構造を形成するための組成物、およびこれらの構造、を幅広く提供することによって上述の問題を克服するものである。
更に詳細には、本方法はハードマスク組成物を基板の表面に塗布する工程を含む。ハードマスク組成物は溶剤系中に分散または溶解したポリマーを含有する。組成物を焼成し、基板上にハードマスク層を生成する。感光性組成物をハードマスク層上に塗布し、ハードマスク層の上部に第1の画像形成層を形成し、第1の画像形成層を活性放射線に露光し、第1の画像形成層に露光部分を生成する。第1の画像形成層を現像剤に接触させ画像形成層の露光部分を除去する。有利なこととして、これによって第1の画像形成層の露光部分の下のハードマスク層の部分も除去される結果となり、パターン形成されたハードマスク層を生成する。次に第1の画像形成層を有機溶剤に接触させ、ハードマスク層から第1の画像形成層を除去する。第2感光性組成物をハードマスクに塗布し、パターン形成されたハードマスク層の上部に第2の画像形成層を形成する。これは基板に追加のハードマスク組成物を追加することなく行う。
また本発明は溶剤系に分散または溶解したポリマーを含有する本発明のハードマスク組成物を提供する。ポリマーは下記式の反復単量体を含有する。
式中、各Rは−Hおよびアルキル基から成る群から独立して選択され、各Rは−Hおよびケイ素含有部分から成る群から独立して選択される。ケイ素含有部分は以下の式から成る群から選択される。
式中、各Rはアルキル基から成る群から選択され、各Rはn−ブチル、t−ブチル、およびアルキル基から成る群から選択され、上述のRの1以上はケイ素含有部分である。
別の実施形態では、表面を有する基板、および基板表面の近隣のハードマスク層を備えるマイクロエレクトロニクス構造を提供する。ハードマスク層は溶剤系中に分散または溶解したポリマーを含有するハードマスク組成物から形成され、ポリマーは下記式の反復単量体を含有する。
式中、各Rは、−Hおよびアルキル基から成る群から独立して選択され、各Rは−Hおよびケイ素含有部分から成る群から独立して選択される。ケイ素含有部分は以下の式から成る群から選択される。
式中、各Rはアルキル基から成る群から選択され、各Rは、n−ブチル、t−ブチル、およびアルキル基から成る群から選択され、上述のRの1以上はケイ素含有部分である。
また本発明はハードマスク組成物を基板の表面に塗布することによってマイクロエレクトロニクス構造を形成する別の本発明の方法を提供する。ハードマスク組成物は溶剤系中に分散または溶解したポリマーを含有する。ハードマスク組成物を焼成し、基板上にハードマスク層を形成する。感光性組成物をこのハードマスク上に塗布し、ハードマスク層の上部に第1の画像形成層を形成し、第1の画像形成層を活性放射線に露光し、第1の画像形成層に露光部分を生成する。第1の画像形成層を現像剤に接触させ画像形成層の露光部分を除去する。有利なこととして、これによって第1の画像形成層の露光部分の下のハードマスク層の部分も除去される結果になり、パターン形成されたハードマスク層を生成する。第1の画像形成層を有機溶剤に接触させ、パターン形成されたハードマスク層から第1の画像形成層を除去する。第2の感光性組成物をパターン形成されたハードマスク層に塗布し、パターン形成されたハードマスク層の上部に第2の画像形成層を形成する。上述のプロセス中にドライエッチングを行うことなく、これが達成される。
図1(a)〜図1(i)は本発明の多重露光プロセスの1つの実施形態を示す図である。 図2(a)〜図2(h)は本発明の多重露光プロセスの別の実施形態を示す図である。 図3は実施例2の二重露光プロセスによりハードマスク上に形成されたビアのSEM画像である。 図4は実施例5において画像形成層およびハードマスクIIに形成されたトレンチおよびラインのSEM画像を示す。 図5は実施例10において画像形成層およびハードマスクIVに形成されたトレンチおよびラインのSEM画像を示す。
(好ましい実施形態の詳細な説明)
(本発明の方法)
本発明は、多重露光プロセスを通じ、半導体基板上にビアまたはトレンチ構造を生成するための方法を提供する。図1は本発明の1つの実施形態を図示したものである。表面10aおよび背面10bを有する基板10が提供される。基板10の背面10bにエッチング停止層12を塗布しても良い。任意の既存のマイクロエレクトロニクス基板を使用しうる。好ましい基板としては、ケイ素、SiGe、SiO、Si、アルミニウム、タングステン、ケイ化タングステン、ヒ化ガリウム、ゲルマニウム、タンタル、窒化タンタル、サンゴ、ブラックダイヤ、リンまたはホウ酸ドープガラス、およびこれらの混合物から成る群から選択される基板が含まれる。基板10の表面は平面であってもよく、またトポグラフィー(ビア孔、トレンチ、コンタクトホール、隆起構造的特徴、ラインなど)を含んでもよい。
図l(a)に示すように、随意的な中間層14を基板10の上側の表面10aに塗布する。中間層14を、例えば、スピンコーティングまたは蒸着などの任意の公知の塗布方法によって塗布することができる。次にこの中間層を焼成する。好ましい焼成条件としては、温度は約100℃以上、好ましくは約120℃〜約250℃、より好ましくは約180℃〜約220℃であり、時間は約20秒〜約60秒である。好ましい中間層14としては、スピンオン炭素層(SOC)、非結晶炭素層、底面反射防止被覆層、平坦化層、およびこれらの組合せから成る群から選択される層が含まれる。好ましくは中間層14の屈折率(n−値)は約1.4〜約2、より好ましくは約1.6〜約2であり、使用周波数(例えば、365nm、248nm、193nm、157nm、または13.5nmなど)のときに、消散係数(k−値)は約0.1〜約0.8、より好ましくは約0.4〜約0.6である。
次にハードマスク組成物の層を中間層14に、または中間層14が存在しない場合は基板表面10aに塗布する。組成物は任意の公知の塗布方法によって塗布しうる。1つの好ましい方法は、組成物をスピンコーティングする方法であって、その際の速度は約1,000rpm〜約4,000rpm(好ましくは約1,500rpm〜約2,500rpm)、時間は約10秒〜約60秒(好ましくは約20秒〜約60秒)である。次にハードマスク組成物を焼成し、熱架橋を引き起こし、ハードマスク層16を形成する。好ましい焼成条件としては、温度は約100℃以上、好ましくは約120℃〜約250℃、より好ましくは約160℃〜約200℃、時間は約20秒〜約60秒である。またハードマスク層16に対して、温度が約80℃〜約120℃、時間が約10秒〜約60秒の焼成を行い、その後、温度が約160℃〜約200℃、時間が約20秒〜約60秒の焼成を行う2工程熱硬化プロセスも用いうる。架橋されたハードマスク層16の厚みは一般に約20nm〜約150nm、好ましくは約30nm〜約100nmである。
好ましくは硬化したハードマスク層16は、中間層14がある場合その光学指数と適合するn−値およびk−値を有する。更に好ましくは、使用波長(例えば、365nm、248nm、193nm、157nm、または13.5nm)のとき、ハードマスク層16のn−値は約1.4〜約2、より好ましくは約1.6〜約2、k−値は約0〜約0.6である。
有利なこととして、硬化ハードマスク層16は十分に架橋するので、乳酸エチル、プロピレングリコールメチルエーテルアセテート(PGMEA)、プロピレングリコールメチルエーテル(PGME)、プロピレングリコールn―プロピルエーテル(PnP)、シクロヘキサノン、アセトン、ガンマブチロラクトン(GBL)、およびそれらの混合物などの一般的な有機溶剤中で実質的に不溶性となる。従って剥離試験を行うと、硬化ハードマスク層16の剥離率は約5%未満、好ましくは約1%未満、より好ましくは約0%となる。剥離試験では、先ず硬化層の異なる5箇所の測定値の平均を取ることによって厚みを決定する。これが初期平均層厚である。次に硬化層の上に溶剤(例えば、乳酸エチル)を約20秒間塗りつけ、その後、約2,000〜3,500rpmで約20〜30秒間スピンドライを行い溶剤を除去する。偏光解析法を用いて、ウエハ上の5箇所の厚みを測定し、測定値の平均を決定する。これが最終平均層厚である。
初期と最終の平均層厚では剥離量が異なる。%剥離は下記式で求められる。
%剥離=(剥離量/初期平均層厚)×100
次に感光性組成物を硬化ハードマスク層16に塗布して画像形成層18を形成しうる。次に画像形成層18に温度が約80℃以上、好ましくは約80℃〜約130℃、より好ましくは約100℃〜約120℃、時間は約60秒〜約120秒の塗布後焼成(「PAB」)を行う。適切な画像形成組成物としては、市販のフォトレジストまたは任意の他の感光性組成物が含まれる。画像形成組成物は、固形分が低く、非常に薄い層として塗布できることが好ましい。本発明のプロセスでは、パターンを画像形成層18から次の層に転写するのにドライエッチングを必要としないため、画像形成層18はパターン転写エッチングのためのマスキング層として機能しない。これはパターン形成プロセスに多くの利点をもたらす。例えば、従来技術と違い、本発明のプロセスでは、エッチング選択性およびエッチング深度によって画像形成層18の最小厚みが制限されることはない。その結果、固形分(焼成前)が約20重量%未満、より好ましくは約3重量%〜約10重量%である画像形成組成物を用いることができる。焼成後の画像形成層18の厚みは一般に約20nm〜約200nm、好ましくは約40nm〜約100nmである。従来のフォトレジストは一般にこのような薄い層を形成できない。従って画像形成組成物は、この目的のために特に調整することもできるし、あるいは市販のフォトレジストを所望の固形分を達成するように希釈することもできる。フォトレジストを薄層化するためには、乳酸エチル、PGMEA、PGME、PnP,2−ヘプタノンおよびそれらの混合物から成る群から選択される有機溶剤を、ここで記載したようにフォトレジストの固形分を低減するのに十分な量を用いて希釈して達成することが好ましい。その結果得られる層の積層を図l(a)に示す。
次にフォトマスク(図示せず)を画像形成層18の表面の上に位置させて、画像形成層18を放射線に露光する。マスクには、放射線がマスクを通過し画像形成層18の表面に接触するように設計された開口領域がある。マスクの残りの固形部分は、ある一定の領域において放射線が画像形成層18の表面に接触することを防ぐように設計されている。画像形成層18に、そして最終的には基板10に形成されるべき所望のパターンに基づいて、開口領域と固形部分の配置が設計されることは、当業者であれば理解できるであろう。しかしながら、本発明は、ビアまたはトレンチなどの小さい構造的特徴を形成するための暗視野プロセスに特に適している。したがって、フォトマスクは、好ましくは暗視野マスクであり、画像形成層18の大きな部分を露光から保護し、一方同時に画像形成層18の小さい部分のみ露光されるように用いられる。画像形成層18表面の約50%以上が露光中に放射線から保護されることが好ましく、画像形成層18の約50%〜約90%が露光中に放射線から保護されることが更により好ましく、画像形成層18の約60%〜約80%が各露光中に放射線から保護されることが最も好ましい。本発明のプロセスは500nmより短い波長のほとんどの放射線で用いることができるが、好ましい波長は365nm、248nm、193nm、157nm、および13.5nmから成る群から選択される。
露光すると、画像形成層18の放射線に露光した部分は、ビアおよびトレンチ11を形成するフォトレジスト現像剤に可溶性になる。露光後は、画像形成層18およびハードマスク層16に、温度が約80℃〜約180℃、より好ましくは,約100℃〜約180℃、時間が約60秒〜約120秒の露光後焼成(PEB)を行うことが好ましい。次に上述のプロセスによって可溶化された画像形成層18の露光部分をフォトレジスト現像剤に接触させて露光部分を除去する。重要なこととして、露光した画像形成層18のビアまたはトレンチ領域11は溶解するので、ハードマスク層16のビアまたはトレンチ領域11の下の部分は同様に除去される(図1(b)参照)。従来技術プロセスではパターンを画像形成層18から下の層へ転写するために必要であるドライエッチング工程が、これによって省略される。本発明の1つの態様においては、ハードマスク層16は感光性であり(すなわち、活性放射線に露光すると反応を起こす)また、露光プロセスによって架橋が解除され、それによって画像形成層18と共に現像剤可溶性になる。本発明の別の態様では、ハードマスク層16の溶解度は露光プロセスには影響されない、すなわち、露光した領域と露光しない領域は同じ率で現像されることとなる。しかしながら、この方法ではハードマスク層16の露光しない領域は画像形成層18の露光しない部分によって現像剤除去から保護され、その結果ハードマスク層16の露光部分だけが除去される。
いずれの場合も、ハードマスク層16は画像形成層18と同時に現像される。したがって、画像形成層18は除去され画像形成層18およびハードマスク層16の両方にビアおよびトレンチ11を形成するので、画像形成層18の露光部分の下のハードマスク層16は、現像剤によって除去され、パターン形成された画像形成層18およびパターン形成されたハードマスク層16が生成される(図l(b))。好ましくは、画像形成層18およびハードマスク層16の露光部分の約95%以上が現像剤によって除去されることが好ましく、約99%以上が除去されることがより好ましく、約100%除去されることが更により好ましい。適切な現像剤は、テトラメチルアンモニウム水酸化物(TMAH)またはKOHのような有機または無機アルカリ溶液であり、好ましくは濃度が0.26N以下のTMAHの水溶液を含む。好ましくは、0.26N TMAH現像剤におけるハードマスク層16の溶出速度は約0.5nm/秒〜約5nm/秒、更により好ましくは0.5nm/秒〜約3nm/秒である。
続いて図1(c)に示すように、パターン形成された画像形成層18が有機溶剤により除去される。好ましいプロセスは、画像形成層18に有機溶剤を約5秒〜約60秒間、より好ましくは約5秒〜約20秒間塗りつけ、その後追加の溶剤ですすぎ、スピンドライを行う。適切な有機溶剤としては、PGME、PGMEA,乳酸エチル、市販のエッジビーズ除去剤、およびプリウエット溶剤から成る群から選択される溶剤が含まれる。有利なこととして、画像形成層18が除去される一方で、上述したように有機溶剤に耐性のあるハードマスク層16により、パターン形成されたハードマスク層16上のビアおよび/またはトレンチパターン11が保全され、無傷で残る。より好ましくは、有機溶剤によって、画像形成層18は、約95%以上、より好ましくは約99%以上、更により好ましくは100%除去される。
その後、露光−現像プロセスが繰返される。図1(d)に示すように、第2の画像形成層18’をパターン形成されたハードマスク層16に塗布し、第1の露光−現像プロセス中に形成されたビアおよびトレンチ11を充填する。第2の画像形成層18’は好ましくは、薄層として塗布し、上述のように、その後PABを行う。次に既存のパターン形成されたビアおよびトレンチ11とは僅かに異なる配置にして、フォトマスク(図示せず)を画像形成層18’の表面の上に位置決めする。また暗視野マスクを用いて画像形成層18’の表面の約50%以上を露光中に放射線から保護することが好ましい。画像形成層18’の約50%〜約90%を保護することが更により好ましく、画像形成層18’の約60%〜約80%を各露光中に放射線から保護することが最も好ましい。次にこの積層を選択された波長において放射線に露光し、第2の画像形成層18’をパターン形成する。露光の後、好ましくは第2の画像形成層18’およびハードマスク層16に上述のようにPEBを行う。次に画像形成層18’およびハードマスク層16の露光部分をTMAHの水溶液などのフォトレジスト現像剤を用いて除去して画像形成層18’およびハードマスク層16にビアおよびトレンチ11’を形成し、パターン形成された画像形成層18’および更に、パターン形成されたハードマスク層16を生成する(図l(e)参照)。
次に図l(f)に示すように、有機溶剤を用いて、パターン形成された画像形成層18’を除去する。二重露光−現像プロセスでパターン形成されたハードマスク層16上のビアまたはトレンチパターン11、11’の両方のセットが、ハードマスク層16の有機溶剤に対する耐性により保全される。更に追加の画像形成層を同じハードマスク層16に塗布し、ハードマスクに所望のパターンを形成するのに必要な回数(例えば2〜4回、更により好ましくは2〜3回)露光−現像プロセスを繰返すことも好ましいであろう。従来の明視野露光プロセスと異なり、露光−現像プロセスの間にハードマスク層を再度塗布する必要はない。すなわち、多重露光−現像プロセスを通じて同じハードマスク層16を用いる。有利なこととして、上述のプロセスの間にドライエッチングを行う必要もない。
最終的に、反応性イオンエッチング(RIE)などの任意の従来のエッチングプロセスを用いて、ハードマスク層16上のパターン11、11’が中間層14がある場合はそこに転写され(図l(g))、次に基板10(図l(h))に転写される。酸素、塩素、HBrなどのエッチャント、またはこれらのガスおよび/または他のガスの組合せを用いた場合に、ハードマスク層16は中間層14または基板10に比べて高いエッチング選択性を有することが好ましい。従ってO、CO、N、Ar、Cl、HBr、He、およびそれらの混合物から成る群から選択されるエッチャントを用いた場合に、中間層14または基板10に対するハードマスク層16のエッチング選択性は、約1以上であり、好ましくは約2以上であり、より好ましくは約2〜約50となる。ハードマスク層16が除去されると(図1(h))、残りの中間層14は有機溶剤を用いて剥がされる。その結果のパターン形成された基板を図l(i)に示す。
上述したように、中間層14は随意的なものである。従って別の実施形態では、RIEのようなエッチングプロセスを用いてパターン転写を行う場合にハードマスク層16が基板10に対して十分なエッチング選択性を有する場合は、中間層14が省略されうる。この実施形態を図2に示す。特に上側表面20aおよび背面20bを有する基板20が提供される。エッチング停止層22を基板20の背面20bに塗布してもよい。次にスピンコートなどの任意の従来の方法を用いて、ハードマスク組成物の層を板表面20aに塗布する。次に上述のようにハードマスク組成物を焼成し熱架橋を誘引し、ハードマスク層26を形成する。次に硬化ハードマスク層26に画像形成層28を塗布してもよい。次に上述した露光、現像(図2(b))、画像形成層剥離(図2(c))、およびパターン転写の各プロセスと同様のプロセスを用いてビアおよびトレンチ21、21’を形成する。その際、第2の画像形成層28’を第2の露光−現像プロセスに用いる(図2(d)〜(h))。
本発明の多重露光方法は、ビアおよびトレンチの高密度領域を生成するために有用であり、一方、従来の明視野プロセスのようにハードマスクを再度塗布する必要がなく、また従来の二重露光方法で用いられるドライエッチング工程も省略される。
(本発明の方法に用いる組成物)
多重露光−現像方法に用いるのに適切なハードマスク組成物は、熱硬化可能(すなわち架橋可能)である。好ましい組成物としては、溶剤系中に溶解または分散したポリマーおよび架橋剤を有することとなる。好ましくは、このポリマーは、ケイ素またはチタン含有ポリマーである。ポリマーの平均分子量(M)は、好ましくは約800ダルトン〜約50,000ダルトン、より好ましくは約5.000ダルトン〜約15,000ダルトンである。
また、本発明の組成物は、湿式現像可能(すなわち現像剤可溶性)、または選択された波長の放射線に露光すると現像剤可溶性にならしめられる能力のあるものであるべきである。したがって1つの態様では、硬化層は有機溶剤およびフォトレジスト現像剤の両方において不溶性であるが、放射線に露光すると架橋が解除され湿式現像可能になりうる(すなわちハードマスク組成物が感光性である)。この結果ハードマスク層の露光した部分および露光していない部分が異なる溶解速度を有することになり、露光していない部分を除去することなく露光部分を除去することができる。特に好ましい感光性ハードマスク組成物は、溶剤系中に分散または溶解したポリマーを含有し、そのポリマーは下記式の反復単量体を含有する。
式中、各Rは、−Hおよびアルキル基(好ましくはC〜Cアルキル、更に好ましくはC〜Cアルキル)から成る群から独立して選択され、各Rは、−Hおよびケイ素含有部分から成る群から独立して選択され、上述のRの1以上はケイ素含有部分である。好ましいケイ素含有部分は以下の式から成る群から選択される。
式中、各Rはアルキル基(好ましくはC〜Cアルキル、より好ましくはC〜Cアルキル)から成る群から選択され、各Rはn−ブチル、t−ブチル、およびアルキル基(好ましくはC〜Cアルキル)から成る群から選択される。最も好ましいR群は、−CH、および−CH−CHから成る群から選択される。好ましくは、ポリマー中の単量体(I)対単量体(II)の比は、約0.2:0.8〜約0.8:0.2、より好ましくは約0.5:0.5〜約0.8:0.2であり、ここで単量体(I)のRはケイ素含有部分である。いくつかの実施態様では、ポリマーは反復スチレン単量体を更に含有する。
別の態様では、硬化ハードマスク層は、有機溶剤中で不溶性であり同時にフォトレジスト現像剤中で可溶性である。このような組成物の例は、溶剤系中に分散または溶解した高分子メタルアルコキシドを含む。好ましいポリマーは次の一般式を持つ反復単位を含有する。
式中、各Rは水素およびアルキル基(好ましくはC〜Cアルキル)から成る群から独立して選択され、Mは金属である。最も好ましいR群は、−CH、および−CH−CHから成る群から選択される。最も好ましい金属はTi、Zr、Si、および/またはAlから成る群から選択される。また本書に引用として組込まれる米国特許第6,740,469号に他の適切な組成物が記載されている。
組成物は、好ましくは室温条件で、実質的に均質な溶液を形成するのに十分な時間をかけて、適切な溶剤系中にポリマーを単に分散または溶解することによって形成される。ポリマーは、組成物の総重量を100重量%とするときに組成物中に、約1重量%〜約20重量%、好ましくは約2重量%〜約15重量%、更により好ましくは約3重量%〜約10重量%のレベルで存在するものとする。
また組成物は、好ましくは溶剤系中にポリマーと共に溶解または分散された架橋薬(本書中「架橋剤」と交換可能な用語として用いられる)を含むことになろう。好ましい架橋薬は、ビニルエーテル架橋薬およびアミノプラスト架橋剤(例えば、Cytec Industries社から市販されているCymel(TM)製品))から成る群から選択される。これらの架橋薬は多重機能性(二重、三重および四重機能性)であることが特に好ましい。組成物の総重量を100重量%とするときに、架橋薬は組成物中に約0.2重量%〜約10重量%、好ましくは約0.5重量%〜約5重量%、より好ましくは約0.5重量%〜約2重量%のレベルで存在することが好ましい。
ビニルエーテル架橋薬が存在する場合は、次の式を有することがより好ましい。
R’−(X−O−CH=CH)n
式中、R’はアリール(好ましくはC〜C14)およびアルキル(好ましくはC〜C18、より好ましくはC〜C10)から成る群から選択され、各Xはアルキル(好ましくはC〜C18、より好ましくはC〜C10)、アルコキシ(好ましくはC〜C18、より好ましくはC〜C10)、カルボニル、および上述の2種またはそれ以上の組合せから成る群から独立して選択され、nは2以上、好ましくは2〜6である。最も好ましいビニルエーテルとしては、エチレングリコールビニルエーテル、トリメチロールプロパントリビニルエーテル、1、4−シクロヘキサンジメタノールジビニルエーテル、およびそれらの混合物から成る群から選択されるものが含まれる。他の好ましいビニルエーテルは、下記式から成る群から選択される式を有する。
適切な溶剤系としては、PGMEA、PGME,PnP、乳酸エチル、およびそれらの混合物から成る群から選択される溶剤が含まれる。好ましくは、溶剤系の沸点は、約50〜250℃、より好ましくは約100〜175℃である。溶剤系は、組成物の総重量を100重量%とするときに、約80重量%〜約99.5重量%、好ましくは約90重量%〜約99.5重量%、更により好ましくは約90重量%〜約99重量%のレベルで用いられるべきである。組成物の固形物含有量としては、組成物の総重量を100重量%とするときに、好ましくは約0.5重量%〜約20重量%の固形物、好ましくは約0.5重量%〜約10重量%の固形物、更により好ましくは約1重量%〜約10重量%の固形物を含有するであろう。
また好ましくは溶剤系中にポリマーと共に、任意の追加の成分が分散される。また例えば、好ましい感光性組成物は触媒を含有する。好ましい触媒は酸発生剤であり、特に光酸発生剤(「PAG」:イオン性および/または非イオン性)である。放射線の存在下で酸を発生するPAGであればどれでも適切である。好ましいPAGはオキシム−スルフォネート(例えば、CIBA社から商品名CGI(TM)として販売されているもの)、ビス(4−tert−ブチルフェニル)、ヨードニウム塩(例えば、Midori Kagaku Co.Ltd.社のBBI−106)、およびそれらの混合物から成る群から選択される。組成物は、組成物の総重量を100重量%とするときに、約0.1重量%〜約2重量%の触媒、好ましくは約0.1%重量%〜約1重量%の触媒、更により好ましくは約0.1重量%〜約0.5重量%の触媒を含有することが好ましい。
また、組成物は光減衰部分を含みうる。適切な光減衰部分としてはトリメチロールエトキシレート、4−ヒドロキシベンズアルデヒド、および2−シアノ−3−(4−ヒドロキシフェニル)−アクリル酸エチルエステルから成る群から選択されるものが含まれる。光減衰部分が存在する場合は、組成物は、組成物の総重量を100重量%とするときに、約0.01重量%〜約1重量%、好ましくは約0.05重量%〜約0.5重量%、更により好ましくは約0.1重量%〜約0.5重量%の光減衰部分を含有することが好ましい。組成物に含まれうる追加の成分としては、界面活性剤、接着促進剤、抗酸化剤、光開始剤、およびそれらの組合せが含まれる。
(実施例)
以下の実施例は、本発明による好ましい方法を示す。なおこれらの実施例は、例を示すために提供されているものであり、これによって本発明の全体の範囲をなんら限定するものではない。
(ハードマスクの配合I)
この手順では、表1の成分を用いて現像剤可溶性ハードマスクを配合した。これらの成分を、以下に表示する順番でフラスコに加え、撹拌下で混合した。混合物を0.1ミクロン膜を介してろ過し、微粒子を除去した。次にこの配合物を、2,000rpmで40秒間、シリコンウエハ上にスピンコートし、その後120℃で40秒間と200℃で60秒間の2段焼成を行った。その結果、膜厚は約75nm±5nmであると測定された。膜の屈折率は193nmでは約1.6±0.05、248nmでは約1.8±0.05、そして365nmでは約1.7±0.05であると測定された。膜の吸収係数は193nmでは約0.38±0.05、248nmでは約0.39±0.05、そして365nmでは約0.42±0.05であると測定された。
ウエハをTMAHに液浸し、その間に、分析器によって膜の除去速度を測定した。0.26N TMAHにおける膜の溶解度は約3nm/秒±1nm/秒であると測定された。
(二重露光−現像によってハードマスクI上に生成されたビア)
この実施例では、実施例1のハードマスク配合物Iを用いて膜の積層を作製した。現像剤可溶性ハードマスクを、2,000rpmで40秒間シリコン基板(100−mmウエハ)上にスピンコートし、その後、2工程熱硬化プロセスを行った。具体的には加熱板上でウエハを120℃で40秒間加熱し、次に200℃で60秒間加熱した。熱硬化した現像剤可溶性ハードマスクは厚み75nmの膜を形成した。
市販のi−ライン(365−nm)フォトリソグラフィー用のフォトレジスト(SPR−950、Rohm and Haas社製)を、2−ヘプタノンを用いて、元の固形物含有量の5分の1まで希釈した。希釈フォトレジストをハードマスク上にスピンコートし、画像形成層を形成した。次に塗布後焼成(「PAB」)としてウエハを90℃で60秒間焼成した。画像形成層の厚みは80nmであった。
次にウエハをGCA Model 3300(TM)ステッパ(NA=0.43)上でフォトマスクを用いて波長365nmの放射線に露光し、その後110℃で60秒間PEBを行った。次にウエハを0.26N TMAH中に40秒間液浸し、画像形成層およびハードマスクの露光部分を除去することによって現像した。次にPGME(Harcross社、St.Louis、MO州)をウエハに20秒間塗りつけることによって画像形成層を除去した。次にウエハをPGMEを用いてすすぎ、スピン乾燥した。この塗りつけるプロセスによってウエハから画像形成層が完全に除去された。露光プロセスによって形成されたビアがハードマスクに残った。
再び希釈したフォトレジストをパターン形成されたハードマスク上に塗布した。PABとしてウエハを90℃で60秒焼成した。次に同じくGCA Model 3300(TM)ステッパ上で、フォトマスクを最初の露光プロセスからわずかに移動させてウエハを露光した。PEBは、110℃で60秒間実施した。次にウエハを、0.26N TMAHを用いて40秒現像した。次に上述の有機溶剤(PGME)を用いて画像形成層を剥がした。二重露光−現像プロセスによって生成されたハードマスク上のビアパターンを、SEM画像として、図3に示す。
(ハードマスク配合物II用のケイ素含有ポリマーの合成)
この手順では、2グラムのスチレン(Aldrich社、Milwaukee、WI州)、5.6グラムのメタクリル酸(Aldrich社、Milwaukee、WI州)、および12.4グラムのメタクリルオキシメチルトリメチルシラン(Gelest社、Morrisville、PA州)を三つ口丸底フラスコ中の180グラムのPGMEに溶解した。フラスコは乾燥窒素で10〜20分間脱気した。次に1グラムのアゾビスイソブチロニトリル(AIBK、AIdrich社 Milwaukee、Wl州)を触媒として添加し、室温で触媒が完全に溶解するまで混合物を撹拌した。次に中身の入ったフラスコを、予め加熱された80℃の油槽に液浸した。約5mL/minの速度でフラスコ中に窒素を導入した。水冷式の凝縮器をフラスコの中心の口に嵌め込み、還流した。一定撹拌条件下で16時間反応させた後、フラスコを油槽から取出し、空気中で室温まで冷却させた。
次に過度に冷却した(20℃〜25℃)ヘキサン(Aldrich社、Milwaukee、WI州)の中にフラスコの中身をゆっくりと注いだ。析出物がヘキサン中に形成された。析出物をろ過し、追加のヘキサンで完全に洗浄し、微細な白い粉末が生成された。この結果生成されたターポリマーは、ゲル浸透クロマトグラフィー(GPC)によって、1.48の多分散性のある、7,100ダルトンのMwを有するものとして、特徴付けられた。
(ハードマスク配合物II)
この実施例では、実施例3において合成されたポリマーを用いてハードマスク配合物を作成した。下の表2に記載されている順番で各成分をフラスコに添加し、均質になるまで撹拌下で混合した。次に溶液を0.1−μmのエンドポイントフィルタに通してろ過した。次に配合物を1,500rpmで40秒間、シリコンウエハの上にスピンコートし、その後160℃で60秒間焼成した。その結果生成されたハードマスク膜の厚みは約60nmであると測定された。可変角光度偏光解析器(VASE;J.A.Woollam Co.,Inc.社製)を用いて膜の光学指数を測定した。膜の屈折率は193nmのときに約1.6であると測定された。膜の吸収係数は193nmのときに約0.10であると測定された。
次に硬化ハードマスク膜上で溶剤耐性試験を行った。ハードマスク配合物IIを、1.500rpmで40秒間、シリコンウエハ上にスピンコートし、その後、160℃で60秒間焼成した。その結果生成された膜の初期厚みを測定し記録した。次に溶剤を膜に20秒間塗りつけ、その後、約2,000〜3,500rpmで約20〜30秒間スピン乾燥を行い、溶剤を除去した。再び厚みを測定し記録した。下の表3はハードマスク配合物IIが、様々な溶剤に関して良好な溶剤耐性を有することを示す。
(単一露光−現像によるハードマスクIIを用いて生成したトレンチおよびライン)
この手順では、上の実施例4に記載したハードマスク配合物IIを用いて膜の積層を作製した。第1の、中間層をシリコンウエハ上にスピンコートした。この中間層は、反射防止被覆(Optistack(TM) SoC110D、Brewer Science Inc.社製)であった。中間層を205℃で60秒間焼成した。中間層の厚みは320nm、屈折率は1.42、そして吸収係数は193nmのときに0.52であった。次にハードマスク配合物IIを、1500rpmで中間層の上部にスピンコートし、160℃で60秒間焼成した。次に画像形成層をハードマスクの上部に塗布した。画像形成層は市販のフォトレジスト(TArF Pi−6001、TOK)であった。次にウエハをASML 1700iスキャナ上で露光し、その後110℃で60秒間PEBを行った。次に積層を0.26N TMAH現像剤中に液浸することによって現像した。ハードマスクと共に画像形成層が、80−nmのトレンチおよびラインを有してパターン形成された。これらのパターンを図4に、SEM画像として示す。
(ハードマスク配合物III用のケイ素含有ポリマーの合成)
この実施例では、2.8グラムのメタクリル酸(Aldrich社、Milwaukee、Wl州)、7.2グラムのメタクリルオキシメチルトリメチルシラン(Gelest社、Morrisville、PA州)、および90グラムのPGMEを三つ口丸底フラスコ中で混合することによってハードマスク配合物IIIのポリマー母液を作製した。次に乾燥窒素を用いてフラスコを10〜20分間脱気した。次に0.5グラムのアゾビスイソブチロニトリルを触媒として添加し、混合物を室温で触媒が完全に溶解するまで撹拌した。次に予め加熱された75℃の油槽にフラスコを液浸し、約5mL/minの速度でフラスコに窒素を導入した。水冷式の凝縮器をフラスコの中心の口に嵌め込み、還流した。一定撹拌条件下で16時間反応させた後、フラスコを油槽から取出し、空気中で室温まで冷却させた。その結果生成されたコポリマーの分子量はGPCの測定では12.600ダルトンであった。精製は行わなかった。フラスコの中身をハードマスク配合物のポリマー母液として用いた。
(ハードマスク配合物III)
この実施例では、実施例6で合成したポリマー母液を用いてハードマスク配合物を作製した。下の表4に表示した順番に各成分をフラスコに添加し、均質になるまで撹拌下で混合した。溶液を0.1−μmのエンドポイントフィルタを介してろ過した。配合物をシリコンウエハ上にスピンコートし、160℃で60秒間焼成した。その結果生成された膜の厚みは約65nmであると測定された。可変角光度偏光解析器(J.A.Woollam Co.,Inc.社製)を用いて、ハードマスク膜の光学指数を測定した。屈折率は193nmのときに1.60であると測定された。膜の吸収係数は193nmのときに約0.05であると測定された。
(ハードマスク配合物IV用のケイ素含有ポリマーの合成)
この手順では、三つ口丸底フラスコ中で、0.944グラムのスチレン(Aldrich社、Milwaukee、WI州)、3.47グラムのメタクリル酸(Aldrich社、Milwaukee、WI州)、5.586グラムのPOSS−メタクリレート(Hybride Plastics社、Fountain Valley、CA州)および90グラムのPGMEを混合してポリマー母液を作製した。次に窒素を用いて、フラスコを約10〜20分間脱気した。次に0.6グラムのアゾビスイソブチロニトリルを触媒としてフラスコに添加した。触媒が完全に溶解するまで室温において一定撹拌下で混合を継続した。次に予め加熱された70℃の油槽にフラスコを液浸し、約5mL/minの速度で窒素をフラスコに導入した。水冷式の凝縮器をフラスコの中心の口に嵌め込み、還流した。一定撹拌条件下で15時間反応させた後、フラスコを油槽から取出し、空気中で室温まで冷却させた。GPCの測定では、ポリマーの分子量は1.7の多分散性を持つ9.260ダルトンであった。精製は行わなかった。フラスコの中身をハードマスク配合物IVのポリマー母液として用いた。
(ハードマスク配合物IV)
この実施例では、上の実施例8のポリマー母液を用いてハードマスク配合物IVを作製した。下の表5に表示される順番で、フラスコ中に各成分を添加し、均質になるまで撹拌下で混合した。次に0.1−μmのエンドポイントフィルタを介して、溶液をろ過した。配合物を1,500rpmでシリコンウエハ上にスピンコートし、160℃で60秒間焼成した。その結果生成された膜の厚みは約65nmであると測定された。可変角光度偏光解析器を用いてハードマスク膜の光学指数を測定した。屈折率は193nmのときに1.60であると測定された。膜の吸収係数は193nmのときに約0.15であると測定された。
(ハードマスクIVを用いて単一露光−現像により生成されたトレンチおよびライン)
この手順では、上述の実施例9に記載されたハードマスク配合物IVを用いて膜の積層を作製した。先ず、シリコンウエハの上に中間層をスピン塗布した。この中間層は、反射防止被覆(OptiStack(TM) SoC110D)であった。中間層を205℃で60秒間焼成した。この層の厚みは320nm、屈折率は193nmのときに1.42、吸収係数は193nmのときに0.52であった。次に1,500rpmでハードマスク配合物IVを中間層の上部にスピンコートし、160℃で60秒間焼成した。次にハードマスクの上部に画像形成層を塗布した。画像形成層は市販のフォトレジスト(AM2073J、TOK)であった。次にAmphibian(TM) Systems社(Rochester、NY州)製の液浸リソグラフィーマイクロステッパを用いて、ウエハを露光し、その後120℃で60秒間PEBを行った。次にこのウエハの積層を0.26N TMAH現像剤中に液浸することによって現像した。フォトレジストをハードマスクと共に、150−nmのトレンチおよびラインを有してパターン形成した。これらのパターンを図5にSEM画像として示す。
(架橋薬配合物)
この実施例では、500−mlの二口フラスコに、25.15グラムのテトラメチレングリコールモノビニルエーテル(Aldrich社、St Louis、MO州)、22.91グラムのトリエチルアミン(Aldrich社、St Louis、MO州)、および250mlのテトラヒドロフラン(「THF」;Aldrich社、St Louis、MO州)を添加することによって実施例4、7、および9で用いられた自家製ビニルエーテル架橋薬を作製した。フラスコには撹拌棒、追加の漏斗、凝縮器、および窒素の入口と出口を備えた。フラスコを氷水の槽に液浸し、溶液を窒素流下で撹拌した。
次に20.00グラムの1、3、5−ベンゼントリカルボニルトリクロリド(Aldrich社、St Louis、MO州)を250−mlのエルレンマイヤーフラスコ中の50ml THFに溶解した。この溶液を、500−ml、二口フラスコの上の追加の漏斗に移し、撹拌中の2−(ビニロキシ)エタノール/トリエチルアミン/THF溶液に約15分間、添加が完了するまで液滴添加した。接触により白色の析出物が形成された。次にフラスコを氷槽から取出し、スラリーをフラスコ内で室温にした。これにはおよそ16時間かかった。次にスラリーを加熱し、4時間還流した。次にフラスコを熱から取出し、室温まで冷却させた。次に吸込ろ過装置を用いてスラリーをろ過し、回転式蒸発器を用いて濃縮し、粘性の高い黄色い液体を得た。
この液体を、100mlのジエチルエーテル(Aldrich社、St Louis、MO州)中に溶解し、25−ml部の水性12.5%テトラメチルアンモニウム水酸化物(TAMH;Aldrich社、St Louis、MO州)を用いて2回洗浄した。分液漏斗を用いてエーテル層を抽出し、次に50−ml部の脱イオン水を用いて2回洗浄した。エーテル層を沈殿させ、採取した。5.0gの活性塩基性アルミナと混合することによってエーテル層を乾燥した。混合物を1時間撹拌し、重力ろ過した。ロータバップの中に透明な黄色の液体が凝縮し、黄色の高粘度の油が生成された。総歩留まりは、およそ29.28グラム(77%歩留まり率)であった。

Claims (26)

  1. 以下の工程を含むマイクロエレクトロニクス構造の形成方法であって、
    (a) 表面を有する基板を提供する工程;
    (b) 前記基板表面の近隣に、溶剤系中に分散または溶解したポリマーおよびビニルエーテル架橋剤を含むハードマスク組成物を塗布する工程;
    (c) 前記ハードマスク組成物を焼成し、ハードマスク層を生成する工程;
    (d) 感光性組成物を塗布し、前記ハードマスク層の上部に第1の画像形成層を形成する工程;
    (e) 前記第1の画像形成層を活性放射線に露光し、前記第1の画像形成層に露光部分を生成する工程;
    (f) 前記第1の画像形成層を現像剤に接触させ、前記第1の画像形成層の前記露光部分を除去する工程であって、前記接触により、前記第1の画像形成層中の前記露光部分の下の前記ハードマスク層の部分を除去し、パターン形成されたハードマスク層を生成する、工程;
    (g) 前記第1の画像形成層を有機溶剤に接触させ、前記第1の画像形成層を除去する工程;および
    (h) 前記基板に追加のハードマスク組成物を塗布することなく、第2の感光性組成物を塗布し、前記パターン形成されたハードマスク層の上部に第2の画像形成層を形成する工程
    前記ポリマーは下記式の反復単量体を含有し、
    (式中、各R は−Hおよびアルキル基から成る群から独立して選択され;および
    各R は−Hおよび下記式から成る群から選択されるケイ素含有部分から成る群から独立して選択される。)
    (式中、各R はアルキル基から成る群から選択され、各R は、n−ブチル、t−ブチル、およびアルキル基から成る群から選択され、前記R の1以上はケイ素含有部分である。)
    前記ポリマーは更に反復スチレン単量体を含有する、マイクロエレクトロニクス構造の形成方法
  2. 前記ハードマスク層を塗布する前に前記基板に中間層を塗布する工程を更に含む、請求項1に記載の方法。
  3. (a)〜(h)の間にドライエッチングが発生しない請求項1に記載の方法。
  4. (g)の間に前記パターン形成されたハードマスク層のパターンは無傷のままである請求項1に記載の方法。
  5. 前記第1の画像形成層はフォトレジストである請求項1に記載の方法。
  6. 前記第1の画像形成層の厚みは約20nm〜約200nmである請求項1に記載の方法。
  7. 前記ハードマスク組成物は(c)の間に熱架橋され硬化したハードマスク層を生成する請求項1に記載の方法。
  8. 前記ハードマスク層は塩基性現像剤中で初期溶解度を有し、前記露光工程(e)は前記ハードマスク層の1部分以上を活性放射線に露光して前記ハードマスク層の露光部分を生成する工程を更に含み、前記ハードマスク層の前記露光部分は塩基性現像剤中で最終溶解度を有し、前記最終溶解度は前記初期溶解度より大きい、請求項1に記載の方法。
  9. 以下の工程を更に含む請求項1に記載の方法:
    (i) 前記第2の画像形成層を活性放射線に露光し、前記第2の画像形成層中に露光部分を生成する工程;
    (j) 前記第2の画像形成層を現像剤に接触させ、前記露光部分を除去する工程であって、前記接触工程はまた前記第2の画像形成層中の前記露光部分の下の前記ハードマスク層の部分を除去し、更にパターン形成されたハードマスク層を生成する工程;および、
    (k) 前記第2の画像形成層を有機溶剤に接触させ、前記第2の画像形成層を除去する工程。
  10. (j)において形成されるパターン形成されたハードマスク層は(k)の間は無傷である請求項9に記載の方法。
  11. (a)〜(k)の間にドライエッチングが発生しない請求項9に記載の方法。
  12. 以下の工程を更に含む請求項9に記載の方法:
    (l) (j)において形成される前記パターン形成されたハードマスク層を基板に転写する工程。
  13. (l)は前記パターン形成されたハードマスク層および基板をエッチングする工程を含む請求項12に記載の方法。
  14. 前記パターン形成されたハードマスク層のパターンはビアとトレンチを含む請求項12に記載の方法。
  15. 溶剤系中に分散または溶解したポリマーおよびビニルエーテル架橋剤を含有し、前記ポリマーは下記式の反復単量体を含有し、前記ポリマーは更に反復スチレン単量体を含有する、ハードマスク組成物:
    式中;
    各Rは−Hおよびアルキル基から成る群から独立して選択され;および
    各Rは−Hおよび、下記式から成る群から選択されるケイ素含有部分、から成る群から独立して選択される:
    式中、各Rはアルキル基から成る群から選択され、各Rはn−ブチル、t−ブチル、およびアルキル基から成る群から選択され、前記Rの1以上はケイ素含有部分である。
  16. 単量体(I)対単量体(II)の比は約0.2:0.8〜約0.8:0.2であり、単量体(I)のRはケイ素含有部分である請求項15に記載の組成物。
  17. 前記組成物は、組成物の総重量を100重量%とするときに、約1重量%〜約20重量%ポリマーを含有する請求項15に記載の組成物。
  18. 更に、触媒、光減衰部分、界面活性剤、接着促進剤、抗酸化剤、光開始剤、およびそれらの組合せから成る群から選択される成分を含有する請求項15に記載の組成物。
  19. 以下を含む構造であって、
    表面を有する基板;
    前記表面の近隣のハードマスク層
    前記ハードマスク層の近隣の画像形成層;
    前記ハードマスク層は、溶剤系中に分散または溶解したポリマーを含有するハードマスク組成物から形成され、前記ポリマーは下記式の反復単量体を含有し、前記ポリマーは更に反復スチレン単量体を含有する、構造。
    式中;
    各Rは−Hおよびアルキル基から成る群から独立して選択され;および
    各Rは−Hおよび、下記式から成る群から選択されるケイ素含有部分から成る群から独立して選択される:
    式中、各Rはアルキル基から成る群から選択され、各Rはn−ブチル、t−ブチル、およびアルキル基から成る群から選択され、前記Rの1以上はケイ素含有部分である。
  20. 前記ハードマスク層は感光性である請求項19に記載の構造。
  21. 前記ハードマスク層は、TMAHおよびKOHから成る群から選択される水性アルカリ現像剤中で不溶性である請求項19に記載の構造。
  22. 前記ハードマスク層はパターンから成る請求項19に記載の構造。
  23. 前記パターンはビアおよびトレンチから成る群から選択される請求項22に記載の構造。
  24. 前記ハードマスク層は、乳酸エチル、プロピレングリコールメチルエーテルアセテート、プロピレングリコールメチルエーテル、プロピレングリコールn―プロピルエーテル、シクロヘキサノン、アセトン、ガンマブチロラクトン、およびそれらの混合物から成る群から選択される有機溶剤中で実質的に不溶性である請求項22に記載の構造。
  25. 前記基板は、ケイ素、SiGe、SiO、Si、アルミニウム、タングステン、ケイ化タングステン、ヒ化ガリウム、ゲルマニウム、タンタル、窒化タンタル、サンゴ、ブラックダイヤ、リンまたはホウ酸ドープガラスおよびそれらの混合物から成る群から選択される請求項19に記載の構造。
  26. 以下の工程を含むマイクロエレクトロニクス構造を形成する方法であって、
    (a) 表面を有する基板を提供する工程;
    (b) 前記基板表面の近隣にハードマスク組成物を塗布する工程であって、前記ハードマスク組成物は、溶剤系中に分散または溶解したポリマーおよびビニルエーテル架橋剤を含有する、工程;
    (c) 前記ハードマスク組成物を焼成し、ハードマスク層を生成する工程;
    (d) 感光性組成物を塗布し、前記ハードマスク層の上部に第1の画像形成層を生成する工程:
    (e) 前記第1の画像形成層を活性放射線に露光し、前記第1の画像形成層中に露光部分を生成する工程;
    (f) 前記第1の画像形成層を現像剤に接触させ、前記第1の画像形成層の前記露光部分を除去する工程であって、また前記接触により、前記第1の画像形成層中の前記露光部分の下の前記ハードマスク層の部分を除去し、パターン形成されたハードマスク層を生成する、工程;
    (g) 第1の画像形成層を有機溶剤に接触させ、前記第1の画像形成層を除去する工程;および
    (h) 感光性組成物を塗布し、前記パターン形成されたハードマスクの上部に第2の画像形成層を形成し、(a)〜(h)中にドライエッチングは発生しない、工程
    前記ポリマーは下記式の反復単量体を含有し、
    (式中、各R は−Hおよびアルキル基から成る群から独立して選択され;および
    各R は−Hおよび下記式から成る群から選択されるケイ素含有部分から成る群から独立して選択される。)
    (式中、各R はアルキル基から成る群から選択され、各R は、n−ブチル、t−ブチル、およびアルキル基から成る群から選択され、前記R の1以上はケイ素含有部分である。)
    前記ポリマーは更に反復スチレン単量体を含有する、マイクロエレクトロニクス構造を形成する方法
JP2010545164A 2008-01-29 2009-01-29 多重暗視野露光によるハードマスクのパターン形成のためのオントラックプロセス Active JP5357186B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US2447408P 2008-01-29 2008-01-29
US61/024,474 2008-01-29
PCT/US2009/032446 WO2009097436A2 (en) 2008-01-29 2009-01-29 On-track process for patterning hardmask by multiple dark field exposures

Publications (2)

Publication Number Publication Date
JP2011514662A JP2011514662A (ja) 2011-05-06
JP5357186B2 true JP5357186B2 (ja) 2013-12-04

Family

ID=40899576

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010545164A Active JP5357186B2 (ja) 2008-01-29 2009-01-29 多重暗視野露光によるハードマスクのパターン形成のためのオントラックプロセス

Country Status (7)

Country Link
US (2) US8133659B2 (ja)
EP (1) EP2245512B1 (ja)
JP (1) JP5357186B2 (ja)
KR (1) KR101647158B1 (ja)
CN (1) CN101971102B (ja)
TW (1) TWI467337B (ja)
WO (1) WO2009097436A2 (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2245512B1 (en) 2008-01-29 2019-09-11 Brewer Science, Inc. On-track process for patterning hardmask by multiple dark field exposures
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US20110086312A1 (en) * 2009-10-09 2011-04-14 Dammel Ralph R Positive-Working Photoimageable Bottom Antireflective Coating
KR20130039727A (ko) * 2010-03-18 2013-04-22 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 규소 함유 블록 공중합체, 합성 방법 및 용도
US8382997B2 (en) * 2010-08-16 2013-02-26 Tokyo Electron Limited Method for high aspect ratio patterning in a spin-on layer
WO2012087244A1 (en) * 2010-12-21 2012-06-28 Agency For Science, Technology And Research Copolymer, composition and method for modifying rheology
US8808969B2 (en) 2011-04-12 2014-08-19 Brewer Science Inc. Method of making radiation-sensitive sol-gel materials
US8647809B2 (en) * 2011-07-07 2014-02-11 Brewer Science Inc. Metal-oxide films from small molecules for lithographic applications
KR20130015145A (ko) * 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8759225B2 (en) * 2012-09-04 2014-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method to form a CMOS image sensor
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
US8759220B1 (en) * 2013-02-28 2014-06-24 Shin-Etsu Chemical Co., Ltd. Patterning process
KR101659829B1 (ko) * 2013-05-02 2016-10-10 후지필름 가부시키가이샤 에칭액 및 에칭액의 키트, 이를 이용한 에칭 방법 및 반도체 기판 제품의 제조 방법
CN105917445B (zh) * 2014-01-13 2020-05-22 应用材料公司 具有空间原子层沉积的自对准式双图案化
JP6413333B2 (ja) * 2014-05-13 2018-10-31 Jsr株式会社 パターン形成方法
EP3944260A1 (en) * 2014-05-22 2022-01-26 Australian Nuclear Science And Technology Organisation Gamma-ray imaging
KR20160029900A (ko) * 2014-09-05 2016-03-16 삼성전자주식회사 반도체 소자의 제조 방법
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
CN107004595B (zh) * 2014-12-24 2021-04-16 英特尔公司 用于化学辅助图案化的光可界定的对准层
JP6524594B2 (ja) * 2016-07-07 2019-06-05 パナソニックIpマネジメント株式会社 素子チップの製造方法
US20180164685A1 (en) * 2016-12-14 2018-06-14 Rohm And Haas Electronic Materials Llc Method using silicon-containing underlayers
CN113196452A (zh) 2019-01-18 2021-07-30 应用材料公司 用于电场引导的光刻胶图案化工艺的膜结构
KR102053921B1 (ko) * 2019-03-13 2019-12-09 영창케미칼 주식회사 반도체 제조 공정에 있어서 식각 패턴 신규 형성 방법
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
CN117642698A (zh) * 2021-07-28 2024-03-01 Jsr株式会社 抗蚀剂底层膜形成用组合物、半导体基板的制造方法及抗蚀剂底层膜的形成方法

Family Cites Families (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4175175A (en) * 1963-07-16 1979-11-20 Union Carbide Corporation Polyarylene polyethers
USB392136I5 (ja) * 1964-08-26
US3561962A (en) * 1966-09-01 1971-02-09 Xerox Corp Method of image reproduction by photo-polymerization and blushing
US3629036A (en) * 1969-02-14 1971-12-21 Shipley Co The method coating of photoresist on circuit boards
US3682641A (en) * 1970-03-23 1972-08-08 Du Pont Photoresist developer extender baths containing polyoxyalkylene ethers and esters and process of use
US3615615A (en) * 1970-04-13 1971-10-26 Eastman Kodak Co Photographic emulsions including reactive quaternary salts
US3833374A (en) * 1970-07-14 1974-09-03 Metalphoto Corp Coloring of anodized aluminum
US3894163A (en) * 1971-03-08 1975-07-08 Western Electric Co Additives to negative photoresists which increase the sensitivity thereof
US3856751A (en) * 1972-06-14 1974-12-24 Eastman Kodak Co Diacid-xanthylium ion polyester and photographic element comprised thereof
US3873361A (en) * 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US3976524A (en) * 1974-06-17 1976-08-24 Ibm Corporation Planarization of integrated circuit surfaces through selective photoresist masking
CA1077787A (en) * 1975-11-21 1980-05-20 National Aeronautics And Space Administration Abrasion resistant coatings for plastic surfaces
EP0001879B2 (en) * 1977-09-07 1989-11-23 Imperial Chemical Industries Plc Thermoplastic aromatic polyetherketones, a method for their preparation and their application as electrical insulants
JPS5471579A (en) * 1977-11-17 1979-06-08 Matsushita Electric Ind Co Ltd Electron beam resist
US4244799A (en) 1978-09-11 1981-01-13 Bell Telephone Laboratories, Incorporated Fabrication of integrated circuits utilizing thick high-resolution patterns
US4244798A (en) * 1979-10-29 1981-01-13 General Motors Corporation Exhaust electrode process for exhaust gas oxygen sensor
US4369090A (en) * 1980-11-06 1983-01-18 Texas Instruments Incorporated Process for etching sloped vias in polyimide insulators
US4430419A (en) * 1981-01-22 1984-02-07 Nippon Telegraph & Telephone Public Corporation Positive resist and method for manufacturing a pattern thereof
US4397722A (en) * 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4526856A (en) * 1983-05-23 1985-07-02 Allied Corporation Low striation positive diazoketone resist composition with cyclic ketone(s) and aliphatic alcohol as solvents
US4996247A (en) * 1984-02-10 1991-02-26 General Electric Company Enhancing color stability to sterilizing radiation of polymer compositions
US4568631A (en) 1984-04-30 1986-02-04 International Business Machines Corporation Process for delineating photoresist lines at pattern edges only using image reversal composition with diazoquinone
JPS60262150A (ja) * 1984-06-11 1985-12-25 Nippon Telegr & Teleph Corp <Ntt> 三層レジスト用中間層材料及びそれを用いた三層レジストパタン形成方法
DE3425063A1 (de) 1984-07-07 1986-02-06 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt Maske fuer die roentgenlithographie
US4578328A (en) * 1984-07-09 1986-03-25 General Electric Company Photopatternable polyimide compositions and method for making
US4683024A (en) * 1985-02-04 1987-07-28 American Telephone And Telegraph Company, At&T Bell Laboratories Device fabrication method using spin-on glass resins
US4732841A (en) * 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
US4742152A (en) * 1986-05-27 1988-05-03 United Technologies Corporation High temperature fluorinated polyimides
US5091047A (en) * 1986-09-11 1992-02-25 National Semiconductor Corp. Plasma etching using a bilayer mask
US4808513A (en) * 1987-04-06 1989-02-28 Morton Thiokol, Inc. Method of developing a high contrast, positive photoresist using a developer containing alkanolamine
US4927736A (en) * 1987-07-21 1990-05-22 Hoechst Celanese Corporation Hydroxy polyimides and high temperature positive photoresists therefrom
JP2557898B2 (ja) * 1987-07-31 1996-11-27 株式会社東芝 半導体装置
US5137780A (en) * 1987-10-16 1992-08-11 The Curators Of The University Of Missouri Article having a composite insulative coating
US4803147A (en) * 1987-11-24 1989-02-07 Hoechst Celanese Corporation Photosensitive polyimide polymer compositions
US4847517A (en) * 1988-02-16 1989-07-11 Ltv Aerospace & Defense Co. Microwave tube modulator
US4845265A (en) * 1988-02-29 1989-07-04 Allied-Signal Inc. Polyfunctional vinyl ether terminated ester oligomers
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5304626A (en) * 1988-06-28 1994-04-19 Amoco Corporation Polyimide copolymers containing 3,3',4,4'-tetracarboxybiphenyl dianhydride (BPDA) moieties
JPH0260934A (ja) * 1988-06-28 1990-03-01 Amoco Corp 中間層絶縁体および基板被膜用の低誘電率、低水分吸収ポリイミドおよびコポリイミド
DE3835737A1 (de) * 1988-10-20 1990-04-26 Ciba Geigy Ag Positiv-fotoresists mit erhoehter thermischer stabilitaet
US5024922A (en) * 1988-11-07 1991-06-18 Moss Mary G Positive working polyamic acid/imide and diazoquinone photoresist with high temperature pre-bake
US5169494A (en) * 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US5057399A (en) * 1989-03-31 1991-10-15 Tony Flaim Method for making polyimide microlithographic compositions soluble in alkaline media
US5198153A (en) * 1989-05-26 1993-03-30 International Business Machines Corporation Electrically conductive polymeric
US5246782A (en) * 1990-12-10 1993-09-21 The Dow Chemical Company Laminates of polymers having perfluorocyclobutane rings and polymers containing perfluorocyclobutane rings
KR950011927B1 (ko) * 1989-12-07 1995-10-12 가부시끼가이샤 도시바 감광성 조성물 및 수지봉지형 반도체장치
US5132774A (en) 1990-02-05 1992-07-21 Mitsubishi Denki Kabushiki Kaisha Semiconductor device including interlayer insulating film
US5126231A (en) * 1990-02-26 1992-06-30 Applied Materials, Inc. Process for multi-layer photoresist etching with minimal feature undercut and unchanging photoresist load during etch
US5066566A (en) * 1990-07-31 1991-11-19 At&T Bell Laboratories Resist materials
JP3041972B2 (ja) * 1991-01-10 2000-05-15 富士通株式会社 半導体装置の製造方法
JPH0613290A (ja) * 1991-10-21 1994-01-21 Dainippon Printing Co Ltd 位相シフトフォトマスクを用いたパターン転写方法
DE69308671T2 (de) * 1992-07-22 1997-10-16 Asahi Chemical Ind Photoempfindliche Polyimid-Zusammensetzung
US5370969A (en) * 1992-07-28 1994-12-06 Sharp Kabushiki Kaisha Trilayer lithographic process
JPH06230574A (ja) * 1993-02-05 1994-08-19 Fuji Photo Film Co Ltd ポジ型感光性組成物
US5443941A (en) * 1993-03-01 1995-08-22 National Semiconductor Corporation Plasma polymer antireflective coating
US5397684A (en) * 1993-04-27 1995-03-14 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
JPH07183194A (ja) * 1993-12-24 1995-07-21 Sony Corp 多層レジストパターン形成方法
US5691101A (en) * 1994-03-15 1997-11-25 Kabushiki Kaisha Toshiba Photosensitive composition
GB2288184A (en) 1994-03-31 1995-10-11 Catalysts & Chem Ind Co Coating composition
JPH07283112A (ja) * 1994-04-08 1995-10-27 Hitachi Ltd レジストパターン形成方法およびその装置
US5667940A (en) 1994-05-11 1997-09-16 United Microelectronics Corporation Process for creating high density integrated circuits utilizing double coating photoresist mask
JP3033443B2 (ja) * 1994-06-29 2000-04-17 信越化学工業株式会社 反射防止膜材料
US5607824A (en) * 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JPH08110638A (ja) * 1994-10-13 1996-04-30 Hitachi Chem Co Ltd 感光性樹脂組成物およびレジスト像の製造法
US5688987A (en) * 1994-11-09 1997-11-18 Brewer Science, Inc. Non-subliming Mid-UV dyes and ultra-thin organic arcs having differential solubility
US5554473A (en) * 1994-11-23 1996-09-10 Mitsubishi Chemical America, Inc. Photoreceptor having charge transport layers containing a copolycarbonate and layer containing same
US5542971A (en) * 1994-12-01 1996-08-06 Pitney Bowes Bar codes using luminescent invisible inks
JPH08250400A (ja) * 1995-03-14 1996-09-27 Mitsubishi Electric Corp シリコーン樹脂の除去法
US5545588A (en) * 1995-05-05 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of using disposable hard mask for gate critical dimension control
DE69628613T2 (de) 1995-07-12 2004-04-29 Mitsubishi Engineering-Plastics Corp. Polycarbonatharzzusammensetzung
US5968324A (en) * 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
KR100223329B1 (ko) * 1995-12-29 1999-10-15 김영환 반도체 소자의 미세 패턴 제조방법
KR100206597B1 (ko) * 1995-12-29 1999-07-01 김영환 반도체 장치의 미세패턴 제조방법
WO1997033199A1 (en) * 1996-03-06 1997-09-12 Clariant International, Ltd. A process for obtaining a lift-off imaging profile
US5633210A (en) * 1996-04-29 1997-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming damage free patterned layers adjoining the edges of high step height apertures
US5807790A (en) * 1996-05-07 1998-09-15 Advanced Micro Devices, Inc. Selective i-line BARL etch process
US5861231A (en) * 1996-06-11 1999-01-19 Shipley Company, L.L.C. Copolymers and photoresist compositions comprising copolymer resin binder component
US5739254A (en) * 1996-08-29 1998-04-14 Xerox Corporation Process for haloalkylation of high performance polymers
KR100194813B1 (ko) * 1996-12-05 1999-06-15 정선종 멀티채널/멀티캐스트 스위칭 기능을 갖는 패킷 스위칭장치 및 이를 이용한 패킷 스위칭 시스템
US5952448A (en) * 1996-12-31 1999-09-14 Korea Research Institute Of Chemical Technology Stable precursor of polyimide and a process for preparing the same
TW432257B (en) * 1997-01-31 2001-05-01 Shinetsu Chemical Co High molecular weight silicone compound, chemically amplified positive resist composition and patterning method
US6232386B1 (en) * 1997-02-26 2001-05-15 Integument Technologies, Inc. Polymer composites having an oxyhalo surface and methods for making same
JP3766165B2 (ja) 1997-03-07 2006-04-12 株式会社ニコン 画像形成方法及び感光材料
JP4350168B2 (ja) 1997-03-07 2009-10-21 コーニング インコーポレイテッド チタニアドープ溶融シリカの製造方法
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
US6428894B1 (en) 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6054254A (en) * 1997-07-03 2000-04-25 Kabushiki Kaisha Toshiba Composition for underlying film and method of forming a pattern using the film
JP3473887B2 (ja) * 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
TW468091B (en) * 1997-09-05 2001-12-11 Kansai Paint Co Ltd Visible light-sensitive compositions and pattern formation process
KR100566042B1 (ko) * 1997-10-07 2006-05-25 간사이 페인트 가부시키가이샤 포지티브형전착포토레지스트조성물및패턴의제조방법
US6218292B1 (en) 1997-12-18 2001-04-17 Advanced Micro Devices, Inc. Dual layer bottom anti-reflective coating
US6338936B1 (en) 1998-02-02 2002-01-15 Taiyo Ink Manufacturing Co., Ltd. Photosensitive resin composition and method for formation of resist pattern by use thereof
US5998569A (en) * 1998-03-17 1999-12-07 International Business Machines Corporation Environmentally stable optical filter materials
US6156665A (en) * 1998-04-13 2000-12-05 Lucent Technologies Inc. Trilayer lift-off process for semiconductor device metallization
US6451498B1 (en) 1998-05-28 2002-09-17 Atotech Deutschland Gmbh Photosensitive composition
JP3673399B2 (ja) 1998-06-03 2005-07-20 クラリアント インターナショナル リミテッド 反射防止コーティング用組成物
US6063547A (en) * 1998-06-11 2000-05-16 Chartered Semiconductor Manufacturing, Ltd. Physical vapor deposition poly-p-phenylene sulfide film as a bottom anti-reflective coating on polysilicon
US6121098A (en) * 1998-06-30 2000-09-19 Infineon Technologies North America Corporation Semiconductor manufacturing method
US6976904B2 (en) 1998-07-09 2005-12-20 Li Family Holdings, Ltd. Chemical mechanical polishing slurry
US6103456A (en) * 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6071662A (en) * 1998-07-23 2000-06-06 Xerox Corporation Imaging member with improved anti-curl backing layer
TWI250379B (en) 1998-08-07 2006-03-01 Az Electronic Materials Japan Chemical amplified radiation-sensitive composition which contains onium salt and generator
US6380611B1 (en) 1998-09-03 2002-04-30 Micron Technology, Inc. Treatment for film surface to reduce photo footing
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6410209B1 (en) 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
US6361833B1 (en) 1998-10-28 2002-03-26 Henkel Corporation Composition and process for treating metal surfaces
US6165695A (en) * 1998-12-01 2000-12-26 Advanced Micro Devices, Inc. Thin resist with amorphous silicon hard mask for via etch application
US6127070A (en) * 1998-12-01 2000-10-03 Advanced Micro Devices, Inc. Thin resist with nitride hard mask for via etch application
US6162587A (en) 1998-12-01 2000-12-19 Advanced Micro Devices Thin resist with transition metal hard mask for via etch application
US6306560B1 (en) * 1998-12-02 2001-10-23 Advanced Micro Devices, Inc. Ultra-thin resist and SiON/oxide hard mask for metal etch
US6156658A (en) * 1998-12-02 2000-12-05 Advanced Micro Devices, Inc. Ultra-thin resist and silicon/oxide hard mask for metal etch
US6200907B1 (en) * 1998-12-02 2001-03-13 Advanced Micro Devices, Inc. Ultra-thin resist and barrier metal/oxide hard mask for metal etch
US6171763B1 (en) * 1998-12-02 2001-01-09 Advanced Micro Devices, Inc. Ultra-thin resist and oxide/nitride hard mask for metal etch
US6020269A (en) * 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6309926B1 (en) 1998-12-04 2001-10-30 Advanced Micro Devices Thin resist with nitride hard mask for gate etch application
US6046112A (en) * 1998-12-14 2000-04-04 Taiwan Semiconductor Manufacturing Company Chemical mechanical polishing slurry
US6207238B1 (en) * 1998-12-16 2001-03-27 Battelle Memorial Institute Plasma enhanced chemical deposition for high and/or low index of refraction polymers
US6251562B1 (en) * 1998-12-23 2001-06-26 International Business Machines Corporation Antireflective polymer and method of use
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
US6136511A (en) * 1999-01-20 2000-10-24 Micron Technology, Inc. Method of patterning substrates using multilayer resist processing
US6136679A (en) * 1999-03-05 2000-10-24 Taiwan Semiconductor Manufacturing Company Gate micro-patterning process
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6458509B1 (en) 1999-04-30 2002-10-01 Toagosei Co., Ltd. Resist compositions
US6616692B1 (en) 1999-04-30 2003-09-09 Advanced Medical Optics, Inc. Intraocular lens combinations
US6890448B2 (en) * 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6110653A (en) * 1999-07-26 2000-08-29 International Business Machines Corporation Acid sensitive ARC and method of use
JP4512217B2 (ja) 1999-08-20 2010-07-28 富士フイルム株式会社 アリールシラン化合物、発光素子材料およびそれを使用した発光素子
EP1212788B1 (en) 1999-08-26 2014-06-11 Brewer Science Improved fill material for dual damascene processes
US6852473B2 (en) 2000-01-12 2005-02-08 Infineon Technologies Richmond, Lp Anti-reflective coating conformality control
US20020009599A1 (en) 2000-01-26 2002-01-24 Welch Cletus N. Photochromic polyurethane coating and articles having such a coating
TW439118B (en) 2000-02-10 2001-06-07 Winbond Electronics Corp Multilayer thin photoresist process
JP3759456B2 (ja) 2000-02-22 2006-03-22 ブルーワー サイエンス アイ エヌ シー. 化学蒸着により蒸着される反射防止有機ポリマーコーティング
US6461717B1 (en) 2000-04-24 2002-10-08 Shipley Company, L.L.C. Aperture fill
JP2001344732A (ja) 2000-05-29 2001-12-14 Fujitsu Ltd 磁気記録媒体用基板及びその製造方法、並びに磁気記録媒体の評価方法
JP2001338926A (ja) 2000-05-29 2001-12-07 Sony Corp 半導体装置の製造方法
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
KR100917101B1 (ko) 2000-08-04 2009-09-15 도요 보세키 가부시키가이샤 플렉시블 금속적층체 및 그 제조방법
KR100828313B1 (ko) 2000-09-19 2008-05-08 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 반사방지 조성물
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
KR100669862B1 (ko) * 2000-11-13 2007-01-17 삼성전자주식회사 반도체 장치의 미세패턴 형성방법
US20030054117A1 (en) 2001-02-02 2003-03-20 Brewer Science, Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6444582B1 (en) 2001-02-05 2002-09-03 United Microelectronics Corp. Methods for removing silicon-oxy-nitride layer and wafer surface cleaning
WO2002066539A1 (en) 2001-02-16 2002-08-29 Dominion Energy, Inc. Poly amic acid system for polyimides
US6309955B1 (en) 2001-02-16 2001-10-30 Advanced Micro Devices, Inc. Method for using a CVD organic barc as a hard mask during via etch
US6383952B1 (en) 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
US6680252B2 (en) 2001-05-15 2004-01-20 United Microelectronics Corp. Method for planarizing barc layer in dual damascene process
EP1395417B1 (en) 2001-05-29 2006-08-02 Essilor International Compagnie Generale D'optique Method for forming on-site a coated optical article
US6605545B2 (en) 2001-06-01 2003-08-12 United Microelectronics Corp. Method for forming hybrid low-K film stack to avoid thermal stress effect
US6458705B1 (en) 2001-06-06 2002-10-01 United Microelectronics Corp. Method for forming via-first dual damascene interconnect structure
US6548387B2 (en) 2001-07-20 2003-04-15 United Microelectronics Corporation Method for reducing hole defects in the polysilicon layer
US6624068B2 (en) 2001-08-24 2003-09-23 Texas Instruments Incorporated Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US6586560B1 (en) 2001-09-18 2003-07-01 Microchem Corp. Alkaline soluble maleimide-containing polymers
TW575607B (en) 2001-09-27 2004-02-11 Lg Chemical Ltd Polyimide copolymer and methods for preparing the same
KR100465866B1 (ko) 2001-10-26 2005-01-13 주식회사 하이닉스반도체 유기반사방지막 조성물 및 그의 제조방법
US6916537B2 (en) 2001-11-01 2005-07-12 Transitions Optical Inc. Articles having a photochromic polymeric coating
JP2003162065A (ja) 2001-11-26 2003-06-06 Mitsubishi Electric Corp 露光装置、露光マスク、露光方法、表示装置及び電子部品
JP3773445B2 (ja) 2001-12-19 2006-05-10 セントラル硝子株式会社 含フッ素脂環族ジアミンおよびこれを用いた重合体
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US20030215736A1 (en) 2002-01-09 2003-11-20 Oberlander Joseph E. Negative-working photoimageable bottom antireflective coating
US7070914B2 (en) 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
US7261997B2 (en) 2002-01-17 2007-08-28 Brewer Science Inc. Spin bowl compatible polyamic acids/imides as wet developable polymer binders for anti-reflective coatings
US6488509B1 (en) 2002-01-23 2002-12-03 Taiwan Semiconductor Manufacturing Company Plug filling for dual-damascene process
KR20030068729A (ko) 2002-02-16 2003-08-25 삼성전자주식회사 반사 방지용 광흡수막 형성 조성물 및 이를 이용한 반도체소자의 패턴 형성 방법
US6911293B2 (en) 2002-04-11 2005-06-28 Clariant Finance (Bvi) Limited Photoresist compositions comprising acetals and ketals as solvents
US6852474B2 (en) 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6849293B2 (en) 2002-05-02 2005-02-01 Institute Of Microelectronics Method to minimize iso-dense contact or via gap filling variation of polymeric materials in the spin coat process
US7265431B2 (en) 2002-05-17 2007-09-04 Intel Corporation Imageable bottom anti-reflective coating for high resolution lithography
US6872506B2 (en) 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
US6740469B2 (en) * 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US6638853B1 (en) 2002-07-03 2003-10-28 Taiwan Semiconductor Manufacturing Co. Ltd. Method for avoiding photoresist resist residue on semioconductor feature sidewalls
KR20040009384A (ko) 2002-07-23 2004-01-31 삼성전자주식회사 포토레지스트용 현상액에 용해되는 유기 바닥 반사 방지조성물과 이를 이용한 사진 식각 공정
US7108958B2 (en) 2002-07-31 2006-09-19 Brewer Science Inc. Photosensitive bottom anti-reflective coatings
US6566280B1 (en) 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6821689B2 (en) 2002-09-16 2004-11-23 Numerical Technologies Using second exposure to assist a PSM exposure in printing a tight space adjacent to large feature
US20040077173A1 (en) 2002-10-17 2004-04-22 Swaminathan Sivakumar Using water soluble bottom anti-reflective coating
TWI252374B (en) * 2003-01-30 2006-04-01 Shinetsu Chemical Co Polymer, resist composition and patterning process
JP4433160B2 (ja) * 2003-01-30 2010-03-17 信越化学工業株式会社 高分子化合物、レジスト材料及びパターン形成方法
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
KR100487948B1 (ko) 2003-03-06 2005-05-06 삼성전자주식회사 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
KR100539494B1 (ko) 2003-05-02 2005-12-29 한국전자통신연구원 전기광학 및 비선형 광학 고분자로서의 곁사슬형폴리아미드 에스테르, 그것의 제조 방법 및 그것으로부터제조된 필름
US7235348B2 (en) 2003-05-22 2007-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Water soluble negative tone photoresist
US7368173B2 (en) 2003-05-23 2008-05-06 Dow Corning Corporation Siloxane resin-based anti-reflective coating composition having high wet etch rate
US7364832B2 (en) 2003-06-11 2008-04-29 Brewer Science Inc. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
US7172849B2 (en) * 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
US7074527B2 (en) 2003-09-23 2006-07-11 Freescale Semiconductor, Inc. Method for fabricating a mask using a hardmask and method for making a semiconductor device using the same
US7270931B2 (en) * 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
JP5368674B2 (ja) 2003-10-15 2013-12-18 ブルーワー サイエンス アイ エヌ シー. 現像液に可溶な材料および現像液に可溶な材料をビアファーストデュアルダマシン適用において用いる方法
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US20050214674A1 (en) 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US20070207406A1 (en) 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20050255410A1 (en) 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US7012028B2 (en) 2004-07-26 2006-03-14 Texas Instruments Incorporated Transistor fabrication methods using reduced width sidewall spacers
JP4433933B2 (ja) * 2004-08-13 2010-03-17 Jsr株式会社 感放射線性組成物およびハードマスク形成材料
KR100639680B1 (ko) 2005-01-17 2006-10-31 삼성전자주식회사 반도체 소자의 미세 패턴 형성방법
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
KR100720243B1 (ko) * 2005-06-22 2007-05-22 주식회사 하이닉스반도체 이중 노광 공정을 이용한 미세 패턴 형성방법
US20070018286A1 (en) * 2005-07-14 2007-01-25 Asml Netherlands B.V. Substrate, lithographic multiple exposure method, machine readable medium
CN1896869A (zh) * 2005-07-14 2007-01-17 Asml荷兰有限公司 基底、光刻多次曝光方法和可机读介质
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
TWI403843B (zh) * 2005-09-13 2013-08-01 Fujifilm Corp 正型光阻組成物及使用它之圖案形成方法
US7824842B2 (en) * 2005-10-05 2010-11-02 Asml Netherlands B.V. Method of patterning a positive tone resist layer overlaying a lithographic substrate
KR100740611B1 (ko) * 2005-10-12 2007-07-18 삼성전자주식회사 탑 코팅 막용 고분자, 탑 코팅 용액 조성물 및 이를 이용한이머젼 리소그라피 공정
KR20070087356A (ko) 2006-02-23 2007-08-28 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US20070212649A1 (en) * 2006-03-07 2007-09-13 Asml Netherlands B.V. Method and system for enhanced lithographic patterning
US7767385B2 (en) 2006-03-09 2010-08-03 International Business Machines Corporation Method for lithography for optimizing process conditions
EP1845416A3 (en) 2006-04-11 2009-05-20 Rohm and Haas Electronic Materials, L.L.C. Coating compositions for photolithography
US7429533B2 (en) 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
JP2007311508A (ja) * 2006-05-17 2007-11-29 Nikon Corp 微細パターン形成方法及びデバイス製造方法
US7435537B2 (en) * 2006-06-21 2008-10-14 International Business Machines Corporation Fluorinated half ester of maleic anhydride polymers for dry 193 nm top antireflective coating application
US7914974B2 (en) 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
JP4826805B2 (ja) * 2006-08-30 2011-11-30 信越化学工業株式会社 フォトレジスト下層膜材料、フォトレジスト下層膜基板及びパターン形成方法
US7862985B2 (en) 2006-09-22 2011-01-04 Tokyo Electron Limited Method for double patterning a developable anti-reflective coating
US8168372B2 (en) * 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
TWI374478B (en) 2007-02-13 2012-10-11 Rohm & Haas Elect Mat Electronic device manufacture
TWI493598B (zh) 2007-10-26 2015-07-21 Applied Materials Inc 利用光阻模板遮罩的倍頻方法
EP2245512B1 (en) 2008-01-29 2019-09-11 Brewer Science, Inc. On-track process for patterning hardmask by multiple dark field exposures
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography

Also Published As

Publication number Publication date
EP2245512A2 (en) 2010-11-03
CN101971102A (zh) 2011-02-09
US20090191474A1 (en) 2009-07-30
WO2009097436A3 (en) 2009-10-15
TW200947133A (en) 2009-11-16
TWI467337B (zh) 2015-01-01
KR20100110363A (ko) 2010-10-12
WO2009097436A2 (en) 2009-08-06
EP2245512A4 (en) 2011-08-10
EP2245512B1 (en) 2019-09-11
JP2011514662A (ja) 2011-05-06
US20110223524A1 (en) 2011-09-15
US8415083B2 (en) 2013-04-09
KR101647158B1 (ko) 2016-08-09
CN101971102B (zh) 2012-12-12
US8133659B2 (en) 2012-03-13

Similar Documents

Publication Publication Date Title
JP5357186B2 (ja) 多重暗視野露光によるハードマスクのパターン形成のためのオントラックプロセス
KR101697790B1 (ko) 마이크로리소그래피용 감광성 하드마스크
JP5840954B2 (ja) 酸感応性、現像剤可溶性の下層反射防止膜
JP5220418B2 (ja) シリコン含有フォトレジストの基層としての低屈折率ポリマー
JP6487942B2 (ja) 反射防止コーティング組成物およびその製造方法
US6087064A (en) Silsesquioxane polymers, method of synthesis, photoresist composition, and multilayer lithographic method
TWI407259B (zh) 正向可光成像之底部抗反射塗層
TWI459142B (zh) 正型光可成像底部抗反射塗層
JP4918162B2 (ja) 193nmリソグラフィー用の二重層の感光性で現像液に可溶な底面反射防止塗膜
JP5822358B2 (ja) 縮合芳香環を含む反射防止コーティング組成物
KR102477802B1 (ko) 금속 산화물 나노입자 및 유기 중합체를 함유하는 스핀-온 물질의 조성물
CN102439069B (zh) 用于反向图案化的方法和材料
EP1678556A2 (en) Low-activation energy silicon-containing resist system
JP3539792B2 (ja) レジスト材料及びレジストパターンの形成方法
EP1825325A1 (en) Low refractive index polymers as underlayers for silicon-containing photoresists

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111215

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130205

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130426

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130510

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130704

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130806

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130829

R150 Certificate of patent or registration of utility model

Ref document number: 5357186

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250