TWI467337B - 藉由多暗場曝露使硬遮罩圖案化之軌道上方法 - Google Patents

藉由多暗場曝露使硬遮罩圖案化之軌道上方法 Download PDF

Info

Publication number
TWI467337B
TWI467337B TW098103192A TW98103192A TWI467337B TW I467337 B TWI467337 B TW I467337B TW 098103192 A TW098103192 A TW 098103192A TW 98103192 A TW98103192 A TW 98103192A TW I467337 B TWI467337 B TW I467337B
Authority
TW
Taiwan
Prior art keywords
hard mask
group
layer
mask layer
imaging layer
Prior art date
Application number
TW098103192A
Other languages
English (en)
Other versions
TW200947133A (en
Inventor
孫遜運
徐昊
托尼D 福雷
Original Assignee
布魯爾科技公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 布魯爾科技公司 filed Critical 布魯爾科技公司
Publication of TW200947133A publication Critical patent/TW200947133A/zh
Application granted granted Critical
Publication of TWI467337B publication Critical patent/TWI467337B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • G03F7/0758Macromolecular compounds containing Si-O, Si-C or Si-N bonds with silicon- containing groups in the side chains
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Materials For Photolithography (AREA)

Description

藉由多暗場曝露使硬遮罩圖案化之軌道上方法
相關申請案
本申請案主張2008年1月29日申請之名為「藉由多暗場曝露使硬遮罩圖案化之軌道上方法(ON-TRACK PROCESS FOR PATTERNING HARDMASK BY MULTIPLE DARK FIELD EXPOSURES)」的臨時申請案第61/024,474號之優先權權利,其以引用的方式併入本文中。
本發明大體而言係關於藉由微光微影,更特定而言藉由用於間距倍增之多重曝露製造半導體結構之方法。
微電子製造之進步由藉由微光微影方法產生之半導體結構之密度及尺寸所反映。對高密度及小臨界尺寸(CD)之需求已不斷地將光微影技術推向其極限。在光微影方法之極限處,相較於具有高間距(例如,1:1線路/間隔)之特徵,具有鬆弛間距之特徵可由較小的CD所產生。該方法針對較小CD之折衷為特徵密度之減小。按照原則,密度之損失可藉由重複曝露程序補償。
直至最近仍尚未認真地研究較小CD之可能性,此主要係由於兩個原因:(1)多重曝露程序之高成本及高複雜性,及(2)用於CD減小之其他選項之可用性。在最近的10年中,微電子工業主要依賴於曝露工具之較短輻射波長來得到較小CD。光微影技術已成功地自i線(365nm)演進至KrF(248nm)及ArF(193nm)。
具有1:1間距之45nm線路/間隔之圖案可藉由193nm浸漬式光微影可靠地印刷。然而,隨著浸漬式光微影快速地到達其解析度極限,改良光微影方法之趨勢已包括使用高數值孔徑(NA)工具及/或浸漬流體。單獨使用或結合浸漬而使用具有高NA能力(>1.0)之成像工具提供達成具有較小臨界尺寸及較高密度之圖案之較高解析度的方法。由於較大量光可轉移至成像層,故此等進步為可能的。然而,此等選項為非常昂貴的且需要新的工具組。
最近,用於下一印刷節點之多重曝露技術已成為唯一可行選項,直至短於193nm(諸如13.5nm)之曝露波長可用為止。已研究並報告了許多用於多重曝露技術之製程方案。大部分此等方案利用明場遮罩。換言之,僅小部分光阻(諸如線路)免於曝露,而剩餘部分光阻均曝露。接著使光阻與顯影劑接觸以移除光阻之經曝露部分,藉此僅留下光阻之未經曝露部分(亦即,線路)保持在硬遮罩層上方。藉由蝕刻掉除了光阻之未經曝露部分下面之彼等區域之外的硬遮罩層,將圖案轉移至硬遮罩。重複該程序直至達成所要圖案。傳統明場方法之一個缺點為在第二曝露-顯影-蝕刻程序之前必須將硬遮罩再塗覆至基板。此額外步驟增加了處理時間以及總成本。幾乎尚未注意到利用暗場遮罩形成諸如通道或溝槽之特徵的方法。在暗場曝露方法中,大部分光阻免於曝露,而僅小部分光阻曝露且在顯影之後移除。與明場之情況相同,圖案必須接著使用蝕刻程序轉移至硬遮罩。
因此,現存雙重曝露程序需要兩次曝露之間的乾式蝕刻步驟。換言之,自第一次曝露達成之圖案必須在可處理第二次曝露之前藉由反應性離子蝕刻(RIE)轉移至下伏層。乾式蝕刻步驟使雙重曝露技術大大地複雜。因而,在此項技術中存在對無需第二硬遮罩層之塗覆且亦消除乾式蝕刻步驟之多重圖案化技術的需要。
本發明藉由廣泛地提供形成微電子結構之方法,特定而言多重曝露程序、用於形成該結構之組合物及其結構而克服此等問題。
更詳細地,該方法包含將硬遮罩組合物塗覆至基板之表面。該硬遮罩組合物包含分散或溶解於溶劑系統中之聚合物。烘焙該組合物以在該基板上產生硬遮罩層。將感光組合物塗覆在該硬遮罩層上以在該硬遮罩層上面形成第一成像層,且將該第一成像層曝露於活化輻射以在該第一成像層中產生經曝露部分。使該第一成像層與顯影劑接觸以便移除該成像層之該經曝露部分。有利地,此亦導致該第一成像層中之該經曝露部分下面的硬遮罩層之部分之移除,從而產生經圖案化之硬遮罩層。接著使該第一成像層與有機溶劑接觸以自硬遮罩層移除第一成像層。將第二感光組合物塗覆至該硬遮罩層以在經圖案化之硬遮罩層上面形成第二成像層。此在無需將額外硬遮罩組合物添加至該基板之情況下實現。
本發明亦提供包含分散或溶解於溶劑系統中之聚合物之發明性硬遮罩組合物。該聚合物包含以下重複單體:
其中各R1 個別地選自由-H及烷基組成之群,且各R2 個別地選自由-H及含矽部分組成之群。該含矽部分選自由以下各者組成之群:
其中各R3 選自由烷基組成之群,且各R4 選自由正丁基、第三丁基及烷基組成之群,且其中該R2 中之至少一者為含矽部分。
在另一具體實例中,提供一種微電子結構,其包含具有表面之基板及鄰近於該基板表面之硬遮罩層。該硬遮罩層自包含分散或溶解於溶劑系統中之聚合物之硬遮罩組合物形成,其中該聚合物包含以下重複單體:
其中各R1 個別地選自由-H及烷基組成之群,且各R2 個別地選自由-H及含矽部分組成之群。該含矽部分選自由以下各者組成之群:
其中各R3 選自由烷基組成之群,且各R4 係選自由正丁基、第三丁基及烷基組成之群,且其中該R2 中之至少一者為含矽部分。
本發明亦提供藉由將硬遮罩組合物塗覆至基板之表面而形成微電子結構之另一發明性方法。該硬遮罩組合物包含分散或溶解於溶劑系統中之聚合物。烘焙該組合物以在該基板上產生硬遮罩層。將感光組合物塗覆在該硬遮罩上以在該硬遮罩層上面形成第一成像層,且將該第一成像層曝露於活化輻射以在該第一成像層中產生經曝露部分。使該第一成像層與顯影劑接觸以便移除該成像層之該經曝露部分。有利地,此亦導致該第一成像層中之該經曝露部分下面的硬遮罩層之部分之移除,從而產生經圖案化之硬遮罩層。接著使該第一成像層與有機溶劑接觸以自該經圖案化之硬遮罩層移除該第一成像層。將第二感光組合物塗覆至該經圖案化之硬遮罩層以在該經圖案化之硬遮罩層上面形成第二成像層。此在無需在前述程序期間發生任何乾式蝕刻之情況下實現。
本發明之才法
本發明提供經由多重曝露程序在半導體基板上產生通道或溝槽結構之方法。圖1說明本發明之一個具體實例。提供具有上表面10a及背側10b之基板10。可將蝕刻終止層12塗覆至基板10之背側10b。可利用任一習知微電子基板。較佳基板包括選自由以下各者組成之群之基板:矽、SiGe、SiO2 、Si3 N4 、鋁、鎢、矽化鎢、砷化鎵、鍺、鉭、氮化鉭、珊瑚、黑金剛石、摻雜磷或硼之玻璃及前述各者之混合物。基板10可具有平坦表面,或其可包括拓樸形狀(通道、溝槽、接觸孔、凸起特徵、線路等)。
如圖1(a)中所展示,將可選中間層14塗覆至基板10之上表面10a。中間層14可藉由任一已知塗覆方法(諸如藉由旋轉塗佈或氣相沈積)塗覆。接著烘焙該中間層。較佳烘焙條件包括至少約100℃,較佳自約120℃至約250℃,且更佳自約180℃至約220℃之溫度,且歷時自約20秒至約60秒之時間週期。合適中間層14包括選自由以下各者組成之群之層:旋塗式碳層(SOC)、非晶碳層、底部抗反射塗層、平坦化層及前述各者之組合。較佳地,中間層14具有在使用波長(例如,365nm、248nm、193nm、157nm或13.5nm)下自約1.4至約2,且更佳地自約1.6至約2之折射率(n值)及自約0.1至約0.8,且更佳地自約0.4至約0.6之消光係數(k值)。
接著將硬遮罩組合物層塗覆至中間層14,或在不存在中間層14之情況下塗覆至基板表面10a。該組合物可藉由任一已知塗覆方法塗覆,其中較佳方法為以自約1,000rpm至約4,000rpm(較佳地自約1,500rpm至約2,500rpm)之速度旋轉塗佈該組合物歷時自約10秒至約60秒(較佳地自約20秒至約60秒)之時間週期。接著烘焙該硬遮罩組合物以便誘發熱交聯,從而形成硬遮罩層16。較佳烘焙條件包括至少約100℃,較佳自約120℃至約250℃,且更佳自約160℃至約200℃之溫度,且歷時自約20秒至約60秒之時間週期。亦可使用兩步熱固化方法,其中在自約80℃至約120℃之溫度下烘焙硬遮罩層16歷時自約10秒至約60秒之時間週期,繼之以在自約160℃至約200℃之溫度下烘焙歷時自約20秒至約60秒之時間週期。經交聯之硬遮罩層16之厚度將通常為自約20nm至約150nm,且較佳地自約30nm至約100nm。
較佳地,經固化之硬遮罩層16具有與中間層14(若存在)之光學指數相容之n值及k值。更佳地,硬遮罩層16具有在使用波長(例如,365nm、248nm、193nm、157nm或13.5nm)下自約1.4至約2,且更佳地自約1.6至約2之n值及自約0至約0.6之k值。
有利地,經固化之硬遮罩層16將充分地交聯,使得其將實質上不溶於典型有機溶劑中,諸如乳酸乙酯、醋酸丙二醇甲醚酯(PGMEA)、丙二醇甲醚(PGME)、丙二醇正丙醚(PnP)、環己酮、丙酮、γ丁內酯(GBL)及其混合物。因此,當經受剝離測試時,經固化之硬遮罩層16將具有小於約5%,較佳地小於約1%,且甚至更佳地為約0%之百分比的剝離。剝離測試包括首先藉由取在經固化層之五個不同位置處之量測的平均值而判定厚度。此為初始平均薄膜厚度。接下來,將溶劑(例如,乳酸乙酯)攪濁於經固化之薄膜上歷時20秒,繼之以以約2,000rpm至3,500rpm旋轉乾燥歷時約20至30秒以移除溶劑。使用橢圓偏光計再次在晶圓上之五個不同點處量測厚度,且判定此等量測之平均值。此為平均最終薄膜厚度。
剝離量為初始平均薄膜厚度與最終平均薄膜厚度之間的差。剝離百分比為:
可接著將感光組合物塗覆至經固化之硬遮罩層16以形成成像層18。接著在至少約80℃,較佳自約80℃至約130℃,且更佳自約100℃至約120℃之溫度下且歷時自約60秒至約120秒之時間週期而塗覆後烘焙(「PAB」)成像層18。合適成像組合物包括市售光阻,或任何其他感光組合物。較佳地,成像組合物具有低固體含量且可作為非常薄的層塗覆。由於在本發明之方法中不需要乾式蝕刻來將圖案自成像層18轉移至後續層,故成像層18不充當用於圖案轉移蝕刻之遮罩層。此向圖案化方法提供許多益處。舉例而言,與習知技術不同,在本發明之方法中,成像層18之最小厚度不再受蝕刻選擇率及蝕刻深度的限制。結果,可使用具有小於約20重量%,且更佳地自約3重量%至約10重量%之固體含量(烘焙之前)之成像組合物。烘焙之後成像層18之厚度將通常為自約20nm至約200nm,且更佳地自約40nm至約100nm。習知光阻通常無法形成該薄層。因此,可為此目的特別製備成像組合物,或可稀釋市售光阻直至達成所要固體含量。光阻之稀化較佳地藉由使用選自由乳酸乙酯、PGMEA、PGME、PnP、2-庚酮及其混合物組成之群之有機溶劑以足以如本文中所描述減少光阻之固體含量的量進行稀釋而實現。所得之薄膜堆疊在圖1(a)中展示。
成像層18接著藉由位於成像層18之表面上方之光罩(未圖示)而曝露於輻射。該遮罩具有經設計以允許輻射穿過遮罩及與成像層18之表面接觸的開口區域。遮罩之剩餘實心部分經設計以防止輻射在某些區域接觸成像層18之表面。熟習此項技術者將容易地理解開口區域及實心部分之排列基於待形成於成像層18中及最終於基板10中之所要圖案而設計。然而,本發明尤其適合於用以形成諸如通道或溝槽之小特徵的暗場方法。因此,光罩較佳地為暗場遮罩且用於保護成像層18之一大部分免於曝露,而每次僅成像層18之一小部分曝露。在曝露期間保護成像層18表面之至少約50%免於輻射為尤其較佳的,甚至更佳地係保護成像層18之自約50%至約90%,且最佳地係在每次曝露期間保護成像層18之自約60%至約80%免於輻射。本發明之方法可配合大多數波長低於500nm之輻射使用,但較佳波長選自由365nm、248nm、193nm、157nm及13.5nm組成之群。
在曝露時,成像層18之曝露於輻射之部分變得可溶於光阻顯影劑中,從而形成通道及溝槽11。在曝露之後,成像層18及硬遮罩層16較佳地經受在自約80℃至約180℃,更佳地自約100℃至約180℃之溫度下,歷時自約60秒至約120秒之時間週期的曝露後烘焙(PEB)。藉由上述程序而可溶之成像層18之經曝露部分接著與光阻顯影劑接觸以移除該經曝露部分。重要的是,當成像層18上之經曝露之通道或溝槽區域11溶解時,通道或溝槽區域11下方的硬遮罩層16之部分亦被移除(參見圖1(b))。此消除先前技術方法將圖案自成像層18轉移至下伏層所需之乾式蝕刻步驟。在本發明之一態樣中,硬遮罩層16為感光的(亦即,當曝露於活化輻射時經歷反應)且藉由曝露程序解交聯,藉此使其與成像層18一起顯影劑可溶。在本發明之另一態樣中,硬遮罩層16之溶解度不受曝露程序影響,意謂其對於經曝露區域及未經曝露區域將以相同速率顯影。然而,在本發明之方法中,硬遮罩層16之未經曝露區域藉由成像層18之未經曝露部分免受顯影劑移除,從而使得僅硬遮罩層16之經曝露部分被移除。
總之,硬遮罩層16與成像層18同時顯影。因此,在成像層18之經曝露部分下面之硬遮罩層16之部分係隨著成像層18被移除時由顯影劑移除而在成像層18及硬遮罩層16兩者中形成通道及溝槽11,從而產生經圖案化之成像層18及經圖案化之硬遮罩層16(圖1(b))。較佳地,成像層18及硬遮罩層16之經曝露部分之至少約95%將由顯影劑移除,更佳地至少約99%,且甚至更佳地約100%將被移除。合適顯影劑為諸如氫氧化四甲基銨(TMAH)或KOH之有機或無機鹼性溶液,且較佳地包含為0.26N或更低之濃度的TMAH之水溶液。較佳地,硬遮罩層16在0.26N之TMAH顯影劑中之溶解速率將為自約0.5奈米/秒至約5奈米/秒,且甚至更佳地自0.5奈米/秒至約3奈米/秒。
如圖1(C)中所展示,經圖案化之成像層18隨後藉由有機溶劑而移除。較佳方法包含將有機溶劑攪濁於成像層18上歷時約5秒至約60秒,且更佳地自約5秒至約20秒,接著以額外溶劑沖洗及旋轉乾燥。合適有機溶劑包括選自由以下各者組成之群之溶劑:PGME、PGMEA、乳酸乙酯、商用邊緣珠粒移除劑及預濕溶劑。有利地,移除成像層18,而歸因於如上文所解釋硬遮罩層16對有機溶劑之抗性而保留經圖案化之硬遮罩層16上之通道及/或溝槽圖案11且保持其完整無缺。更佳地,成像層18藉由有機溶劑移除至少約95%,更佳地至少約99%,且甚至更佳地移除100%。
接著重複該曝露-顯影程序。如圖1(d)中所展示,將第二成像層18'塗覆至經圖案化之硬遮罩層16,從而填充在第一曝露-顯影程序期間形成之通道及溝槽11。如上文所描述,第二成像層18'較佳地以薄層塗覆,繼之以PAB。接著以與現存經圖案化之通道及溝槽11稍微不同之對準將光罩(未圖示)位於成像層18'之表面上方。再次,較佳地使用暗場遮罩,使得在曝露期間保護成像層18'表面之至少約50%免於輻射,甚至更佳地保護成像層18'之自約50%至約90%,且最佳地在每次曝露期間保護成像層18'之自約60%至約80%免於輻射。該堆疊接著曝露於選定波長下之輻射以對第二成像層18'進行圖案化。在曝露之後,如上文所描述,第二成像層18'及硬遮罩層16較佳地經受PEB。接著使用諸如TMAH之水溶液之光阻顯影劑移除成像層18'及硬遮罩層16之經曝露部分,以在成像層18'及硬遮罩層16中形成通道及溝槽11',從而產生經圖案化之成像層18'及經進一步圖案化之硬遮罩層16(參見圖1(e))。
如圖1(f)中所展示,經圖案化之成像層18'隨後藉由有機溶劑而移除。得自雙重曝露-顯影程序之經圖案化之硬遮罩層16上的兩組通道或溝槽圖案11、11'由於硬遮罩層16對有機溶劑之抗性而經保留。應瞭解,額外成像層可再次塗覆至同一硬遮罩層16,且曝露-顯影程序按需要重複多次(例如,2至4次,甚至更佳地2至3次)以在硬遮罩中形成所要圖案。與習知明場曝露方法不同,不必在曝露-顯影程序之間再塗覆硬遮罩層。亦即,在整個多重曝露-顯影程序中使用同一硬遮罩層16。有利地,在前述程序期間亦不必要進行任何乾式蝕刻。
最終,硬遮罩層16上之圖案11、11'使用任一習知蝕刻程序(諸如反應性離子蝕刻(RIE))轉移至中間層14(若存在)(圖1(g))且接著至基板10(圖1(h))。硬遮罩層16較佳地在使用諸如氧氣、氯氣、HBr等或彼等氣體及/或其他氣體之任何組合之蝕刻劑時在中間層14或基板10上具有高蝕刻選擇率。因此,當使用選自由O2 、CO2 、N2 、Ar、Cl、HBr、He及其混合物組成之群之蝕刻劑時,硬遮罩層16在中間層14或基板10上之蝕刻選擇率將為至少約1,較佳地至少約2,且更佳地自約2至約50。一旦移除硬遮罩層16(圖1(h)),即使用有機溶劑剝離剩餘中間層14。所得經圖案化之基板係描繪在圖1(i)中。
如上文所提及,中間層14為可選的。因此,在替代具體實例中,若硬遮罩層16在基板10上具有足夠蝕刻選擇率用於使用諸如RIE之蝕刻程序進行圖案轉移,則可省略中間層14。此具體實例係描繪在圖2中。詳言之,提供具有上表面20a及背側20b之基板20。可將蝕刻終止層22塗覆至基板20之背側20b。接著藉由任一習知方法(諸如藉由旋轉塗佈)將硬遮罩組合物層塗覆至基板表面20a。如上文所論述,接著烘焙該硬遮罩組合物以便誘發熱交聯且形成硬遮罩層26。可接著將成像層28塗覆至經固化之硬遮罩層26。接著使用如上文所描述的相同之曝露、顯影(圖2(b))、成像層剝離(圖2(c))及圖案轉移之程序形成通道及溝槽21、21',其中使用第二成像層28'用於第二曝露-顯影程序(圖2(d)至圖2(h))。
本發明之多重曝露方法對於產生通道及溝槽之緻密區同時消除在傳統明場方法中使用之硬遮罩再塗覆步驟以及在習知雙重曝露方法中使用之乾式蝕刻步驟為有用的。
用於本發明之方法中之組合物
用於多重曝露-顯影方法中之合適硬遮罩組合物較佳為熱固的(亦即,可交聯的)。較佳組合物將包含溶解或分散於溶劑系統中之聚合物及交聯試劑。較佳地,聚合物為含矽或含鈦聚合物。該聚合物較佳地具有自約800道爾頓至約50,000道爾頓,且更佳地自約5,000道爾頓至約15,000道爾頓之重量平均分子量(MW )。
本發明之組合物亦應為可濕式顯影的(亦即,顯影劑可溶的),或能夠在曝露於選定波長之輻射之後即成為顯影劑可溶的。因此,在一態樣中,經固化之層不溶於有機溶劑及光阻顯影劑兩者中,但可在曝露於輻射之後即經解交聯且成為可濕式顯影的(亦即,硬遮罩組合物為感光的)。此導致硬遮罩層之經曝露部分及未經曝露部分具有不同溶解速率,從而允許在不移除未經曝露部分之情況下移除經曝露部分。尤其較佳之感光硬遮罩組合物包含分散或溶解於溶劑系統中之聚合物,其中該聚合物包含以下重複單體:
其中各R1 係個別地選自由-H及烷基(較佳地C1 -C4 烷基且更佳地C1 -C3 烷基)組成之群,且各R2 係個別地選自由-H及含矽部分組成之群,其中該R2 中之至少一者為含矽部分。較佳含矽部分選自由以下各者組成之群:
其中各R3 係選自由烷基(較佳地C1 -C4 烷基且更佳地C1 -C3 烷基)組成之群,且各R4 係選自由正丁基、第三丁基及烷基(較佳地C2 -C3 烷基)組成之群。最佳R3 基係選自由-CH3 及-CH2 -CH3 組成之群。較佳地,該聚合物中單體(I)與單體(II)之比率為自約0.2:0.8至約0.8:0.2,且更佳地為自約0:5:0.5至約0.8:0.2,其中單體(I)之R2 為含矽部分。在一些具體實例中,該聚合物可進一步包含重複苯乙烯單體。
在另一態樣中,經固化之硬遮罩層同時不溶於有機溶劑中,而仍可溶於光阻顯影劑中。該組合物之實例包含分散或溶解於溶劑系統中之聚合金屬烷氧化物。較佳聚合物
包含具有式之重複單元,其中各R5 係個別地選自由氫及烷基(較佳地C1 -C8 烷基)組成之群,且M1 為金屬。最佳R5 基係選自由-CH3 及-CH2 -CH3 組成之群。最佳金屬係選自由Ti、Zr、Si及/或Al組成之群。其他合適組合物亦描述於美國專利第6,740,469號中,該專利以引用之方式併入本文中。
該組合物藉由簡單地將聚合物分散或溶解於合適溶劑系統中,較佳地在周圍條件下且歷時足夠量之時間以形成實質上均勻溶液而形成。以被視作100重量%之組合物總重量計,該聚合物應以自約1重量%至約20重量%,較佳地自約2重量%至約15重量%,且甚至更佳地自約3重量%至約10重量%之含量存在該組合物中。
該組合物亦將較佳地包括與聚合物一起溶解或分散於該溶劑系統中之交聯劑(在本文中與術語「交聯試劑」互換地使用)。較佳交聯劑係選自由乙烯醚交聯劑及胺基塑膠交聯試劑(例如,購自氰特工業公司(Cytec Industries)之產品)組成之群。該交聯劑為多官能的(二官能、三官能及四官能)係尤其較佳的。以被視作100重量%之組合物總重量計,該交聯劑較佳地以自約0.2重量%至約10重量%,較佳地自約0.5重量%至約5重量%,且甚至更佳地自約0.5重量%至約2重量%之含量存在該組合物中。
更佳地,乙烯醚交聯劑(當存在時)具有式
R'-(X-O-CH=CH2 )n
其中R'係選自由芳香基(較佳地C6 -C14 )及烷基(較佳地C1 -C18 ,且更佳地C1 -C10 )組成之群,各X係個別地選自由烷基(較佳地C1 -C18 ,且更佳地C1 -C10 )、烷氧基(較佳地C1 -C18 ,且更佳地C1 -C10 )、羰基及上述各者中兩者或兩者以上之組合組成之群,且n為至少2,且較佳地自2至6。最佳乙烯醚包括選自由乙二醇乙烯醚、三羥甲基丙烷三乙烯醚、1,4-環己烷二甲醇二乙烯醚及其混合物組成之群的乙烯醚。另一較佳乙烯醚具有選自由以下各者組成之群的式:
較佳溶劑系統包括選自由PGMEA、PGME、PnP、乳酸乙酯及其混合物組成之群的溶劑。較佳地,該溶劑系統具有約50-250℃,且更佳地約100-175℃之沸點。以被視作100重量%之組合物總重量計,該溶劑系統應以自約80重量%至約99.5重量%,較佳地自約90重量%至約99.5重量%,且甚至更佳地自約90重量%至約99重量%之含量利用。以被視作100重量%之組合物總重量計,該組合物將較佳地包含自約0.5重量%至約20重量%之固體,較佳地自約0.5重量%至約10重量%之固體,且甚至更佳地自約1重量%至約10重量%之固體的固體含量。
任何額外成份亦較佳地與聚合物一起分散於溶劑系統中。舉例而言,較佳感光組合物亦含有催化劑。較佳催化劑為酸產生劑,且特定而言光酸產生劑(「PAG」,離子及/或非離子的)。在存在輻射之情況下產生酸之任何PAG均為適合的。較佳PAG係選自由磺酸肟(例如,CIBA以之名稱出售之磺酸肟)、雙(4-第三丁基苯基)錪鹽(例如,Midori Kagaku Co. Ltd.之BBI-106)及其混合物組成之群。以被視作100重量%之組合物總重量計,該組合物較佳地包含自約0.1重量%至約2重量%之催化劑,較佳地自約0.1重量%至約1重量%之催化劑,且甚至更佳地自約0.1重量%至約0.5重量%之催化劑。
該組合物亦可包括光衰減部分。合適光衰減部分包括選自由以下各者組成之群之光衰減部分:三羥甲基乙氧化物、4-羥基苯甲醛及2-氰基-3-(4-羥苯基)-丙烯酸乙酯。當光衰減部分存在時,以被視作100重量%之組合物總重量計,該組合物較佳地包含自約0.01重量%至約1重量%之光衰減部分,較佳地自約0.05重量%至約0.5重量%之光衰減部分,且甚至更佳地自約0.1重量%至約0.5重量%之光衰減部分。可包括於該組合物中之額外成份包括界面活性劑、增黏劑、抗氧化劑、光引發劑及前述各者之組合。
實施例
以下實施例陳述根據本發明之較佳方法。然而,應理解,此等實施例以說明之方式提供且不應將其中任何內容視為對本發明之總範疇之限制。
實施例1
硬遮罩調配物I
在此程序中,使用來自表1之成份調配顯影劑可溶硬遮罩。將該成份以下文列出之次序添加至燒瓶且在攪動下混合。經由0.1微米膜對該混合物進行過濾以移除顆粒。接著以2,000rpm將該調配物旋轉塗佈於矽晶圓上歷時40秒,繼之以在120℃下歷時40秒及接著在200℃下歷時60秒的兩階段烘焙。量測到所得薄膜之厚度為約75nm±5nm。量測到該薄膜之折射率為在193nm下約1.6±0.05,在248nm下約1.8±0.05,及在365nm下約1.7±0.05。量測到該薄膜之消光係數為在193nm下約0.38±0.05,在248nm下約0.39±0.05,及在365nm下0.42±0.05。
接著將該晶圓浸漬於TMAH中,同時分析器量測該薄膜移除之速率。量測到此薄膜在0.26N之TMAH中之溶解速率為約3奈米/秒±1奈米/秒。
實施例2
藉由雙重曝露-顯影在硬遮罩I上產生之通道
在此實施例中,使用來自實施例1之硬遮罩調配物I製備薄膜堆疊。以2,000rpm將顯影劑可溶硬遮罩旋轉塗佈於矽基板(100mm晶圓)上歷時40秒,繼之以兩步熱固化程序。詳言之,在加熱板上在120℃下歷時40秒且接著在200℃下歷時60秒而對晶圓加熱。經熱定型之顯影劑可溶硬遮罩形成具有75nm厚度之薄膜。
以2-庚酮將用於i線(365nm)光微影(SPR-950,購自Rohm and Haas)之商用光阻稀釋至原始固體含量之五分之一。將經稀釋之光阻旋轉塗覆於該硬遮罩上以形成成像層。接著在90℃下烘焙該晶圓歷時60秒作為塗覆後烘焙(「PAB」)。該成像層具有80nm之厚度。
接下來,以光罩在GCA模型3300TM 步進機(NA=0.43)上將晶圓曝露於具有365nm之波長之輻射,繼之以在110℃下歷時60秒之PEB。接著藉由將該晶圓浸漬於0.26N之TMAH中歷時40秒而顯影該晶圓以移除該成像層及硬遮罩之經曝露部分。接著藉由將PGME(Harcross,St. Louis,MO)攪濁於該晶圓上歷時20秒而移除該成像層。接著以PGME沖洗該晶圓且使其旋轉乾燥。藉由此攪濁程序自該晶圓完全移除該成像層。藉由曝露程序形成之通道保持於該硬遮罩中。
再次將該經稀釋之光阻塗覆於該經圖案化之硬遮罩上。在90℃下烘焙該晶圓歷時60秒作為PAB。接著以自第一曝露程序稍稍移位之光罩在同一GCA模型3300步進機上曝露該晶圓。在110℃下執行PEB歷時60秒。接著以0.26N之TMAH顯影該晶圓歷時40秒。如上文所描述,接著使用有機溶劑(PGME)剝離該成像層。藉由雙重曝露-顯影程序產生的硬遮罩上之通道作為SEM影像在圖3中展示。
實施例3
用於硬遮罩調配物II之含矽聚合物之合成
在此程序中,在3頸圓底燒瓶中將2公克苯乙烯(Aldrich, Milwaukee, WI)、5.6公克甲基丙烯酸(Aldrich,Milwaukee,WI)及12.4公克甲基丙烯醯氧基甲基三甲基矽烷(Gelest, Morrisville, PA)溶解於180公克PGME中。以乾燥氮氣對該燒瓶進行脫氣歷時10至20分鐘。接下來,添加1公克偶氮二異丁腈(AIBN, Aldrich,Milwaukee,WI)作為催化劑,且在室溫下攪拌該混合物直至該催化劑完全溶解。接著將該燒瓶浸漬於80℃之預熱油浴中。以約5 mL/min之速率將氮氣引入至該燒瓶中。將使用水冷卻之冷凝器安裝至該燒瓶之主頸中以用於回流。在持續攪拌下反應16個小時之後,將該燒瓶自油浴移除且在空氣中冷卻至室溫。
接著將該燒瓶之內含物緩慢地注入至過冷(20℃至25℃)之己烷(Aldrich, Milwaukee, WI)中。在己烷中形成沈澱。濾出該沈澱且以額外己烷徹底地沖洗以產生精細白色粉末。所得三聚物藉由凝膠滲透層析(GPC)特性化:具有7,100道爾頓之Mw,且多分散性為1.48。
實施例4
硬遮罩調配物II
在此實施例中,使用在實施例3中合成之聚合物製備硬遮罩調配物。將該成份以下文之表2中列出之次序添加至燒瓶且在攪動下混合直至均勻。接著經由0.1μm端點過濾器對該溶液進行過濾。接下來,以1,500rpm將該調配物旋轉塗佈於矽晶圓上歷時40秒,繼之以在160℃下烘焙歷時60秒。量測到所得硬遮罩薄膜之厚度為約60nm。使用可變角光譜橢圓偏光計(VASE;J. A. Woollam Co.,Inc.)量測該薄膜之光學指數。量測到該薄膜之折射率在193nm下為約1.6。量測到該薄膜之消光係數在193nm下為約0.10。
接著對經固化之硬遮罩薄膜執行耐溶劑性測試。以1,500rpm將硬遮罩調配物II旋轉塗佈於矽晶圓上歷時40秒,繼之以在160℃下烘焙歷時60秒。量測並記錄所得薄膜之初始厚度。接著,將溶劑攪濁於該薄膜上歷時20秒,繼之以以約2,000rpm至3,500rpm旋轉乾燥歷時約20至30秒以移除溶劑。再次量測並記錄厚度。下文之表3展示硬遮罩調配物II具有針對各種溶劑之良好耐溶劑性。
實施例5
藉由單次曝露-顯影以硬遮罩II產生之溝槽及線路
在此程序中,使用在上文之實施例4中描述之硬遮罩調配物II製備薄膜堆疊。首先,將中間層旋轉塗覆於矽晶圓上。該中間層為抗反射塗層(購自Brewer Science Inc.之OptiStackTM SoC110D)。在205℃下烘焙該中間層歷時60秒。該中間層具有厚度320nm,在193nm下折射率為1.42,且消光係數為0.52。接著以1500rpm將硬遮罩調配物II旋轉塗佈於該中間層上面,且在160℃下烘焙歷時60秒。接著將成像層塗覆於該硬遮罩上面。該成像層為市售光阻(TArF Pi-6001,TOK)。接下來,在ASML1700i掃描器上曝露該晶圓,繼之以在110℃下進行PEB歷時60秒。接著藉由浸漬於0.26N之TMAH顯影劑中而顯影該堆疊。該成像層與該硬遮罩一起經圖案化有80nm之溝槽及線路。該圖案在圖4中作為SEM影像而展示。
實施例6
用於硬遮罩調配物III之含矽聚合物之合成
在此實施例中,藉由在3頸圓底燒瓶中混合2.8公克甲基丙烯酸(Aldrich,Milwaukee,WI)、7.2公克甲基丙烯醯氧基甲基三甲基矽烷(Gelest,Morrisville,PA)及90公克PGME而製備用於硬遮罩調配物III之聚合物母液。以乾燥氮氣對該燒瓶脫氣歷時10至20分鐘。接下來,添加0.5公克偶氮二異丁腈作為催化劑,且在室溫下攪拌該混合物直至該催化劑完全溶解。接著將該燒瓶浸漬於75℃之預熱油浴中,且以約5mL/min之速率將氮氣引入至該燒瓶中。將使用水冷卻之冷凝器安裝至該燒瓶之主頸中以用於回流。在持續攪拌下反應16個小時之後,將該燒瓶自油浴移除且在空氣中冷卻至室溫。所得共聚物之分子量由GPC判定為12,600道爾頓。不執行純化。將該燒瓶之內含物用作用於硬遮罩調配物之聚合物母液。
實施例7
硬遮罩調配物III
在此實施例中,使用在實施例6中合成之聚合物母液製備硬遮罩調配物。將該成份以下文之表4中列出之次序添加至燒瓶且在攪動下混合直至均勻。經由0.1μm端點過濾器對該溶液進行過濾。將該調配物旋轉塗佈於矽晶圓上且在160℃下烘焙歷時60秒。量測到所得薄膜之厚度為約65nm。在可變角光譜橢圓偏光計(J. A. Woollam Co.,Inc.)上量測該硬遮罩薄膜之光學指數。判定折射率在193nm下為1.60。量測到該薄膜之消光係數在193nm下為約0.05。
實施例8
用於硬遮罩調配物IV之含矽聚合物之合成
在此程序中,藉由在3頸圓底燒瓶中混合0.944公克苯乙烯(Aldrich,Milwaukee,WI)、3.47公克甲基丙烯酸(Aldrich,Milwaukee,WI)、5.586公克POSS-甲基丙烯酸酯(Hybride Plastics,Fountain Valley,CA)及90公克PGME而製備聚合物母液。接著以乾燥氮氣對該燒瓶脫氣歷時約10至20分鐘。接下來,將0.6公克偶氮二異丁腈添加至該燒瓶作為催化劑。在室溫下使混合在持續攪拌下繼續直至該催化劑完全溶解。接著將該燒瓶浸漬於70℃之預熱油浴中,且以約5mL/min之速率將氮氣引入至該燒瓶中。將使用水冷卻之冷凝器安裝至該燒瓶之主頸中以用於回流。在持續攪拌下反應15個小時之後,將該燒瓶自油浴取出且在空氣中冷卻至室溫。該聚合物之分子量由GPC判定為9,260道爾頓,且多分散性為1.7。不執行純化。將該燒瓶之內含物用作用於硬遮罩調配物IV之母液。
實施例9
硬遮罩調配物IV
在此實施例中,使用來自上文之實施例8之聚合物母液製備硬遮罩調配物IV。將該成份以下文之表5中列出之次序添加至燒瓶且在攪動下混合直至均勻。經由0.1μm端點過濾器對該溶液進行過濾。以1,500rpm將該調配物旋轉塗佈於矽晶圓上且在160℃下烘焙歷時60秒。量測到所得薄膜之厚度為約65nm。在可變角光譜橢圓偏光計上量測該硬遮罩薄膜之光學指數。判定折射率在193nm下為1.60。量測到該薄膜之消光係數在193nm下為約0.15。
實施例10
藉由單次曝露-顯影以硬遮罩IV產生之溝槽及線路
在此程序中,使用在上文之實施例9中描述之硬遮罩調配物IV製備薄膜堆疊。首先,將中間層旋轉塗覆於矽晶圓上。該中間層為抗反射塗層(OptiStackTM SoC110D)。在205℃下烘焙該中間層歷時60秒。該層具有厚度320nm,在193nm下折射率為1.42,且在193nm下消光係數為0.52。接著以1,500rpm將硬遮罩調配物IV旋轉塗佈於該中間層上面,且在160℃下烘焙歷時60秒。接下來,將成像層塗覆於該硬遮罩上面。該成像層為市售光阻(AM2073J,TOK)。接著使用購自AmphibianTM Systems(Rochester,NY)之浸漬式微影微步進機使該晶圓曝露,繼之以在120℃下進行PEB歷時60秒。接著藉由浸漬於0.26N之TMAH顯影劑中而顯影該晶圓堆疊。該光阻與該硬遮罩一起經圖案化有150nm之溝槽及線路。該圖案在圖5中作為SEM影像而展示。
實施例11
交聯劑調配物
在此實施例中,藉由將25.15公克丁二醇單乙烯醚(Aldrich,St Louis,MO)、22.91公克三乙胺(Aldrich,St Louis,MO)及250ml四氫呋喃(「THF」;Aldrich,St. Louis,MO)添加至500ml之2頸燒瓶中來製備在實施例4、7及9中使用之內部乙烯醚交聯劑。該燒瓶配備有攪拌棒、加料漏斗、冷凝器及氮氣入口及出口。將該燒瓶浸漬於冰水浴中,且在氮氣之流動下攪拌該溶液。
接下來,在250ml錐形瓶中將20.00公克1,3,5-均苯三甲醯氯(1,3,5-benzenetricarbonyl trichloride)(Aldrich,St. Louis,MO)溶解於50ml之THF中。將此溶液轉移至500ml之2頸燒瓶上之加料漏斗,且逐滴添加至正攪拌之2-(乙烯氧基)乙醇/三乙胺/THF溶液中歷時約15分鐘,直至加料完成。白色沈澱在接觸點上形成。接著將該燒瓶自冰浴移除,且允許該漿液在燒瓶中達到室溫,此花費大致16個小時。接著加熱該漿液以回流歷時4個小時。將該燒瓶自熱移除且允許其冷卻至室溫。接著使用抽吸過濾裝備過濾該漿液,且使用旋轉式汽化器濃縮以產生黏性黃色液體。
將此液體溶解於100ml二乙醚(Aldrich,St. Louis,MO)中且以25ml部分之含水12.5%氫氧化四甲基銨(TMAH;Aldrich,St. Louis,MO)洗滌兩次。使用分液漏斗萃取醚層且接著使用50ml部分之去離子水洗滌兩次。允許該醚層靜置且被收集。藉由與5.0g活化鹼性氧化鋁混合而乾燥該醚層。攪拌該混合物歷時1個小時且重力過濾該混合物。在旋轉蒸發器中濃縮該澄清黃色液體以產生黃色黏性油。總產量為大致29.28公克(77%產率)。
10...基板
10a...上表面
10b...背側
11...通道及溝槽,或通道或溝槽區域,或通道及/或溝槽圖案,或圖案
11'...通道及溝槽,或通道或溝槽圖案,或圖案
12...蝕刻終止層
14...中間層
16...硬遮罩層
18...成像層
18'...第二成像層
20...基板
20a...上表面或基板表面
20b...背側
21...通道及溝槽
21'...通道及溝槽
22...蝕刻終止層
26...硬遮罩層
28...成像層
28'...第二成像層
圖1(a)至圖1(i)說明本發明之多重曝露程序之一個具體實例;
圖2(a)至圖2(h)說明本發明之多重曝露程序之替代具體實例;
圖3為藉由實施例2之雙重曝露程序於硬遮罩上形成之通道之SEM影像;
圖4展示得自實施例5於成像層及硬遮罩II中形成之溝槽及線路之SEM影像;及
圖5展示得自實施例10於成像層及硬遮罩IV中形成之溝槽及線路之SEM影像。
10...基板
10a...上表面
10b...背側
12...蝕刻終止層
14...中間層
16...硬遮罩層
18...成像層

Claims (26)

  1. 一種形成微電子結構之方法,該方法包含:(a)提供具有表面之基板;(b)將硬遮罩組合物塗覆於該基板表面鄰近處,該硬遮罩組合物包含分散或溶解於溶劑系統中之聚合物及乙烯醚交聯試劑,該聚合物包含以下重複單體: 其中:各R1 個別地選自由-H及烷基組成之群;且各R2 個別地選自由-H及選自由以下各者組成之群之含矽部分組成之群: 其中各R3 選自由烷基組成之群,且各R4 選自由正丁基、第三丁基及烷基組成之群,且其中該R2 中之至少一者為含矽部分;該聚合物進一步包含重複未經取代苯乙烯單體;(c)烘焙該硬遮罩組合物以產生硬遮罩層;(d)塗覆感光組合物以在該硬遮罩層上面形成第一成 像層;(e)將該第一成像層曝露於活化輻射以在該第一成像層中產生經曝露部分;(f)使該第一成像層與顯影劑接觸以便移除該第一成像層之該經曝露部分,其中該接觸亦導致該第一成像層中之該經曝露部分下面的該硬遮罩層之部分之移除,從而產生經圖案化之硬遮罩層;(g)使該第一成像層與有機溶劑接觸以便移除該第一成像層;及(h)在無需將額外硬遮罩組合物塗覆至該基板之情況下,塗覆第二感光組合物以在該經圖案化之硬遮罩層上面形成第二成像層。
  2. 如申請專利範圍第1項之方法,其進一步包含在塗覆該硬遮罩層之前將中間層塗覆至該基板之步驟。
  3. 如申請專利範圍第1項之方法,其中在(a)至(h)期間不發生乾式蝕刻。
  4. 如申請專利範圍第1項之方法,其中在(g)期間該經圖案化之硬遮罩層之圖案保持完整無缺。
  5. 如申請專利範圍第1項之方法,其中該第一成像層為光阻。
  6. 如申請專利範圍第1項之方法,其中該第一成像層具有自約20nm至約200nm之厚度。
  7. 如申請專利範圍第1項之方法,其中在(c)期間使該硬遮罩組合物熱交聯,從而產生經固化之硬遮罩層。
  8. 如申請專利範圍第1項之方法,該硬遮罩層具有在鹼性顯影劑中之初始溶解度,其中:該曝露(e)進一步包含將該硬遮罩層之至少一部分曝露於活化輻射以產生該硬遮罩層之經曝露部分,該硬遮罩層之該經曝露部分具有在鹼性顯影劑中之最終溶解度,該最終溶解度大於該初始溶解度。
  9. 如申請專利範圍第1項之方法,其進一步包含:(i)將該第二成像層曝露於活化輻射以在該第二成像層中產生經曝露部分;(j)使該第二成像層與顯影劑接觸以便移除該經曝露部分,其中該接觸亦導致該第二成像層中之該經曝露部分下面的該硬遮罩層之部分之移除,從而產生經進一步圖案化之硬遮罩層;及(k)使該第二成像層與有機溶劑接觸以便移除該第二成像層。
  10. 如申請專利範圍第9項之方法,其中在(k)期間,在(j)中形成之該經圖案化之硬遮罩層之該圖案保持完整無缺。
  11. 如申請專利範圍第9項之方法,其中在(a)至(k)期間不發生乾式蝕刻。
  12. 如申請專利範圍第9項之方法,其進一步包含:(l)將在(j)中形成之該經圖案化之硬遮罩層之該圖案轉移至該基板。
  13. 如申請專利範圍第12項之方法,其中(l)包含蝕 刻該經圖案化之硬遮罩層及基板。
  14. 如申請專利範圍第12項之方法,其中該經圖案化之硬遮罩層之該圖案包含通道及溝槽。
  15. 一種硬遮罩組合物,其包含分散或溶解於溶劑系統中之聚合物及乙烯醚交聯試劑,該聚合物包含以下重複單體: 其中:各R1 個別地選自由-H及烷基組成之群;且各R2 個別地選自由-H及選自由以下各者組成之群之含矽部分組成之群: 其中各R3 選自由烷基組成之群,且各R4 選自由正丁基、第三丁基及烷基組成之群,且其中該R2 中之至少一者為含矽部分;該聚合物進一步包含重複未經取代苯乙烯單體。
  16. 如申請專利範圍第15項之組合物,其中單體(I)與單體(II)之比率為自約0.2:0.8至約0.8:0.2,其中單體 (I)之R2 為含矽部分。
  17. 如申請專利範圍第15項之組合物,以被視作100重量%之該組合物的總重量計,該組合物包含自約1重量%至約20重量%之聚合物。
  18. 如申請專利範圍第15項之組合物,其進一步包含選自由催化劑、光衰減部分、界面活性劑、黏著促進劑、抗氧化劑、光引發劑及前述各者之組合組成之群之成份。
  19. 一種結構,其包含:基板,其具有表面;硬遮罩層,其鄰近於該表面,該硬遮罩層自包含分散或溶解於溶劑系統中之聚合物及乙烯醚交聯試劑之硬遮罩組合物形成,該聚合物包含以下重複單體: 其中:各R1 個別地選自由-H及烷基組成之群;且各R2 個別地選自由-H及選自由以下各者組成之群之含矽部分組成之群: 其中各R3 選自由烷基組成之群,且各R4 選自由正丁基、第三丁基及烷基組成之群,且其中該R2 中之至少一者為含矽部分,該聚合物進一步包含重複未經取代苯乙烯單體;及鄰近於該硬遮罩層之成像層。
  20. 如申請專利範圍第19項之結構,其中該硬遮罩層為感光的。
  21. 如申請專利範圍第19項之結構,其中該硬遮罩層不溶於選自由TMAH及KOH組成之群之含水鹼性顯影劑中。
  22. 如申請專利範圍第19項之結構,其中該硬遮罩層包含一種圖案。
  23. 如申請專利範圍第22項之結構,其中該圖案選自由通道及溝槽組成之群。
  24. 如申請專利範圍第22項之結構,其中該硬遮罩層實質上不溶於選自由乳酸乙酯、醋酸丙二醇甲醚酯、丙二醇甲醚、丙二醇正丙醚、環己酮、丙酮、γ丁內酯及其混合物組成之群之有機溶劑中。
  25. 如申請專利範圍第19項之結構,其中該基板選自由矽、SiGe、SiO2 、Si3 N4 、鋁、鎢、矽化鎢、砷化鎵、鍺、鉭、氮化鉭、珊瑚、黑金剛石、摻雜磷或硼之玻璃及前述各者之混合物組成之群。
  26. 一種形成微電子結構之方法,該方法包含:(a)提供具有表面之基板;(b)將硬遮罩組合物塗覆於該基板表面鄰近處,該硬 遮罩組合物包含分散或溶解於溶劑系統中之聚合物及乙烯醚交聯試劑,該聚合物包含以下重複單體: 其中:各R1 個別地選自由-H及烷基組成之群;且各R2 個別地選自由-H及選自由以下各者組成之群之含矽部分組成之群: 其中各R3 選自由烷基組成之群,且各R4 選自由正丁基、第三丁基及烷基組成之群,且其中該R2 中之至少一者為含矽部分;該聚合物進一步包含重複未經取代苯乙烯單體;(c)烘焙該硬遮罩組合物以產生硬遮罩層;(d)塗覆感光組合物以在該硬遮罩層上面形成第一成像層;(e)將該第一成像層曝露於活化輻射以在該第一成像層中產生經曝露部分;(f)使該第一成像層與顯影劑接觸以便移除該第一成 像層之該經曝露部分,其中該接觸亦導致該第一成像層中之該經曝露部分下面的該硬遮罩層之部分之移除,從而產生經圖案化之硬遮罩層;(g)使該第一成像層與有機溶劑接觸以便移除該第一成像層;及(h)塗覆感光組合物以在該經圖案化之硬遮罩層上面形成第二成像層,其中在(a)至(h)期間不發生乾式蝕刻。
TW098103192A 2008-01-29 2009-02-02 藉由多暗場曝露使硬遮罩圖案化之軌道上方法 TWI467337B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US2447408P 2008-01-29 2008-01-29
US12/362,268 US8133659B2 (en) 2008-01-29 2009-01-29 On-track process for patterning hardmask by multiple dark field exposures

Publications (2)

Publication Number Publication Date
TW200947133A TW200947133A (en) 2009-11-16
TWI467337B true TWI467337B (zh) 2015-01-01

Family

ID=40899576

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098103192A TWI467337B (zh) 2008-01-29 2009-02-02 藉由多暗場曝露使硬遮罩圖案化之軌道上方法

Country Status (7)

Country Link
US (2) US8133659B2 (zh)
EP (1) EP2245512B1 (zh)
JP (1) JP5357186B2 (zh)
KR (1) KR101647158B1 (zh)
CN (1) CN101971102B (zh)
TW (1) TWI467337B (zh)
WO (1) WO2009097436A2 (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101971102B (zh) 2008-01-29 2012-12-12 布鲁尔科技公司 用来通过多次暗视场曝光对硬掩模进行图案化的在线法
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US20110086312A1 (en) * 2009-10-09 2011-04-14 Dammel Ralph R Positive-Working Photoimageable Bottom Antireflective Coating
SG184020A1 (en) * 2010-03-18 2012-10-30 Univ Texas Silicon-containing block co-polymers, methods for synthesis and use
US8382997B2 (en) * 2010-08-16 2013-02-26 Tokyo Electron Limited Method for high aspect ratio patterning in a spin-on layer
US9012516B2 (en) * 2010-12-21 2015-04-21 Agency For Science, Technology And Research Copolymer, composition and method for modifying rheology
US8808969B2 (en) 2011-04-12 2014-08-19 Brewer Science Inc. Method of making radiation-sensitive sol-gel materials
US8647809B2 (en) * 2011-07-07 2014-02-11 Brewer Science Inc. Metal-oxide films from small molecules for lithographic applications
KR20130015145A (ko) * 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8759225B2 (en) * 2012-09-04 2014-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method to form a CMOS image sensor
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
US8759220B1 (en) * 2013-02-28 2014-06-24 Shin-Etsu Chemical Co., Ltd. Patterning process
WO2014178421A1 (ja) * 2013-05-02 2014-11-06 富士フイルム株式会社 エッチング液およびエッチング液のキット、これをもちいたエッチング方法および半導体基板製品の製造方法
WO2015106261A1 (en) * 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
JP6413333B2 (ja) * 2014-05-13 2018-10-31 Jsr株式会社 パターン形成方法
KR102393273B1 (ko) * 2014-05-22 2022-04-29 오스트레일리안 뉴클리어 사이언스 앤드 테크놀로지 오가니제이션 감마선 이미징
KR20160029900A (ko) * 2014-09-05 2016-03-16 삼성전자주식회사 반도체 소자의 제조 방법
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
WO2016105420A1 (en) * 2014-12-24 2016-06-30 Intel Corporation Photodefinable alignment layer for chemical assisted patterning
JP6524594B2 (ja) * 2016-07-07 2019-06-05 パナソニックIpマネジメント株式会社 素子チップの製造方法
US20180164685A1 (en) * 2016-12-14 2018-06-14 Rohm And Haas Electronic Materials Llc Method using silicon-containing underlayers
US11650506B2 (en) 2019-01-18 2023-05-16 Applied Materials Inc. Film structure for electric field guided photoresist patterning process
KR102053921B1 (ko) * 2019-03-13 2019-12-09 영창케미칼 주식회사 반도체 제조 공정에 있어서 식각 패턴 신규 형성 방법
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
JPWO2023008149A1 (zh) * 2021-07-28 2023-02-02

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050074699A1 (en) * 2003-06-11 2005-04-07 Sun Sam X. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
US20050167394A1 (en) * 2004-01-30 2005-08-04 Wei Liu Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US20070059639A1 (en) * 2005-09-13 2007-03-15 Fuji Photo Film Co., Ltd. Positive resist composition and pattern-forming method using the same

Family Cites Families (217)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4175175A (en) * 1963-07-16 1979-11-20 Union Carbide Corporation Polyarylene polyethers
USB392136I5 (zh) * 1964-08-26
US3561962A (en) * 1966-09-01 1971-02-09 Xerox Corp Method of image reproduction by photo-polymerization and blushing
US3629036A (en) * 1969-02-14 1971-12-21 Shipley Co The method coating of photoresist on circuit boards
US3682641A (en) * 1970-03-23 1972-08-08 Du Pont Photoresist developer extender baths containing polyoxyalkylene ethers and esters and process of use
US3615615A (en) * 1970-04-13 1971-10-26 Eastman Kodak Co Photographic emulsions including reactive quaternary salts
US3833374A (en) * 1970-07-14 1974-09-03 Metalphoto Corp Coloring of anodized aluminum
US3894163A (en) * 1971-03-08 1975-07-08 Western Electric Co Additives to negative photoresists which increase the sensitivity thereof
US3856751A (en) * 1972-06-14 1974-12-24 Eastman Kodak Co Diacid-xanthylium ion polyester and photographic element comprised thereof
US3873361A (en) * 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US3976524A (en) * 1974-06-17 1976-08-24 Ibm Corporation Planarization of integrated circuit surfaces through selective photoresist masking
CA1077787A (en) * 1975-11-21 1980-05-20 National Aeronautics And Space Administration Abrasion resistant coatings for plastic surfaces
DE2861696D1 (en) * 1977-09-07 1982-04-29 Ici Plc Thermoplastic aromatic polyetherketones, a method for their preparation and their application as electrical insulants
JPS5471579A (en) * 1977-11-17 1979-06-08 Matsushita Electric Ind Co Ltd Electron beam resist
US4244799A (en) 1978-09-11 1981-01-13 Bell Telephone Laboratories, Incorporated Fabrication of integrated circuits utilizing thick high-resolution patterns
US4244798A (en) * 1979-10-29 1981-01-13 General Motors Corporation Exhaust electrode process for exhaust gas oxygen sensor
US4369090A (en) * 1980-11-06 1983-01-18 Texas Instruments Incorporated Process for etching sloped vias in polyimide insulators
US4430419A (en) * 1981-01-22 1984-02-07 Nippon Telegraph & Telephone Public Corporation Positive resist and method for manufacturing a pattern thereof
US4397722A (en) * 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4526856A (en) * 1983-05-23 1985-07-02 Allied Corporation Low striation positive diazoketone resist composition with cyclic ketone(s) and aliphatic alcohol as solvents
US4996247A (en) * 1984-02-10 1991-02-26 General Electric Company Enhancing color stability to sterilizing radiation of polymer compositions
US4568631A (en) 1984-04-30 1986-02-04 International Business Machines Corporation Process for delineating photoresist lines at pattern edges only using image reversal composition with diazoquinone
JPS60262150A (ja) * 1984-06-11 1985-12-25 Nippon Telegr & Teleph Corp <Ntt> 三層レジスト用中間層材料及びそれを用いた三層レジストパタン形成方法
DE3425063A1 (de) 1984-07-07 1986-02-06 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt Maske fuer die roentgenlithographie
US4578328A (en) * 1984-07-09 1986-03-25 General Electric Company Photopatternable polyimide compositions and method for making
US4683024A (en) * 1985-02-04 1987-07-28 American Telephone And Telegraph Company, At&T Bell Laboratories Device fabrication method using spin-on glass resins
US4732841A (en) * 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
US4742152A (en) * 1986-05-27 1988-05-03 United Technologies Corporation High temperature fluorinated polyimides
US5091047A (en) * 1986-09-11 1992-02-25 National Semiconductor Corp. Plasma etching using a bilayer mask
US4808513A (en) * 1987-04-06 1989-02-28 Morton Thiokol, Inc. Method of developing a high contrast, positive photoresist using a developer containing alkanolamine
US4927736A (en) * 1987-07-21 1990-05-22 Hoechst Celanese Corporation Hydroxy polyimides and high temperature positive photoresists therefrom
JP2557898B2 (ja) * 1987-07-31 1996-11-27 株式会社東芝 半導体装置
US5137780A (en) * 1987-10-16 1992-08-11 The Curators Of The University Of Missouri Article having a composite insulative coating
US4803147A (en) * 1987-11-24 1989-02-07 Hoechst Celanese Corporation Photosensitive polyimide polymer compositions
US4847517A (en) * 1988-02-16 1989-07-11 Ltv Aerospace & Defense Co. Microwave tube modulator
US4845265A (en) * 1988-02-29 1989-07-04 Allied-Signal Inc. Polyfunctional vinyl ether terminated ester oligomers
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
KR910000832A (ko) * 1988-06-28 1991-01-30 랄프 챨스 메더스트 인터레벨 유전체 및 기질 피복물용의 저유전상수 및 저수분흡수율을 갖는 폴리이미드 및 코폴리이미드
US5304626A (en) * 1988-06-28 1994-04-19 Amoco Corporation Polyimide copolymers containing 3,3',4,4'-tetracarboxybiphenyl dianhydride (BPDA) moieties
DE3835737A1 (de) * 1988-10-20 1990-04-26 Ciba Geigy Ag Positiv-fotoresists mit erhoehter thermischer stabilitaet
US5024922A (en) * 1988-11-07 1991-06-18 Moss Mary G Positive working polyamic acid/imide and diazoquinone photoresist with high temperature pre-bake
US5169494A (en) * 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US5057399A (en) * 1989-03-31 1991-10-15 Tony Flaim Method for making polyimide microlithographic compositions soluble in alkaline media
US5198153A (en) * 1989-05-26 1993-03-30 International Business Machines Corporation Electrically conductive polymeric
US5246782A (en) * 1990-12-10 1993-09-21 The Dow Chemical Company Laminates of polymers having perfluorocyclobutane rings and polymers containing perfluorocyclobutane rings
KR950011927B1 (ko) * 1989-12-07 1995-10-12 가부시끼가이샤 도시바 감광성 조성물 및 수지봉지형 반도체장치
US5132774A (en) * 1990-02-05 1992-07-21 Mitsubishi Denki Kabushiki Kaisha Semiconductor device including interlayer insulating film
US5126231A (en) * 1990-02-26 1992-06-30 Applied Materials, Inc. Process for multi-layer photoresist etching with minimal feature undercut and unchanging photoresist load during etch
US5066566A (en) * 1990-07-31 1991-11-19 At&T Bell Laboratories Resist materials
JP3041972B2 (ja) * 1991-01-10 2000-05-15 富士通株式会社 半導体装置の製造方法
JPH0613290A (ja) * 1991-10-21 1994-01-21 Dainippon Printing Co Ltd 位相シフトフォトマスクを用いたパターン転写方法
EP0580108B1 (en) * 1992-07-22 1997-03-12 Asahi Kasei Kogyo Kabushiki Kaisha A photosensitive polyimide composition
US5370969A (en) * 1992-07-28 1994-12-06 Sharp Kabushiki Kaisha Trilayer lithographic process
JPH06230574A (ja) * 1993-02-05 1994-08-19 Fuji Photo Film Co Ltd ポジ型感光性組成物
US5443941A (en) * 1993-03-01 1995-08-22 National Semiconductor Corporation Plasma polymer antireflective coating
US5397684A (en) * 1993-04-27 1995-03-14 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
JPH07183194A (ja) * 1993-12-24 1995-07-21 Sony Corp 多層レジストパターン形成方法
US5691101A (en) * 1994-03-15 1997-11-25 Kabushiki Kaisha Toshiba Photosensitive composition
SG54108A1 (en) 1994-03-31 1998-11-16 Catalysts & Chem Ind Co Coating solution for formation of coating and use thereof
JPH07283112A (ja) * 1994-04-08 1995-10-27 Hitachi Ltd レジストパターン形成方法およびその装置
US5667940A (en) 1994-05-11 1997-09-16 United Microelectronics Corporation Process for creating high density integrated circuits utilizing double coating photoresist mask
JP3033443B2 (ja) * 1994-06-29 2000-04-17 信越化学工業株式会社 反射防止膜材料
US5607824A (en) * 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JPH08110638A (ja) * 1994-10-13 1996-04-30 Hitachi Chem Co Ltd 感光性樹脂組成物およびレジスト像の製造法
US5688987A (en) * 1994-11-09 1997-11-18 Brewer Science, Inc. Non-subliming Mid-UV dyes and ultra-thin organic arcs having differential solubility
US5554473A (en) * 1994-11-23 1996-09-10 Mitsubishi Chemical America, Inc. Photoreceptor having charge transport layers containing a copolycarbonate and layer containing same
US5542971A (en) * 1994-12-01 1996-08-06 Pitney Bowes Bar codes using luminescent invisible inks
JPH08250400A (ja) * 1995-03-14 1996-09-27 Mitsubishi Electric Corp シリコーン樹脂の除去法
US5545588A (en) * 1995-05-05 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of using disposable hard mask for gate critical dimension control
EP0753540B1 (en) 1995-07-12 2003-06-11 Mitsubishi Engineering-Plastics Corporation Polycarbonate resin composition
US5968324A (en) * 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
KR100223329B1 (ko) * 1995-12-29 1999-10-15 김영환 반도체 소자의 미세 패턴 제조방법
KR100206597B1 (ko) * 1995-12-29 1999-07-01 김영환 반도체 장치의 미세패턴 제조방법
EP0824719B1 (en) * 1996-03-06 2001-12-05 Clariant Finance (BVI) Limited A process for obtaining a lift-off imaging profile
US5633210A (en) * 1996-04-29 1997-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming damage free patterned layers adjoining the edges of high step height apertures
US5807790A (en) * 1996-05-07 1998-09-15 Advanced Micro Devices, Inc. Selective i-line BARL etch process
US5861231A (en) * 1996-06-11 1999-01-19 Shipley Company, L.L.C. Copolymers and photoresist compositions comprising copolymer resin binder component
US5739254A (en) * 1996-08-29 1998-04-14 Xerox Corporation Process for haloalkylation of high performance polymers
KR100194813B1 (ko) * 1996-12-05 1999-06-15 정선종 멀티채널/멀티캐스트 스위칭 기능을 갖는 패킷 스위칭장치 및 이를 이용한 패킷 스위칭 시스템
US5952448A (en) * 1996-12-31 1999-09-14 Korea Research Institute Of Chemical Technology Stable precursor of polyimide and a process for preparing the same
TW432257B (en) * 1997-01-31 2001-05-01 Shinetsu Chemical Co High molecular weight silicone compound, chemically amplified positive resist composition and patterning method
US6232386B1 (en) * 1997-02-26 2001-05-15 Integument Technologies, Inc. Polymer composites having an oxyhalo surface and methods for making same
JP3766165B2 (ja) 1997-03-07 2006-04-12 株式会社ニコン 画像形成方法及び感光材料
JP4350168B2 (ja) 1997-03-07 2009-10-21 コーニング インコーポレイテッド チタニアドープ溶融シリカの製造方法
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
US6428894B1 (en) 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6054254A (en) * 1997-07-03 2000-04-25 Kabushiki Kaisha Toshiba Composition for underlying film and method of forming a pattern using the film
JP3473887B2 (ja) * 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
US6124077A (en) * 1997-09-05 2000-09-26 Kansai Paint Co., Ltd. Visible light-sensitive compositions and pattern formation process
KR100566042B1 (ko) * 1997-10-07 2006-05-25 간사이 페인트 가부시키가이샤 포지티브형전착포토레지스트조성물및패턴의제조방법
US6218292B1 (en) 1997-12-18 2001-04-17 Advanced Micro Devices, Inc. Dual layer bottom anti-reflective coating
US6338936B1 (en) 1998-02-02 2002-01-15 Taiyo Ink Manufacturing Co., Ltd. Photosensitive resin composition and method for formation of resist pattern by use thereof
US5998569A (en) * 1998-03-17 1999-12-07 International Business Machines Corporation Environmentally stable optical filter materials
US6156665A (en) * 1998-04-13 2000-12-05 Lucent Technologies Inc. Trilayer lift-off process for semiconductor device metallization
US6451498B1 (en) 1998-05-28 2002-09-17 Atotech Deutschland Gmbh Photosensitive composition
JP3673399B2 (ja) 1998-06-03 2005-07-20 クラリアント インターナショナル リミテッド 反射防止コーティング用組成物
US6063547A (en) * 1998-06-11 2000-05-16 Chartered Semiconductor Manufacturing, Ltd. Physical vapor deposition poly-p-phenylene sulfide film as a bottom anti-reflective coating on polysilicon
US6121098A (en) * 1998-06-30 2000-09-19 Infineon Technologies North America Corporation Semiconductor manufacturing method
US6976904B2 (en) 1998-07-09 2005-12-20 Li Family Holdings, Ltd. Chemical mechanical polishing slurry
US6103456A (en) * 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6071662A (en) * 1998-07-23 2000-06-06 Xerox Corporation Imaging member with improved anti-curl backing layer
TWI250379B (en) 1998-08-07 2006-03-01 Az Electronic Materials Japan Chemical amplified radiation-sensitive composition which contains onium salt and generator
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6380611B1 (en) 1998-09-03 2002-04-30 Micron Technology, Inc. Treatment for film surface to reduce photo footing
US6410209B1 (en) 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
US6361833B1 (en) 1998-10-28 2002-03-26 Henkel Corporation Composition and process for treating metal surfaces
US6127070A (en) * 1998-12-01 2000-10-03 Advanced Micro Devices, Inc. Thin resist with nitride hard mask for via etch application
US6165695A (en) * 1998-12-01 2000-12-26 Advanced Micro Devices, Inc. Thin resist with amorphous silicon hard mask for via etch application
US6162587A (en) 1998-12-01 2000-12-19 Advanced Micro Devices Thin resist with transition metal hard mask for via etch application
US6306560B1 (en) * 1998-12-02 2001-10-23 Advanced Micro Devices, Inc. Ultra-thin resist and SiON/oxide hard mask for metal etch
US6171763B1 (en) * 1998-12-02 2001-01-09 Advanced Micro Devices, Inc. Ultra-thin resist and oxide/nitride hard mask for metal etch
US6156658A (en) * 1998-12-02 2000-12-05 Advanced Micro Devices, Inc. Ultra-thin resist and silicon/oxide hard mask for metal etch
US6020269A (en) * 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6200907B1 (en) * 1998-12-02 2001-03-13 Advanced Micro Devices, Inc. Ultra-thin resist and barrier metal/oxide hard mask for metal etch
US6309926B1 (en) 1998-12-04 2001-10-30 Advanced Micro Devices Thin resist with nitride hard mask for gate etch application
US6046112A (en) * 1998-12-14 2000-04-04 Taiwan Semiconductor Manufacturing Company Chemical mechanical polishing slurry
US6207238B1 (en) * 1998-12-16 2001-03-27 Battelle Memorial Institute Plasma enhanced chemical deposition for high and/or low index of refraction polymers
US6251562B1 (en) * 1998-12-23 2001-06-26 International Business Machines Corporation Antireflective polymer and method of use
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
US6136511A (en) * 1999-01-20 2000-10-24 Micron Technology, Inc. Method of patterning substrates using multilayer resist processing
US6136679A (en) * 1999-03-05 2000-10-24 Taiwan Semiconductor Manufacturing Company Gate micro-patterning process
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6458509B1 (en) 1999-04-30 2002-10-01 Toagosei Co., Ltd. Resist compositions
US6616692B1 (en) 1999-04-30 2003-09-09 Advanced Medical Optics, Inc. Intraocular lens combinations
US6890448B2 (en) * 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6110653A (en) * 1999-07-26 2000-08-29 International Business Machines Corporation Acid sensitive ARC and method of use
JP4512217B2 (ja) 1999-08-20 2010-07-28 富士フイルム株式会社 アリールシラン化合物、発光素子材料およびそれを使用した発光素子
AU6790000A (en) 1999-08-26 2001-03-19 Brewer Science, Inc. Improved fill material for dual damascene processes
US6852473B2 (en) 2000-01-12 2005-02-08 Infineon Technologies Richmond, Lp Anti-reflective coating conformality control
US20020009599A1 (en) 2000-01-26 2002-01-24 Welch Cletus N. Photochromic polyurethane coating and articles having such a coating
TW439118B (en) 2000-02-10 2001-06-07 Winbond Electronics Corp Multilayer thin photoresist process
CA2400157A1 (en) 2000-02-22 2001-08-30 Ram W. Sabnis Organic polymeric antireflective coatings deposited by chemical vapor deposition
US6461717B1 (en) 2000-04-24 2002-10-08 Shipley Company, L.L.C. Aperture fill
JP2001338926A (ja) 2000-05-29 2001-12-07 Sony Corp 半導体装置の製造方法
JP2001344732A (ja) 2000-05-29 2001-12-14 Fujitsu Ltd 磁気記録媒体用基板及びその製造方法、並びに磁気記録媒体の評価方法
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
KR100917101B1 (ko) 2000-08-04 2009-09-15 도요 보세키 가부시키가이샤 플렉시블 금속적층체 및 그 제조방법
CN1316315C (zh) 2000-09-19 2007-05-16 希普利公司 抗反射组合物
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
KR100669862B1 (ko) * 2000-11-13 2007-01-17 삼성전자주식회사 반도체 장치의 미세패턴 형성방법
US20030054117A1 (en) 2001-02-02 2003-03-20 Brewer Science, Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6444582B1 (en) 2001-02-05 2002-09-03 United Microelectronics Corp. Methods for removing silicon-oxy-nitride layer and wafer surface cleaning
US6852828B2 (en) 2001-02-16 2005-02-08 Medtronic, Inc. Poly amic acid system for polyimides
US6309955B1 (en) 2001-02-16 2001-10-30 Advanced Micro Devices, Inc. Method for using a CVD organic barc as a hard mask during via etch
US6383952B1 (en) 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
US6680252B2 (en) 2001-05-15 2004-01-20 United Microelectronics Corp. Method for planarizing barc layer in dual damascene process
AU2002312945A1 (en) 2001-05-29 2002-12-09 Essilor International Compagnie Generale D'optique Method for forming on-site a coated optical article
US6605545B2 (en) 2001-06-01 2003-08-12 United Microelectronics Corp. Method for forming hybrid low-K film stack to avoid thermal stress effect
US6458705B1 (en) 2001-06-06 2002-10-01 United Microelectronics Corp. Method for forming via-first dual damascene interconnect structure
US6548387B2 (en) 2001-07-20 2003-04-15 United Microelectronics Corporation Method for reducing hole defects in the polysilicon layer
US6624068B2 (en) 2001-08-24 2003-09-23 Texas Instruments Incorporated Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US6586560B1 (en) 2001-09-18 2003-07-01 Microchem Corp. Alkaline soluble maleimide-containing polymers
US20040010062A1 (en) 2001-09-27 2004-01-15 Byeong-In Ahn Polyimide copolymer and methods for preparing the same
KR100465866B1 (ko) 2001-10-26 2005-01-13 주식회사 하이닉스반도체 유기반사방지막 조성물 및 그의 제조방법
US6916537B2 (en) 2001-11-01 2005-07-12 Transitions Optical Inc. Articles having a photochromic polymeric coating
JP2003162065A (ja) 2001-11-26 2003-06-06 Mitsubishi Electric Corp 露光装置、露光マスク、露光方法、表示装置及び電子部品
JP3773445B2 (ja) 2001-12-19 2006-05-10 セントラル硝子株式会社 含フッ素脂環族ジアミンおよびこれを用いた重合体
US20030215736A1 (en) 2002-01-09 2003-11-20 Oberlander Joseph E. Negative-working photoimageable bottom antireflective coating
US7070914B2 (en) 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US7261997B2 (en) 2002-01-17 2007-08-28 Brewer Science Inc. Spin bowl compatible polyamic acids/imides as wet developable polymer binders for anti-reflective coatings
US6488509B1 (en) 2002-01-23 2002-12-03 Taiwan Semiconductor Manufacturing Company Plug filling for dual-damascene process
KR20030068729A (ko) 2002-02-16 2003-08-25 삼성전자주식회사 반사 방지용 광흡수막 형성 조성물 및 이를 이용한 반도체소자의 패턴 형성 방법
US6911293B2 (en) 2002-04-11 2005-06-28 Clariant Finance (Bvi) Limited Photoresist compositions comprising acetals and ketals as solvents
US6852474B2 (en) 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6849293B2 (en) 2002-05-02 2005-02-01 Institute Of Microelectronics Method to minimize iso-dense contact or via gap filling variation of polymeric materials in the spin coat process
US7265431B2 (en) 2002-05-17 2007-09-04 Intel Corporation Imageable bottom anti-reflective coating for high resolution lithography
US6740469B2 (en) 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US6872506B2 (en) 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
US6638853B1 (en) 2002-07-03 2003-10-28 Taiwan Semiconductor Manufacturing Co. Ltd. Method for avoiding photoresist resist residue on semioconductor feature sidewalls
KR20040009384A (ko) 2002-07-23 2004-01-31 삼성전자주식회사 포토레지스트용 현상액에 용해되는 유기 바닥 반사 방지조성물과 이를 이용한 사진 식각 공정
US7108958B2 (en) 2002-07-31 2006-09-19 Brewer Science Inc. Photosensitive bottom anti-reflective coatings
US6566280B1 (en) 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6821689B2 (en) 2002-09-16 2004-11-23 Numerical Technologies Using second exposure to assist a PSM exposure in printing a tight space adjacent to large feature
US20040077173A1 (en) 2002-10-17 2004-04-22 Swaminathan Sivakumar Using water soluble bottom anti-reflective coating
JP4433160B2 (ja) * 2003-01-30 2010-03-17 信越化学工業株式会社 高分子化合物、レジスト材料及びパターン形成方法
US7135269B2 (en) * 2003-01-30 2006-11-14 Shin-Etsu Chemical Co., Ltd. Polymer, resist composition and patterning process
US7507783B2 (en) * 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
KR100487948B1 (ko) 2003-03-06 2005-05-06 삼성전자주식회사 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
KR100539494B1 (ko) 2003-05-02 2005-12-29 한국전자통신연구원 전기광학 및 비선형 광학 고분자로서의 곁사슬형폴리아미드 에스테르, 그것의 제조 방법 및 그것으로부터제조된 필름
US7235348B2 (en) 2003-05-22 2007-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Water soluble negative tone photoresist
ATE377036T1 (de) 2003-05-23 2007-11-15 Dow Corning Siloxan-harz basierte anti- reflektionsbeschichtung mit hoher nassätzgeschwindigkeit
US7172849B2 (en) * 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
US7074527B2 (en) 2003-09-23 2006-07-11 Freescale Semiconductor, Inc. Method for fabricating a mask using a hardmask and method for making a semiconductor device using the same
US7270931B2 (en) * 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
JP5368674B2 (ja) 2003-10-15 2013-12-18 ブルーワー サイエンス アイ エヌ シー. 現像液に可溶な材料および現像液に可溶な材料をビアファーストデュアルダマシン適用において用いる方法
US20050214674A1 (en) 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US20070207406A1 (en) 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20050255410A1 (en) 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US7012028B2 (en) 2004-07-26 2006-03-14 Texas Instruments Incorporated Transistor fabrication methods using reduced width sidewall spacers
JP4433933B2 (ja) * 2004-08-13 2010-03-17 Jsr株式会社 感放射線性組成物およびハードマスク形成材料
KR100639680B1 (ko) 2005-01-17 2006-10-31 삼성전자주식회사 반도체 소자의 미세 패턴 형성방법
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
KR100720243B1 (ko) * 2005-06-22 2007-05-22 주식회사 하이닉스반도체 이중 노광 공정을 이용한 미세 패턴 형성방법
US20070018286A1 (en) * 2005-07-14 2007-01-25 Asml Netherlands B.V. Substrate, lithographic multiple exposure method, machine readable medium
CN1896869A (zh) * 2005-07-14 2007-01-17 Asml荷兰有限公司 基底、光刻多次曝光方法和可机读介质
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7824842B2 (en) * 2005-10-05 2010-11-02 Asml Netherlands B.V. Method of patterning a positive tone resist layer overlaying a lithographic substrate
KR100740611B1 (ko) * 2005-10-12 2007-07-18 삼성전자주식회사 탑 코팅 막용 고분자, 탑 코팅 용액 조성물 및 이를 이용한이머젼 리소그라피 공정
KR20070087356A (ko) 2006-02-23 2007-08-28 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US20070212649A1 (en) 2006-03-07 2007-09-13 Asml Netherlands B.V. Method and system for enhanced lithographic patterning
US7767385B2 (en) 2006-03-09 2010-08-03 International Business Machines Corporation Method for lithography for optimizing process conditions
JP5112733B2 (ja) 2006-04-11 2013-01-09 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. フォトリソグラフィ用コーティング組成物
US7429533B2 (en) 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
JP2007311508A (ja) * 2006-05-17 2007-11-29 Nikon Corp 微細パターン形成方法及びデバイス製造方法
US7435537B2 (en) * 2006-06-21 2008-10-14 International Business Machines Corporation Fluorinated half ester of maleic anhydride polymers for dry 193 nm top antireflective coating application
US7914974B2 (en) 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
JP4826805B2 (ja) * 2006-08-30 2011-11-30 信越化学工業株式会社 フォトレジスト下層膜材料、フォトレジスト下層膜基板及びパターン形成方法
US7862985B2 (en) * 2006-09-22 2011-01-04 Tokyo Electron Limited Method for double patterning a developable anti-reflective coating
US8168372B2 (en) * 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
TWI374478B (en) 2007-02-13 2012-10-11 Rohm & Haas Elect Mat Electronic device manufacture
TWI493598B (zh) 2007-10-26 2015-07-21 Applied Materials Inc 利用光阻模板遮罩的倍頻方法
CN101971102B (zh) 2008-01-29 2012-12-12 布鲁尔科技公司 用来通过多次暗视场曝光对硬掩模进行图案化的在线法
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050074699A1 (en) * 2003-06-11 2005-04-07 Sun Sam X. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
US20050167394A1 (en) * 2004-01-30 2005-08-04 Wei Liu Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US20070059639A1 (en) * 2005-09-13 2007-03-15 Fuji Photo Film Co., Ltd. Positive resist composition and pattern-forming method using the same

Also Published As

Publication number Publication date
WO2009097436A2 (en) 2009-08-06
WO2009097436A3 (en) 2009-10-15
US20110223524A1 (en) 2011-09-15
US20090191474A1 (en) 2009-07-30
EP2245512A4 (en) 2011-08-10
US8133659B2 (en) 2012-03-13
EP2245512B1 (en) 2019-09-11
JP5357186B2 (ja) 2013-12-04
EP2245512A2 (en) 2010-11-03
CN101971102A (zh) 2011-02-09
CN101971102B (zh) 2012-12-12
KR20100110363A (ko) 2010-10-12
US8415083B2 (en) 2013-04-09
JP2011514662A (ja) 2011-05-06
TW200947133A (en) 2009-11-16
KR101647158B1 (ko) 2016-08-09

Similar Documents

Publication Publication Date Title
TWI467337B (zh) 藉由多暗場曝露使硬遮罩圖案化之軌道上方法
KR101697790B1 (ko) 마이크로리소그래피용 감광성 하드마스크
JP6109164B2 (ja) リソグラフィー用途用の小分子由来の金属酸化物フィルム
TWI304519B (en) Negative-working photoimageable bottom antireflective coating
EP2399169B1 (en) Acid-sensitive, developer-soluble bottom anti-reflective coatings
JP5822358B2 (ja) 縮合芳香環を含む反射防止コーティング組成物
EP1934654B1 (en) Method of forming a patterned material feature on a substrate utilising a top antireflective coating composition with low refractive index at 193nm radiation wavelength
TWI617890B (zh) 包含聚合熱酸產生劑之組合物及其方法
KR101697789B1 (ko) 감광성이며 현상액-용해성인 193-nm 리소그래피를 위한 이중층 바닥 반사-방지 코팅을 사용하여 마이크로일렉트로닉 구조물을 제작하는 방법
CN102439069B (zh) 用于反向图案化的方法和材料
WO2004076465A2 (en) Silyl acrylate and poss compositions used in microlithographic processes
JP2023138989A (ja) フォトレジストパターントリミング組成物及びパターン形成方法
JP4045430B2 (ja) パターン形成方法及びパターン形成材料
TW200821758A (en) Upper layer film forming composition and process for forming photoresist pattern
JP2009265505A (ja) パターン形成方法及び微細パターン形成用樹脂組成物