KR20100110363A - 다중 다크 필드 노출에 의한, 하드마스크 패턴화를 위한 온-트랙 공정 - Google Patents

다중 다크 필드 노출에 의한, 하드마스크 패턴화를 위한 온-트랙 공정 Download PDF

Info

Publication number
KR20100110363A
KR20100110363A KR1020107017736A KR20107017736A KR20100110363A KR 20100110363 A KR20100110363 A KR 20100110363A KR 1020107017736 A KR1020107017736 A KR 1020107017736A KR 20107017736 A KR20107017736 A KR 20107017736A KR 20100110363 A KR20100110363 A KR 20100110363A
Authority
KR
South Korea
Prior art keywords
layer
hardmask
group
imaging layer
composition
Prior art date
Application number
KR1020107017736A
Other languages
English (en)
Other versions
KR101647158B1 (ko
Inventor
샘 엑스. 선
하오 쉬
토니 디. 플레임
Original Assignee
브레우어 사이언스 인코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브레우어 사이언스 인코포레이션 filed Critical 브레우어 사이언스 인코포레이션
Publication of KR20100110363A publication Critical patent/KR20100110363A/ko
Application granted granted Critical
Publication of KR101647158B1 publication Critical patent/KR101647158B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • G03F7/0758Macromolecular compounds containing Si-O, Si-C or Si-N bonds with silicon- containing groups in the side chains
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Materials For Photolithography (AREA)

Abstract

본 발명은 다중 노출-현상 공정을 이용하여 현상제-용해성 하드마스크 레이어상에 비아 또는 트렌치 구조물을 형성하는 방법을 제공한다. 하드마스크 레이어는 이미징 레이어가 현상되는 동안 패턴화된다. 이미징 레이어가 유기 용매를 사용하여 스트리핑된 후, 상기 하드마스크는 이후의 노출-현상 공정을 이용하여 더욱 패턴화될 수 있다. 결국, 패턴이 식각 공정을 이용하여 기판에 전사될 수 있다.

Description

다중 다크 필드 노출에 의한, 하드마스크 패턴화를 위한 온-트랙 공정 {ON-TRACK PROCESS FOR PATTERNING HARDMASK BY MULTIPLE DARK FIELD EXPOSURES}
관련출원
본 출원은 2008년 1월 29일에 출원되고 발명의 명칭이 ON-TRACK PROCESS FOR PATTERNING HARDMASK BY MULTIPLE DARK FIELD EXPOSURES인 가출원 제61/024,474호를 우선권으로 주장하며, 상기 특허는 본 명세서에 참조문헌으로 수록된다.
기술분야
본 발명은 일반적으로 마이크로리소그래피에 의하여, 더욱 구체적으로, 피치(pitch) 증폭(multiplication)을 위한 다중 노출에 의하여 반도체 구조물을 제작하는 공정에 관한 것이다.
마이크로전자 제조의 진보는 마이크로리소그래피 공정에 의하여 제조된 반도체 구조물의 밀도와 치수에 의하여 나타난다. 높은 밀도와 작은 임계치수(critical dimension, CD)에 대한 요구는 포토리소그래피 기술을 끊임없이 그 한계까지 밀어붙이고 있다. 포토리소그래피 공정의 한계에서, 완화된 피치를 가지는 피처(feature)는 큰 피치, 예를 들어 1:1 라인/스페이스(line/space)를 가지는 피처보다 더 작은 CD로써 생성될 수 있다. 이러한 공정에서 더 작은 CD에 상충하는 것은 피처 밀도의 감소이다. 원리적으로, 밀도 손실은 노출(exposure) 공정을 반복하여 보상될 수 있다.
더 작은 CD의 가능성이 주로 다음의 두 가지 이유로 인하여 최근까지 진지하게 연구되지 않았다: (1) 다중 노출 공정의 높은 비용과 큰 복잡성, 및 (2) CD 감소를 위한 다른 선택사항의 이용 가능성. 지난 10 년 동안, 마이크로전자 산업은 더 작은 CD를 위하여 주로 더 짧은 복사선 파장의 노출 장비에 의존했다. 포토리소그래피 기술은 i-line(365 ㎚)로부터 KrF(248 ㎚) 및 ArF(193 ㎚)까지 성공적으로 발전해 왔다.
1:1 피치를 가지는 45-㎚ 라인/스페이스의 패턴은 193-㎚ 액침 포토리소그래피를 사용하여 신뢰성있게 프린트될 수 있다. 그러나, 액침 포토리소그래피가 해상도 한계에 빠르게 다가감에 따라, 포토리소그래피 공정을 개선하려는 경향이 높은 개구수(numerical aperture, NA) 장비 및/또는 액침 유체의 사용을 포함한다. 높은 NA 능력(>1.0)을 가지는 영상 장비를 단독으로 사용하거나 액침과 함께 사용하는 것은 더 작은 임계치수와 더 큰 밀도를 가지는 패턴의 더 큰 해상도를 획득하기 위한 방법을 제공한다. 이러한 진보는 이미징 레이어에 전사될 수 있는 많은 양의 빛으로 인하여 가능하다. 그러나, 이러한 선택사항은 상당이 비용이 많이 들고, 새로운 장비 세트를 필요로 한다.
더 최근에는, 193 ㎚보다 짧은 노출 파장, 예컨대 13.5 ㎚가 이용 가능할 때까지는, 다음의 프린팅 노드를 위한 다중 노출 기술이 유일하게 실행 가능한 선택사항이 되었다. 다중 노출 기술을 위한 많은 공정 설계가 연구되고 보고되었다. 이러한 설계의 대부분은 브라이트 필드(bright field) 마스크를 사용한다. 다시 말해서, 라인과 같은 포토레지스트의 단지 일부분만이 노출로부터 보호되는 한편, 레지스트의 나머지 부분은 노출된다. 이후 포토레지스트가 현상제와 접촉되어 레지스트의 노출된 부분이 제거되고, 이로써 포토레지스트의 노출되지 않은 부분(즉, 라인)만이 남아 하드마스크 레이어 위에 잔존한다. 포토레지스트의 노출되지 않은 부분 아래의 영역을 제외하고 하드마스크 레이어를 식각해서 패턴이 하드마스크에 옮겨진다. 상기 공정은 원하는 패턴을 얻을 때까지 반복된다. 전통적인 브라이트 필드 공정이 가진 한 단점은 제2 노출-현상-식각 공정 전에 하드마스크가 기판에 다시 도포되어야 한다는 것이다. 이 추가적인 단계는 전체 비용뿐만 아니라 가공 시간을 증가시킨다. 비아 또는 트렌치와 같은 피처를 형성하기 위하여 다크 필드(dark field) 마스크를 사용하는 공정에는 관심이 거의 기울여지지 않았다. 다크 필드 노출 공정에서는, 포토레지스트의 큰 부분이 노출로부터 보호되는 한편, 포토레지스트의 단지 작은 부분만이 노출되고 현상 후 제거된다. 브라이트 필드와 마찬가지로, 이후 식각 공정을 사용하여 패턴이 하드마스크에 전사되어야 한다.
따라서, 기존의 이중 노출 공정은 두 노출 사이에 건식 식각 단계를 필요로 한다. 달리 말해서, 제1 노출로 얻은 패턴이, 제2 노출이 진행될 수 있기 전에 반응성 이온 식각(RlE)에 의하여 아래의 레이어에 전사되어야 한다. 건식 식각 단계는 이중 노출 기술을 매우 복잡하게 만든다. 따라서, 제2 하드마스크 레이어의 도포를 필요로 하지 않으며, 또한 건식 식각 단계를 제거하는 다중 패턴화된 기술에 대한 요구가 당해 분야에 존재한다.
발명의 요약
본 발명은 마이크로전자 구조물 형성 방법, 특히 다중-노출 공정, 이러한 구조물 형성을 위한 조성물 및 상기 조성물의 구조물을 폭넓게 제공하여 상기 문제점들을 해결한다.
더 자세히 설명하면, 상기 방법은 하드마스크 조성물을 기판의 표면에 도포하는 것을 포함한다. 하드마스크 조성물은 용매 시스템에 분산되거나 용해된 고분자를 포함한다. 조성물은 베이킹(baking)되어 기판상에 하드마스크 레이어를 산출한다. 광감성 조성물이 하드마스크 레이어에 도포되어 하드마스크 레이어 위에 제1 이미징 레이어를 형성하고, 제1 이미징 레이어가 활성 복사선(activating radiation)에 노출되어 제1 이미징 레이어에 노출된 부분을 산출한다. 이미징 레이어의 노출된 부분을 제거하기 위하여 제1 이미징 레이어가 현상제와 접촉된다. 유리하게도, 이는 또한 제1 이미징 레이어의 노출된 부분 아래의 하드마스크 레이어 부분 제거를 야기하여, 패턴화된 하드마스크 레이어를 산출한다. 이후 제1 이미징 레이어가 유기 용매와 접촉되어 하드마스크 레이어로부터 제1 이미징 레이어를 제거한다. 제2 광감성 조성물이 하드마스크 레이어에 도포되어 패턴화된 하드마스크 레이어 위에 제2 이미징 레이어를 형성한다. 이는 추가적인 하드마스크 조성물을 기판에 첨가하지 않고 이루어진다.
또한 본 발명은 용매 시스템에 분산되거나 용해된 고분자를 포함하는 하드마스크 조성물을 제공한다. 고분자는 다음의 반복 단량체를 포함하고:
Figure pct00001
여기서 각 R1은 -H 및 알킬기로 이루어진 군에서 개별적으로 선택되고, 각 R2는 -H 및 실리콘-함유 부분으로 이루어진 군에서 개별적으로 선택된다. 실리콘-함유 부분은 다음으로 이루어진 군에서 선택되고:
Figure pct00002
여기서 각 R3는 알킬기로 이루어진 군에서 선택되고, 각 R4는 n-부틸, t-부틸, 및 알킬기로 이루어진 군에서 선택되며, 상기 R2 중 적어도 하나가 실리콘-함유 부분이다.
다른 구체예에서, 표면을 가지는 기판 및 상기 기판 표면에 인접한 하드마스크 레이어를 포함하는 마이크로전자 구조물이 제공된다. 하드마스크 레이어는 용매 시스템에 분산되거나 용해된 고분자를 포함하는 하드마스크 조성물로부터 형성되고, 여기서 고분자는 다음의 반복 단량체를 포함하고:
Figure pct00003
여기서 각 R1은 -H 및 알킬기로 이루어진 군에서 개별적으로 선택되고, 각 R2는 -H 및 실리콘-함유 부분으로 이루어진 군에서 개별적으로 선택된다. 실리콘-함유 부분은 다음으로 이루어진 군에서 선택되고:
Figure pct00004
여기서 각 R3는 알킬기로 이루어진 군에서 선택되고, 각 R4는 n-부틸, t-부틸, 및 알킬기로 이루어진 군에서 선택되며, 상기 R2 중 적어도 하나는 실리콘-함유 부분이다.
본 발명은 또한 하드마스크 조성물을 기판의 표면에 도포하여 마이크로전자 구조물을 형성하는 본 발명의 또 다른 방법을 제공한다. 하드마스크 조성물은 용매 시스템에 분산되거나 용해된 고분자를 포함한다. 조성물은 베이킹되어 기판상에 하드마스크 레이어를 형성한다. 광감성 조성물이 하드마스크에 도포되어 하드마스크 레이어 위에 제1 이미징 레이어를 형성하고, 제1 이미징 레이어는 활성 복사선에 노출되어 제1 이미징 레이어의 노출된 부분을 산출한다. 제1 이미징 레이어는, 이미징 레이어의 노출된 부분을 제거하기 위하여 현상제와 접촉된다. 유리하게도, 이는 또한 제1 이미징 레이어의 노출된 부분 아래의 하드마스크 레이어 부분 제거를 야기하여, 패턴화된 하드마스크 레이어를 산출한다. 이후 제1 이미징 레이어가 유기 용매와 접촉되어, 패턴화된 하드마스크 레이어로부터 제1 이미징 레이어가 제거된다. 제2 광감성 조성물이 패턴화된 하드마스크 레이어에 도포되어 패턴화된 하드마스크 레이어의 위에 제2 이미징 레이어를 형성한다. 이는 상기 공정 동안 임의의 건식 식각이 일어나지 않고 이루어진다.
바람직한 구체예의 상세한 설명
본 발명의 방법
본 발명은 다중 노출 공정을 통하여 반도체 기판상에 비아(via) 또는 트렌치(trench) 구조물을 생성하는 방법을 제공한다. 도 1은 본 발명의 한 구체예를 나타낸다. 윗면(10a)과 후면(10b)을 가지는 기판(10)이 제공된다. 식각 정지 레이어(12)가 기판(10)의 후면(10b)에 도포될 수 있다. 임의의 통상적인 마이크로전자 기판이 사용될 수 있다. 바람직한 기판에는 실리콘, SiGe. SiO2, Si3N4, 알루미늄, 텅스텐, 텅스텐 실리사이드, 갈륨 아르세나이트, 게르마늄, 탄탈럼, 탄탈럼 니트라이드, 산호(coral), 블랙 다이아몬드, 인 또는 붕소 도핑된 유리, 및 이들의 혼합으로 이루어진 군에서 선택되는 것이 포함된다. 기판(10)은 평면의 표면을 가질 수 있거나, 토포그래피(비아 홀, 트렌치, 컨택트 홀, 양각(raised) 피처, 라인 등)를 포함할 수 있다.
도 1(a)에 나타나는 바와 같이, 선택적인 중간 레이어(14)가 기판(10)의 윗면(10a)에 도포된다. 중간 레이어(14)는 임의의 공지 도포 방법, 예컨대 스핀-코팅 또는 기상 증착에 의하여 도포될 수 있다. 이후 중간 레이어가 베이킹된다. 바람직한 베이킹 조건은 적어도 약 100℃, 바람직하게는 약 120℃ 내지 약 250℃, 더욱 바람직하게는 약 180℃ 내지 약 220℃의 온도, 및 약 20 초 내지 약 60 초동안의 시간을 포함한다. 적절한 중간 레이어(14)에는 스핀-온 탄소 레이어(spin-on carbon layer, SOC), 비정질 탄소 레이어, 바닥 반사방지 코팅, 평탄화 레이어, 및 이들의 조합으로 이루어진 군에서 선택되는 것이 포함된다. 바람직하게는, 중간 레이어(14)가 사용 파장(예를 들어, 365 ㎚, 248 ㎚. 193 ㎚, 157 ㎚, 또는 13.5 ㎚)에서 약 1.4 내지 약 2, 더욱 바람직하게는 약 1.6 내지 약 2의 굴절 지수(n-값), 약 0.1 내지 약 0.8, 더욱 바람직하게는 약 0.4 내지 약 0.6의 흡광 계수(k-값)를 가진다.
이후 하드마스크 조성물의 레이어가 중간 레이어(14)에 도포되거나, 중간 레이어(14)가 존재하지 않을 경우 기판 표면(10a)에 도포된다. 조성물은 임의의 공지 도포 방법에 의하여 도포될 수 있으며, 한 바람직한 방법은 조성물을 약 1,000 rpm 내지 약 4,000 rpm(바람직하게는 약 1,500 rpm 내지 약 2,500 rpm)의 속도로 약 10 초 내지 약 60 초(바람직하게는 약 20 초 내지 약 60 초)의 시간 동안 스핀-코팅하는 것이다. 이후 열가교(thermal crosslinking)를 유발하여 하드마스크 레이어(16)를 형성하기 위하여 하드마스크 조성물이 베이킹된다. 바람직한 베이킹 조건은 적어도 약 100℃, 바람직하게는 약 120℃ 내지 약 250℃, 더욱 바람직하게는 약 160℃ 내지 약 200℃의 온도, 및 약 20 초 내지 약 60 초 동안의 시간을 포함한다. 하드마스크 레이어(16)가 약 80℃ 내지 약 120℃의 온도에서 약 10 초 내지 약 60 초의 시간 동안 베이킹되고, 이어서 약 160℃ 내지 약 200℃의 온도에서 약 20 초 내지 약 60 초의 시간 동안 베이킹되는 2 단계 열경화 공정이 또한 이용될 수 있다. 가교된 하드마스크 레이어(16)의 두께는 전형적으로 약 20 ㎚ 내지 약 150 ㎚, 바람직하게는 약 30 ㎚ 내지 약 100 ㎚일 것이다.
바람직하게는, 경화된 하드마스크 레이어(16)는 중간 레이어(14)가 존재할 경우 이의 광학 지수와 상용성인(compatible) n-값 및 k-값을 가진다. 더욱 바람직하게는, 하드마스크 레이어(16)는 사용 파장(예를 들어 365 ㎚, 248 ㎚, 193 ㎚, 157 ㎚, 또는 13.5 ㎚)에서 약 1.4 내지 약 2, 더욱 바람직하게는 약 1.6 내지 약 2의 n-값, 및 약 0 내지 약 0.6의 k-값을 가진다.
유리하게도, 경화된 하드마스크 레이어(16)는 충분히 가교될 것이고, 에틸 락테이트, 프로필렌 글리콜 메틸 에테르 아세테이트(PGMEA), 프로필렌 글리콜 메틸 에테르(PGME), 프로필렌 글리콜 n-프로필 에테르(PnP), 사이클로헥사논, 아세톤, 감마 부티로락톤(GBT), 및 이들의 혼합과 같은 전형적인 유기 용매에서 실질적으로 불용성일 것이다. 따라서 스트리핑 테스트를 거칠 때, 경화된 하드마스크 레이어(16)가 약 5% 미만, 바람직하게는 약 1 % 미만, 더욱더 바람직하게는 약 0%의 스트리핑 퍼센트를 가질 것이다. 스트리핑 테스트는 먼저 경화된 레이어의 다섯 군데의 상이한 위치에서의 측정값의 평균을 취하여 두께를 결정하는 것을 포함한다. 이는 초기 평균 필름 두께이다. 다음, 용매(예를 들어, 에틸 락테이트)를 약 20 초 동안 경화된 필름에 퍼들링(puddling)하고, 이어서 약 2,000-3,500 rpm에서 약 20-30 초 동안 스핀 건조하여 용매를 제거한다. 웨이퍼 상의 다섯 군데의 상이한 지점에서 타원계측법(ellipsometry)을 이용하여 두께를 다시 측정하고, 이 측정값의 평균을 결정한다. 이는 최종 평균 필름 두께이다.
스트리핑의 양은 초기 평균 필름 두께와 최종 평균 필름 두께 간의 차이이다. 스트리핑 퍼센트는:
% 스트리핑 = (스트리핑의 양/초기 평균 필름 두께)×100
이후 광감성 조성물을 경화된 하드마스크 레이어(16)에 도포하여 이미징 레이어(18)를 형성할 수 있다. 이후 이미징 레이어(18)는 적어도 약 80℃, 바람직하게는 약 80℃ 내지 약 130℃, 더욱 바람직하게는 약 100℃ 내지 약 120℃의 온도에서, 약 60 초 내지 약 120 초의 시간 동안 도포후 베이킹된다(post-application baked, "PAB"). 적절한 이미징 조성물에는 상용화되어 구입 가능한 포토레지스트, 또는 임의의 다른 광감성 조성물이 포함된다. 바람직하게는, 이미징 조성물이 낮은 고체 함량을 가지고, 매우 얇은 레이어로서 도포될 수 있다. 본 발명의 공정에서 이미징 레이어(18)로부터 이후의 레이어로 패턴을 전사하기 위하여 건식 식각이 필요하지 않으므로, 이미징 레이어(18)는 패턴 전사 식각을 위한 마스킹 레이어 역할을 하지 않는다. 이는 패턴화 공정에 많은 이점을 제공한다. 예를 들면, 통상적인 기술과는 달리 본 발명의 공정에서 이미징 레이어(18)의 최소 두께가 더 이상 식각 선택도 및 식각 깊이에 의하여 제한되지 않는다. 그 결과, 약 20중량% 미만, 더욱 바람직하게는 약 3중량% 내지 약 10중량%의 고체 함량(베이킹 전)을 가지는 이미징 조성물을 사용할 수 있다. 베이킹 후 이미징 레이어(18)의 두께는 전형적으로 약 20 ㎚ 내지 약 200 ㎚, 더욱 바람직하게는 약 40 ㎚ 내지 약 100 ㎚일 것이다. 통상적인 포토레지스트는 일반적으로 이렇게 얇은 레이어를 형성할 수 없다. 따라서, 이미징 조성물이 이러한 목적을 위하여 특별히 제조될 수 있거나, 상용화되어 구입 가능한 포토레지스트가 원하는 고체 함량에 도달할 때까지 희석될 수 있다. 포토레지스트의 희석은 바람직하게는, 포토레지스트의 고체 함량을 본 명세서에 기재한 것과 같이 감소시키기에 충분한 양의, 에틸 락테이트, PGMEA. PGME, PnP, 2-헵타논, 및 이들의 혼합으로 이루어진 군에서 선택되는 유기 용매로 희석하여 이루어진다. 생성된 필름의 스택(stack)이 도 1(a)에 나타난다.
이후 이미징 레이어(18)의 표면에 위치하는 포토마스크(나타나지 않음)를 사용하여 이미징 레이어(18)를 복사선에 노출시킨다. 마스크는 복사선이 마스크를 통과하고 이미징 레이어(18)의 표면과 접촉하는 것을 허용하도록 설계된 개방 영역을 가진다. 마스크의 나머지 꽉 찬(solid) 부분은 특정 영역에서 복사선이 이미징 레이어(18)의 표면과 접촉하는 것을 막도록 설계된다. 당업자는 개방 영역 및 꽉 찬 부분의 배치가 이미징 레이어(18), 궁극적으로는 기판(10)에 형성될 원하는 패턴에 기초하여 설계됨을 쉽게 이해할 것이다. 그러나, 본 발명은 비아 또는 트렌치와 같은 소형 피처를 형성하기 위한 다크 필드 공정에 특히 적절하다. 따라서, 포토마스크는 바람직하게는 다크 필드 마스크이고, 노출로부터 큰 부분의 이미징 레이어(18)를 보호하면서, 동시에 이미징 레이어(18)의 단지 작은 부분만이 노출되도록 사용된다. 노출 동안 이미징 레이어(18) 표면의 적어도 약 50%가 복사선으로부터 보호되는 것이 특히 바람직하며, 더욱더 바람직하게는 이미징 레이어(18)의 약 50% 내지 약 90%가 보호되고, 가장 바람직하게는 이미징 레이어(18)의 약 60% 내지 약 80%가 각 노출 동안 복사선으로부터 보호된다. 본 발명의 공정은 500 ㎚ 이하인 대부분의 파장의 복사선을 사용하여 이용될 수 있지만, 바람직한 파장은 365 ㎚, 248 ㎚, 193 ㎚, 157 ㎚, 및 13.5 ㎚로 이루어진 군에서 선택된다.
노출시, 복사선에 노출된 이미징 레이어(18)의 일부가, 비아 및 트렌치(11)를 형성하는 포토레지스트 현상제에 용해성이 된다. 노출 후, 이미징 레이어(18) 및 하드마스크 레이어(16)는 약 80℃ 내지 약 180℃, 더욱 바람직하게는 약 100℃ 내지 약 180℃의 온도에서 약 60 초 내지 약 120 초의 시간 동안, 바람직하게는 노출후 베이크(post-exposure bake, PEB)를 거친다. 상기 공정에 의하여 용해성으로 된 이미징 레이어(18)의 노출된 부분이 이후 포토레지스트 현상제와 접촉되어 노출된 부분이 제거된다. 중요한 점은, 이미징 레이어(18)상의 노출된 비아 또는 트렌치 영역(11)이 용해됨에 따라, 비아 또는 트렌치 영역(11) 아래의 하드마스크 레이어(16)의 부분 또한 제거된다는 것이다 (도 1(b) 참조). 이는 이미징 레이어(18)로부터 아래의 레이어로 패턴을 전사하기 위하여 선행기술의 공정에서 필요했던 건식 식각 단계를 제거한다. 본 발명의 한 양태에서, 하드마스크 레이어(16)는 감광성이고 (즉, 활성 복사선에 노출될 경우 반응을 겪는다) 노출 공정에 의하여 탈가교되며, 이로써 이미징 레이어(18)와 더불어 현상제-용해성이 된다. 본 발명의 또 다른 양태에서, 하드마스크 레이어(16)의 용해도가 노출 공정에 의하여 영향받지 않는데, 이는 하드마스크 레이어가 노출된 영역과 노출되지 않은 영역에 대하여 동일한 속도로 현상될 것임을 의미한다. 그러나, 본 발명의 방법에서, 하드마스크 레이어(16)의 노출되지 않은 영역은 이미징 레이어(18)의 노출되지 않은 부분에 의하여 현상제 제거로부터 보호되어, 하드마스크 레이어(16)의 노출된 부분만이 제거된다.
어느 쪽이든, 하드마스크 레이어(16)는 이미징 레이어(18)와 동시에 현상된다. 따라서, 이미징 레이어(18)가 제거됨에 따라, 이미징 레이어(18)의 노출된 부분 아래의 하드마스크 레이어(16) 부분이 현상제에 의하여 제거되어, 이미징 레이어(18)와 하드마스크 레이어(16) 모두에 비아 및 트렌치(11)를 형성하여, 패턴화된 이미징 레이어(18) 및 패턴화된 하드마스크 레이어(16)를 산출한다 (도 1(b)). 바람직하게는, 이미징 레이어(18) 및 하드마스크 레이어(16)의 노출된 부분의 적어도 약 95%가 현상제에 의하여 제거될 것이고, 더욱 바람직하게는 적어도 약 99%, 더욱더 바람직하게는 약 100%가 제거될 것이다. 적절한 현상제는 테트라메틸암모늄 하이드록사이드(TMAH) 또는 KOH와 같은 유기 또는 무기 염기성 용액이고, 바람직하게는 0.26N 이하의 농도의 TMAH 수용액을 포함한다. 바람직하게는, 하드마스크 레이어(16)가 0.26N TMAH 현상제에 용해되는 속도가 약 0.5 ㎚/초 내지 약 5 ㎚/초, 더욱더 바람직하게는 0.5 ㎚/초 내지 약 3 ㎚/초일 것이다.
도 1(c)에 나타나는 바와 같이, 패턴화된 이미징 레이어(18)가 이후 유기 용매로써 제거된다. 바람직한 공정은 약 5 초 내지 약 60 초, 더욱 바람직하게는 약 5 초 내지 약 20 초 동안 유기 용매를 이미징 레이어(18)에 퍼들링하고, 이어서 추가적인 용매로 헹구고 스핀 건조하는 것을 포함한다. 적절한 유기 용매에는 PGMK, PGMEA, 에틸 락테이트, 상용의 에지 비드 제거제(edge bead remover), 및 사전습윤(pre-wet) 용매로 이루어진 군에서 선택되는 것이 포함된다. 유리하게도, 이미징 레이어(18)가 제거되는 한편, 패턴화된 하드마스크 레이어(16)상의 비아 및/또는 트렌치 패턴(11)은 앞에서 설명한 것과 같이 유기 용매에 저항성인 하드마스크 레이어(16)로 인하여 보존되고 온전히 유지된다. 더욱 바람직하게는, 이미징 레이어(18)는 유기 용매에 의하여 적어도 약 95% 제거되고, 더욱 바람직하게는 적어도 약 99%, 더욱더 바람직하게는 100% 제거된다.
이후 노출-현상 공정이 반복된다. 도 1(d)에 나타나는 바와 같이, 제2 이미징 레이어(18')가 패턴화된 하드마스크 레이어(16)에 도포되어, 제1 노출-현상 공정 동안 형성된 비아 및 트렌치(11)를 충전한다. 제2 이미징 레이어(18')는 앞에서 기재한 바와 같이, 바람직하게는 얇은 레이어로 도포되고 PAB가 이어진다. 이후 포토마스크(나타나지 않음)가 기존의 패턴화된 비아 및 트렌치(11)와 약간 다른 배치로 이미징 레이어(18')의 표면 위에 위치된다. 다시, 바람직하게는 다크 필드 마스크가 사용되어, 이미징 레이어(18') 표면의 적어도 약 50%가 노출 동안 복사선으로부터 보호되고, 더욱더 바람직하게는 이미징 레이어(18')의 약 50% 내지 약 90%가 보호되고, 가장 바람직하게는 이미징 레이어(18')의 약 60% 내지 약 80%가 각 노출 동안 복사선으로부터 보호된다. 이후 제2 이미징 레이어(18')를 패턴화하기 위하여 선택된 파장의 복사선에 스택이 노출된다. 노출 후, 바람직하게는 제2 이미징 레이어(18') 및 하드마스크 레이어(16)가 앞에서 설명한 바와 같이 PEB를 거친다. 이후 이미징 레이어(18') 및 하드마스크 레이어(16)의 노출된 부분이 TMAH의 수용액과 같은 포토레지스트 현상제를 사용하여 제거되어 이미징 레이어(18') 및 하드마스크 레이어(16)에 비아 및 트렌치(11')를 형성하고, 패턴화된 이미징 레이어(18') 및 더욱 패턴화된 하드마스크 레이어(16)를 산출한다 (도 1(e) 참조).
도 1(f)에 나타나는 바와 같이, 패턴화된 이미징 레이어(18')가 이후 유기 용매를 사용하여 제거된다. 이중 노출-현상 공정으로부터 패턴화된 하드마스크 레이어(16)상의 비아 또는 트렌치 패턴(11, 11') 모두가 유기 용매에 대한 하드마스크 레이어(16) 저항성으로 인하여 보존된다. 추가적 이미징 레이어가 다시 상기 하드마스크 레이어(16)에 도포될 수 있고, 노출-현상 공정이 필요한 횟수만큼 반복되어 (예를 들어, 2-4 회, 더욱더 바람직하게는 2-3 회) 하드마스크에 원하는 패턴을 형성함이 이해될 것이다. 통상적인 브라이트 필드 노출 공정과는 달리, 노출-현상 공정 사이에 하드마스크 레이어를 재도포할 필요가 없다. 즉, 상기 하드마스크 레이어(16)가 다중 노출-현상 공정 전반에 걸쳐 사용된다. 유리하게도, 또한 상기 공정 동안 임의의 식각 공정이 일어날 필요가 없다.
궁극적으로, 반응성 이온 식각(RIH)과 같은 임의의 통상적인 식각 공정을 사용하여, 하드마스크 레이어(16)상의 패턴(11, 11')이 중간 레이어(14)가 존재할 경우 이에 전사되고 (도 1(g)), 이후 기판(10) 에 전사된다 (도 1(h)). 하드마스크 레이어(16)는 산소, 염소, HBr 등, 또는 상기 기체들 및/또는 다른 기체들의 임의의 조합과 같은 식각제(etchant)를 사용할 경우, 바람직하게는 중간 레이어(14) 또는 기판(10)에 걸쳐 높은 식각 선택도를 가진다. 따라서, 중간 레이어(14) 또는 기판(10)에 걸친 하드마스크 레이어(16)의 식각 선택도는, O2, CO2, N2, Ar, Cl, HBr, He, 및 이들의 혼합으로 이루어진 군에서 선택되는 식각제를 사용할 경우, 적어도 약 1, 바람직하게는 적어도 약 2, 더욱 바람직하게는 약 2 내지 약 50일 것이다. 하드마스크 레이어(16)가 제거되면 (도 1(h)), 유기 용매를 사용하여 잔존하는 중간 레이어(14)가 스트리핑된다. 생성된 패턴화된 기판이 도 1(i)에 나타난다. 앞에서 언급한 바와 같이, 중간 레이어(14)는 선택적이다. 따라서, 대안의 구체예에서, 하드마스크 레이어(16)가 RIE와 같은 식각 공정을 사용하여 패턴을 전사하기에 충분한 식각 선택도를 기판(10)에 걸쳐 가질 경우, 중간 레이어(14)가 생략될 수 있다. 이 구체예는 도 2에 나타난다. 특히, 윗면(20a)과 후면(20b)을 가지는 기판(20)이 제공된다. 식각 정지 레이어(22)가 기판(20)의 후면(20b)에 도포될 수 있다. 이후 하드마스크 조성물의 레이어가 스핀 코팅과 같은 임의의 통상적인 방법에 의하여 기판 표면(20a)에 도포된다. 이후 앞에서 논의한 바와 같이, 열가교를 유발하여 하드마스크 레이어(26)를 형성하기 위하여 하드마스크 조성물이 베이킹된다. 이후 이미징 레이어(28)가 경화된 하드마스크 레이어(26)에 도포될 수 있다. 이후 비아 및 트렌치(21, 21')가 앞에서 기재한 노출, 현상 (도 2(b)), 이미징 레이어 스트리핑 (도 2(c)), 및 패턴 전사의 상기 공정을 이용하여 형성되며, 제2 이미징 레이어(28')가 제2 노출-현상 공정에 사용된다 (도 2(d)-(h)).
본 발명의 다중-노출 방법은 통상적인 이중-노출 방법에 사용되는 건식 식각 단계뿐만 아니라 전통적인 브라이트 필드 공정에 사용되는 하드마스크 재도포 단계를 제거하면서 비아 및 트렌치의 밀집 구역(dense region)을 형성하기에 유용하다.
본 발명에서 사용하기 위한 조성물
다중 노출-현상 방법에서 사용하기에 적절한 하드마스크 조성물은 바람직하게는 열적으로 경화 가능하다 (즉, 가교 가능하다). 바람직한 조성물은 용매 시스템에 용해되거나 분산된 고분자 및 가교제(crosslinking agent)를 포함할 것이다. 바람직하게는 고분자는 실리콘- 또는 티타늄-함유 고분자이다. 고분자는 바람직하게는 약 800 달톤 내지 약 50,000 달톤, 더욱 바람직하게는 약 5,000 달톤 내지 약 15,000 달톤의 중량평균 분자량(Mw)을 가진다.
본 발명의 조성물은 또한 습식 현상이 가능해야 하거나 (즉, 현상제 용해성), 선택된 파장의 복사선에 노출시 현상제 용해성으로 될 수 있어야 한다. 따라서, 한 양태에서, 경화된 레이어가 유기 용매와 포토레지스트 현상제 모두에 불용성이지만, 복사선에 노출시 탈가교되고 습식 현상이 가능하게 될 수 있다 (즉, 하드마스크 조성물이 광감성이다). 이는 상이한 용해 속도를 가지는 하드마스크 레이어의 노출된 부분과 노출되지 않은 부분을 생성하여, 노출되지 않은 부분이 제거되지 않고 노출된 부분이 제거되도록 한다. 특히 바람직한 광감성 하드마스크 조성물은 용매 시스템에 분산되거나 용해된 고분자를 포함하고, 여기서 고분자는 다음의 반복 단량체를 포함하고:
Figure pct00005
여기서 각 R1은 -H 및 알킬기(바람직하게는 C1-C4 알킬, 더욱 바람직하게는 C1-C3 알킬)로 이루어진 군에서 개별적으로 선택되고, 각 R2는 -H 및 실리콘-함유 부분으로 이루어진 군에서 개별적으로 선택되며, 상기 R2 중 적어도 하나는 실리콘-함유 부분이다. 바람직한 실리콘-함유 부분은 다음으로 이루어진 군에서 선택되고:
Figure pct00006
여기서 각 R3는 알킬기(바람직하게는 C1-C4 알킬, 더욱 바람직하게는 C1-C3 알킬)로 이루어진 군에서 선택되고, 각 R4는 n-부틸, t-부틸, 및 알킬기(바람직하게는 C2-C3알킬)로 이루어진 군에서 선택된다. 가장 바람직한 R3 기는 -CH3 및 -CH2-CH3로 이루어진 군에서 선택된다. 바람직하게는 고분자에서의 단량체 (I) 대 단량체 (II)의 비율이 약 0.2:0.8 내지 약 0.8:0.2, 더욱 바람직하게는 약 0.5:0.5 내지 약 0.8:0.2이고, 단량체 (I)의 R2는 실리콘-함유 부분이다. 일부 구체예에서, 고분자는 반복 스타이렌 단량체를 추가로 포함할 수 있다.
또 다른 양태에서, 경화된 하드마스크 레이어가 포토레지스트 현상제에 용해성이면서 동시에 유기 용매에 불용성이다. 이러한 조성물의 예에는 용매 시스템에 분산되거나 용해된 고분자성 금속 알콕사이드가 포함된다. 바람직한 고분자는 다음 화학식을 가지는 반복 단위체를 포함하고:
Figure pct00007
여기서 각 R5는 수소, 및 알킬기(바람직하게는 C1-C8알킬)로 이루어진 군에서 개별적으로 선택되고, M1은 금속이다. 가장 바람직한 R5 기는 -CH3 및 -CH2-CH3로 이루어진 군에서 선택된다. 가장 바람직한 금속은 Ti, Zr, Si, 및/또는 Al로 이루어진 군에서 선택된다. 다른 적절한 조성물이 본 명세서에 참조문헌으로 수록되는 미국특허 제6,740,469호에 또한 기재되어 있다.
조성물은, 바람직하게는 주위 조건에서 실질적으로 균질한 용액을 형성하기에 충분한 시간 동안, 적절한 용매 시스템에 고분자를 단순히 분산시키거나 용해시켜 형성된다. 고분자는 조성물의 총 중량을 100중량%로 취한 것을 기준으로 약 1중량% 내지 약 20중량%, 바람직하게는 약 2중량% 내지 약 15중량%, 더욱더 바람직하게는 약 3중량% 내지 약 10중량%의 수준으로 조성물에 존재해야 한다.
조성물은 바람직하게는 또한 고분자와 함께 용매 시스템에 용해되거나 분산된 크로스링커(crosslinker)(본 명세서에서 용어 "가교제(crosslinking agent)"와 교환적으로 사용됨)를 포함할 것이다. 바람직한 크로스링커는 비닐 에테르 크로스링커, 및 아미노플라스트 가교제(예를 들어, Cytec Industries사에서 구입 가능한 Cymel® 제품)로 이루어진 군에서 선택된다. 크로스링커가 다-작용성(이-, 삼-, 사- 작용성)인 것이 특히 바람직하다. 크로스링커는 바람직하게는, 조성물의 총 중량을 100중량%로 취한 것을 기준으로 약 0.2중량% 내지 약 10중량%, 바람직하게는 약 0.5중량% 내지 약 5중량%, 더욱더 바람직하게는 약 0.5중량% 내지 약 2중량%의 수준으로 조성물에 존재한다.
더욱 바람직하게는, 비닐 에테르가 존재할 경우, 이는 다음 화학식을 가지고:
R'-(X-O-CH=CH2)n,
여기서 R'은 아릴(바람직하게는 C6-C14) 및 알킬(바람직하게는 C1-C18, 더욱 바람직하게는 C1-C10)로 이루어진 군에서 선택되고, 각 X는 알킬(바람직하게는 C1-C18, 더욱 바람직하게는 C1-C10), 알콕시(바람직하게는 C1-C18, 더욱 바람직하게는 C1-C10), 카르보닐, 및 이들 중 둘 이상의 조합으로 이루어진 군에서 개별적으로 선택되고, n은 적어도 2, 바람직하게는 2-6이다. 가장 바람직한 비닐 에테르에는 에틸렌 글리콜 비닐 에테르, 트리메틸올프로판 트리비닐 에테르, 1,4-사이클로헥산 디메탄올 디비닐 에테르, 및 이들의 조합으로 이루어진 군에서 선택되는 것이 포함된다. 또 다른 바람직한 비닐 에테르는 다음으로 이루어진 군에서 선택되는 화학식을 가진다:
Figure pct00008
Figure pct00009
Figure pct00010
Figure pct00011
Figure pct00012
Figure pct00013
바람직한 용매 시스템에는 PGMEA, PGME, PnP, 에틸 락테이트, 및 이들의 혼합으로 이루어진 군에서 선택되는 용매가 포함된다. 바람직하게는, 용매 시스템은 약 50-250℃, 더욱 바람직하게는 약 100-175℃의 끓는점을 가진다. 용매 시스템은 조성물의 총 중량을 100중량%로 취한 것을 기준으로, 약 80중량% 내지 약 99.5중량%, 바람직하게는 약 90중량% 내지 약 99.5중량%, 더욱더 바람직하게는 약 90중량% 내지 약 99중량%의 수준으로 사용되어야 한다. 조성물은 바람직하게는 조성물의 총 중량을 100중량%로 취한 것을 기준으로,약 0.5중량% 고체 내지 약 20중량% 고체, 바람직하게는 약 0.5중량% 고체 내지 약 10중량% 고체, 더욱더 바람직하게는 약 1중량% 고체 내지 약 10중량% 고체의 고체 함량을 포함할 것이다.
임의의 추가적인 성분은 또한 바람직하게는 고분자와 함께 용매 시스템에 분산된다. 예를 들어, 바람직한 광감성 조성물이 촉매를 또한 포함한다. 바람직한 촉매는 산발생제(acid generator), 특히 광산발생제(photoacid generator, "PAG": 이온성 및/또는 비이온성 모두)이다. 복사선의 존재에서 산을 생성하는 임의의 PAG가 적절하다. 바람직한 PAG가 옥심-설포네이트(예를 들어 CIBA사에 의하여 제품명 CGI®로 판매되는 것), 비스(4-tert-부틸페닐) 아이오도늄 염(예를 들어, Midori Kagaku Co. Lid.사의 BBl-106), 및 이들의 혼합으로 이루어진 군에서 선택된다. 조성물은 바람직하게는 조성물의 총 중량을 100중량%로 취한 것을 기준으로 약 0.1중량% 촉매 내지 약 2중량% 촉매, 바람직하게는 약 0.1중량% 촉매 내지 약 1중량% 촉매, 더욱더 바람직하게는 약 0.1중량% 촉매 내지 약 0.5중량% 촉매를 포함한다.
조성물은 또한 광감쇄 부분(light attenuating moiety)을 포함할 수 있다. 적절한 광감쇄 부분에는 트리메틸올 에톡실레이트, 4-하이드록시벤즈알데하이드, 및 2-시아노-3-(4-하이드록시페닐)-아크릴산 에틸 에스테르로 이루어진 군에서 선택되는 것이 포함된다. 광감쇄 부분이 존재할 경우, 조성물은 바람직하게는 조성물의 총 중량을 100중량%로 취한 것을 기준으로 약 0.01중량% 내지 약 1중량% 광감쇄 부분, 바람직하게는 약 0.05중량% 내지 약 0.5중량%, 더욱더 바람직하게는 약 0.1중량% 내지 약 0.5중량%을 포함한다. 조성물에 포함될 수 있는 추가 성분에는 계면활성제, 접착 촉진제, 항산화제, 광개시제, 및 이들의 조합이 포함된다.
도 1(a) - 1(i)는 본 발명의 다중 노출 공정의 한 구체예를 나타내고;
도 2(a) - 2(h)는 본 발명의 다중 노출 공정의 대안의 구체예를 나타내고;
도 3은 실시예 2의 이중 노출 공정에 의하여 하드마스크에 형성된 비아의 SEM 이미지이고;
도 4는 실시예 5의 이미징 레이어 및 하드마스크 Il에 형성된 트렌치 및 라인의 SEM 이미지를 나타내고;
도 5는 실시예 10의 이미징 레이어 및 하드마스크 IV에 형성된 트렌치 및 라인의 SEM 이미지를 나타낸다.
실시예
다음이 실시예들이 본 발명에 따라 바람직한 방법을 제시한다. 그러나 이러한 실시예들은 예시로서 제시되며, 그 가운데 어느 것도 본 발명의 전체 범위에 대한 제한으로서 받아들여서는 안된다는 것을 이해해야 한다.
실시예 1
하드마스크 제제 I
이 절차에서, 표 1의 성분을 사용하여 현상제-용해성 하드마스크를 제제화했다. 성분을 아래 나열된 순서로 플라스크에 첨가하고, 교반하에 혼합했다. 혼합물을 0.1-마이크론 멤브레인을 통하여 여과하여 입자를 제거했다. 이후 제제를 2,000 rpm에서 40 초 동안 실리콘 웨이퍼에 스핀-코팅했고, 120℃에서 40 초 동안, 그다음 200℃에서 60 초 동안의 2-단계 베이크가 이어졌다. 생성된 필름의 두께는 약 75 ㎚±5㎚로 측정되었다. 필름의 굴절 지수는 193 ㎚에서 약 1.6±0.05, 248 ㎚에서 약 1.8±0.05, 365 ㎚에서 약 1.7±0.05로 측정되었다. 필름의 흡광 계수는 193 ㎚에서 약 0.38±0.05, 248 ㎚에서 약 0.39±0.05, 365 ㎚에서 0.42±0.05로 측정되었다.
이후 웨이퍼를 TMAH에 담갔고, 분석기로 필름이 제거되는 속도를 측정했다. 0.26N TMAH에 이 필름이 용해되는 속도는 약 3 ㎚/초±1 ㎚/초로 측정되었다.
Figure pct00014
실시예 2
이중 노출-현상에 의하여 하드마스크 I에 형성된 비아
이 실시예에서, 실시예 1의 하드마스크 제제 I을 사용하여 필름 스택을 제조했다. 현상제-용해성 하드마스크를 2,000 rpm에서 40 초 동안 실리콘 기판(100-mm 웨이퍼)에 스핀-코팅했고, 2-단계 열경화 공정이 이어졌다. 특히, 웨이퍼를 핫플레이트에서 120℃에서 40 초 동안, 그다음 200℃에서 60 초 동안 가열했다. 열로 응고된 현상제-용해성 하드마스크는 75 ㎚의 두께를 가지는 필름을 형성했다.
i-line(365-㎚) 포토리소그래피를 위한 상용의 포토레지스트(Rohm and Haas사의 SPR-950)를 2-헵타논을 사용하여 원래 고체 함량의 5분의 1로 희석했다. 희석된 포토레지스트를 하드마스크에 스핀-도포하여 이미징 레이어를 형성했다. 이후 웨이퍼를 90℃에서 60 초 동안 도포후 베이크("PAB")로서 베이킹했다. 이미징 레이어는 80 ㎚의 두께를 가졌다.
다음, GCA Model 3300™ 스텝퍼(NA=0.43)상의 포토마스크를 사용하여 웨이퍼를 365 ㎚의 파장을 가지는 복사선에 노출시키고, 110℃에서 60 초 동안 PEB가 이어진다. 이후 웨이퍼를 0.26N TMAH에 40 초 동안 담가 현상하여 이미징 레이어 및 하드마스크의 노출된 부분을 제거했다. 이후 PGME(Harcross, St. Louis, MO)를 웨이퍼에 20 초 동안 퍼들링하여 이미징 레이어를 제거했다. 이후 웨이퍼를 PGME로 헹구고 스핀 건조했다. 이 퍼들링 공정에 의하여 이미징 레이어를 웨이퍼로부터 완전히 제거했다. 노출 공정에 의하여 형성된 비아가 하드마스크에 남겨졌다.
희석된 포토레지스트를 패턴화된 하드마스크에 다시 도포했다. 웨이퍼를 90℃에서 60 초 동안 PAB로서 베이킹했다. 이후 웨이퍼를 제1 노출 공정과 약간 위치가 변한 포토마스크를 사용하여 상기 GCA Model 3300 스텝퍼에 노출시켰다. 110℃에서 60 초 동안 PEB를 수행했다. 이후 0.26N TMAH를 사용하여 웨이퍼를 40 초 동안 현상했다. 이후 상기한 바와 같이 유기 용매(PGME)를 사용하여 이미징 레이어를 스트리핑했다. 이중 노출-현상 공정에 의하여 생성된 하드마스크상의 비아 패턴이 SEM 이미지로서 도 3에 나타난다.
실시예 3
하드마스크 제제 II용의 실리콘-함유 고분자 합성
이 절차에서, 2 그램의 스타이렌(AIdrich, Milwaukee, WI), 5.6 그램의 메타크릴산(AIdrich, Milwaukee, WI), 및 12.4 그램의 메타크릴옥시메틸트리메틸실란(Gelest, Morrisville, PA)를 3-구 둥근바닥 플라스크에서 180 그램의 PGME에 용해했다. 플라스크를 10-20 분 동안 건조 질소로 탈기했다. 다음, 촉매로서 1 그램의 아조비스이소부티로니트릴(AIBK, AIdrich, Milwaukee, Wl)를 첨가했고, 촉매가 완전히 용해될 때까지 혼합물을 실온에서 교반했다. 이후 플라스크를 80℃의 예열된 오일 배스에 담갔다. 약 5 mL/분의 속도로 질소를 플라스크에 도입했다. 환류를 위하여 플라스크의 중앙 목에 수냉식 응축기(condenser with water cooling)를 장착했다. 일정한 교반하의 16 시간의 반응 후, 플라스크를 오일 배스로부터 제거하고 공기 중에서 실온으로 냉각했다.
이후 플라스크의 내용물을 과도하게 차가운(20℃-25℃) 헥산(AIdrich, Milwaukee, Wl)에 천천히 따랐다. 침전물이 헥산 중에 형성되었다. 침전물을 여과하고 추가적인 헥산으로 완전히 세척하여 미세한 백색 분말을 산출했다. 생성된 삼원공중합체(terpolymer)는 겔 투과 크로마토그래피(GPC)에 의하여, 1.48의 다분산도(polydispersity)로 7,100 달톤의 Mw를 가지는 것으로 규명되었다.
실시예 4
하드마스크 제제 II
이 실시예에서, 실시예 3에서 합성된 고분자를 사용하여 하드마스크 제제를 제조했다. 성분을 아래 표 2에 나열된 순서로 플라스크에 첨가하고, 균질하게 될 때까지 교반하에 혼합했다. 이후 0.1-㎛ 종점(endpoint) 여과기를 통하여 용액을 여과했다. 다음, 제제를 1,500 rpm으로 40 초 동안 실리콘 웨이퍼에 스핀-코팅했고, 이어서 160℃에서 60 초 동안 베이킹했다. 생성된 하드마스크 필름의 두께는 약 60 ㎚로 측정되었다. 다변각 분광타원계(variable angle spectrometric ellipsometer, VASE; J. A. Woollam Co., Inc.)를 사용하여 필름의 광학 지수를 측정했다. 필름의 굴절 지수는 193 ㎚에서 약 1.6으로 측정되었다. 필름의 흡광 계수는 193 ㎚에서 약 0.10으로 측정되었다.
Figure pct00015
이후 경화된 하드마스크 필름에서 용매 저항성 테스트를 수행했다. 하드마스크 제제 II를1,500 rpm에서 40 초 동안 실리콘 웨이퍼에 스핀-코팅했고, 이어서 160℃에서 60 초 동안 베이킹했다. 생성된 필름의 초기 두께를 측정하고 기록했다. 이후 용매를 20 초 동안 필름에 퍼들링했고, 이어서 약 2,000-3,500 rpm에서 약 20-30 초 동안 스핀 건조하여 용매를 제거했다. 두께를 다시 측정하고 기록했다. 아래의 표3은 하드마스크 제제 Il가 다양한 용매에 대하여 우수한 용매 저항성을 가졌음을 나타낸다.
Figure pct00016
실시예 5
단일 노출-현상에 의하여 하드마스크 II로써 생성된 트렌치 및 라인
이 절차에서, 앞의 실시예 4에 기재한 하드마스크 제제 II를 사용하여 필름 스택을 제조했다. 우선, 중간 레이어를 실리콘 웨이퍼에 스핀-도포했다. 중간 레이어는 반사방지 코팅이었다 (Brewer Science Inc.사의 OptiStack™ SoC110D). 중간 레이어를 205℃에서 60 초 동안 베이킹했다. 중간 레이어는 320 ㎚의 두께, 193 ㎚에서 0.52의 흡광 계수 및 1.42의 굴절 지수를 가졌다. 이후 하드마스크 제제 II를 1500 rpm으로 중간 레이어 위에 스핀-코팅했고, 160℃에서 60 초 동안 베이킹했다. 이후 이미징 레이어를 하드마스크 위에 도포했다. 이미징 레이어는 상용화되어 구입 가능한 포토레지스트였다 (TArF Pi-6001, TOK). 다음, 웨이퍼를 ASML 1700i 스캐너에서 노출시키고, 110℃에서 60 초 동안의 PEB가 이어졌다. 이후 스택을 0.26 N TMAH 현상제에 담가 현상했다. 하드마스크와 함께 이미징 레이어가 80-㎚ 트렌치 및 라인으로 패턴화되었다. 패턴은 SEM 이미지로서 도 4에 나타난다.
실시예 6
하드마스크 제제 III용의 실리콘-함유 고분자 합성
이 실시예에서, 2.8 그램의 메타크릴산(Aldrich, Milwaukee, Wl), 7.2 그램의 메타크릴옥시메틸트리메틸실란(Gelest, Morrisville, PA), 및 90 그램의 PGME를 3-구 둥근바닥 플라스크에서 혼합하여 하드마스크 제제 III용의 고분자 모액을 제조했다. 이후 플라스크를 10-20 분 동안 건조 질소로 탈기했다. 다음, 촉매로서 0.5 그램의 아조비스이소부티로니트릴을 첨가했고, 촉매가 완전히 용해될 때까지 혼합물을 교반했다. 이후 플라스크를 75℃의 예열된 오일 배스에 담그고, 약 5 mL/분의 속도로 질소를 플라스크에 도입했다. 환류를 위하여 플라스크의 중앙 목에 수냉식 응축기를 장착했다. 일정한 교반하의 16 시간의 반응 후, 플라스크를 오일 배스로부터 제거하고 공기 중에서 실온으로 냉각했다. GPC에 의하여 생성된 공중합체의 분자량을 12,600 달톤으로 결정했다. 정제는 수행되지 않았다. 플라스크의 내용물은 하드마스크 제제의 고분자 모액으로서 사용되었다.
실시예 7
하드마스크 제제 III
이 실시예에서, 실시예 6에서 합성된 고분자 모액을 사용하여 하드마스크 제제를 제조했다. 성분을 아래 표 4에 나열된 순서로 플라스크에 첨가하고, 균질하게 될 때까지 교반하에 혼합했다. 0.1-㎛ 종점 여과기를 통하여 용액을 여과했다. 제제를 실리콘 웨이퍼에 스핀-코팅하고, 160℃에서 60 초 동안 베이킹했다. 생성된 필름 두께는 약 65 ㎚로 측정되었다. 하드마스크 필름의 광학 지수는 다변각 분광타원계(J. A Woollam Co.. Inc.)에서 측정되었다. 굴절 지수는 193 ㎚에서 1.60으로 측정되었다. 필름의 흡광 계수 193 ㎚에서 약 0.05로 측정되었다.
Figure pct00017
실시예 8
하드마스크 제제 IV용의 실리콘-함유 고분자 제조
이 절차에서, 0.944 그램의 스타이렌(Aldrich, Milwaukee, WI), 3.47 그램의 메타크릴산(Aldrich, Milwaukee, WI), 5.586 그램의 POSS-메타크릴레이트(Hybride Plastics, Fountain Valley, CA), 및 90 그램의 PGME를 3-구 둥근바닥 플라스크에서 혼합하여 고분자 모액을 제조했다. 이후 플라스크를 약 10-20 분 동안 건조 질소로 탈기했다. 다음, 촉매로서 0.6 그램의 아조비스이소부티로니트릴을 플라스크에 첨가했다. 촉매가 완전히 용해될 때까지 실온에서 일정한 교반하에 혼합을 계속했다. 이후 플라스크를 70℃의 예열된 오일 배스에 담그고, 약 5 mL/분의 속도로 질소를 플라스크에 도입했다. 환류를 위하여 플라스크의 중앙 목에 수냉식 응축기를 장착했다. 일정한 교반하의 15 시간의 반응 후, 플라스크를 오일 배스로부터 꺼내고 공기 중에서 실온으로 냉각했다. GPC에 의하여 고분자의 분자량을 1.7의 다분산도로 9,260 달톤으로 결정했다. 정제는 수행되지 않았다. 플라스크의 내용물은 하드마스크 제제 IV의 고분자 모액으로서 사용되었다.
실시예 9
하드마스크 제제 IV
이 실시예에서, 상기 실시예 8의 고분자 모액을 사용하여 하드마스크 제제 IV를 제조했다. 성분을 아래 표 5에 나열된 순서로 플라스크에 첨가하고, 균질하게 될 때까지 교반하에 혼합했다. 0.1-㎛ 종점 여과기를 통하여 용액을 여과했다. 제제를 1,500 rpm으로 실리콘 웨이퍼에 스핀-코팅하고, 160℃에서 60 초 동안 베이킹했다. 생성된 필름 두께는 약 65 ㎚로 측정되었다. 하드마스크 필름의 광학 지수는 다변각 분광타원계에서 측정되었다. 굴질 지수는 193 ㎚에서 1.60으로 측정되었다. 필름의 흡광 계수는 193 ㎚에서 약 0.15로 측정되었다.
Figure pct00018
실시예 10
단일 노출-현상에 의하여 하드마스크 IV로써 생성된 트렌치 및 라인
이 절차에서, 앞의 실시예 9에서 기재한 하드마스크 제제 IV를 사용하여 필름 스택을 제조했다. 우선, 중간 레이어를 실리콘 웨이퍼에 스핀-도포했다. 중간 레이어는 반사방지 코팅이었다 (OptiStack™ SoC110D). 중간 레이어를 205℃에서 60 초 동안 베이킹했다. 레이어는 320 ㎚의 두께, 193 ㎚에서 1.42의 굴절 지수, 및 193 ㎚에서 0.52의 흡광 계수를 가졌다. 이후 하드마스크 제제 IV를 1500 rpm으로 중간 레이어 위에 스핀-코팅했고, 160℃에서 60 초 동안 베이킹했다. 다음, 이미징 레이어를 하드마스크 위에 도포했다. 이미징 레이어는 상업화되어 구입 가능한 포토레지스트였다 (AM2073J, TOK). 이후 Amphibian™ Systems(Rochester, NY)의 액침 리소그래피 마이크로스텝퍼를 사용하여 웨이퍼를 노출시켰고, 120℃에서 60 초 동안의 PEB가 이어졌다. 이후 웨이퍼 스택을 0.26 N TMAH 현상제에 담가 현상했다. 하드마스크와 함께 포토레지스트가 150-㎚ 트렌치 및 라인으로 패턴화되었다. 패턴은 SEM 이미지로서 도 5에 나타난다.
실시예 11
크로스링커 제제
이 실시에에서, 25.15 그램의 테트라메틸렌 글리콜 모노비닐 에테르(Aldrich, St. Louis, MO), 22.91 그램의 트리에틸아민(Aldrich, St. Louis, MO), 및 250 ml 테트라하이드로퓨란("THF"; Aldrich, St. Louis, MO)을 500-ml, 2-구 플라스크에 첨가하여 실시예 4, 7, 및 9에서 사용된 인하우스(in-house) 비닐 에테르 크로스링커를 제조했다. 플라스크는 교반 막대, 첨가 깔때기(addition funnel), 응축기 및 질소 유입구와 유출구를 구비했다. 플라스크를 얼음물 배스에 담그고 용액을 질소 흐름하에 교반했다.
다음, 250-ml 삼각 플라스크에서 20.00 그램의 1,3,5-벤젠트리카르보닐 트리클로라이드(Aldrich, St. Louis, MO)를 50 ml THF에 용해했다. 이 용액을 500-ml 2-구 플라스크상의 첨가 깔때기에 옮기고 첨가가 완료될 때까지 약 15 분 동안 교반되는 2-(비닐옥시)에탄올/트리에틸아민/THF 용액에 한 방울씩 첨가했다. 접촉시 백색 침전물이 형성되었다. 이후 플라스크를 얼음 배스로부터 제거하고 슬러리를 플라스크에서 실온이 되도록 두었는데, 약 16 시간이 걸렸다. 이후 환류를 위하여 슬러리를 4 시간 동안 가열했다. 플라스크를 열로부터 옮기고 실온으로 냉각되도록 두었다. 이후 흡입 여과(suction filtration) 장비를 사용하여 슬러리를 여과하고, 회전 증발기(rotary evaporator)를 사용하여 농축시켜 점성의 황색 액체를 제공한다.
이 액체를 100 ml의 디에틸에테르(Aldrich, St. Louis, MO)에 용해하고, 25-ml 분량의 12.5% 테트라메틸암모늄 하이드록사이드(TMAH; Aldrich, St. Louis, MO) 수용액으로 두 번 세척했다. 분별 깔때기를 사용하여 에테르 층을 추출한 다음 50-ml 분량의 탈이온수를 사용하여 두 번 세척했다. 에테르 층을 가라앉히고 수집했다. 에테르 층을 5.0 g의 활성화 염기성 알루미나와 혼합하여 건조했다. 혼합물을 1 시간 동안 교반하고 중력으로 여과했다. 투명한 황색 액체를 회전 증발기에서 농축하여 황색 점성 오일을 제공했다. 총 수율은 약 29.28 그램이었다 (77% 수율).

Claims (33)

  1. 다음 단계를 포함하는 마이크로전자 구조물 형성 방법:
    (a) 표면을 가지는 기판을 제공하는 단계;
    (b) 상기 기판 표면에 인접하는 하드마스크 조성물을 도포하는 단계, 상기 하드마스크 조성물은 용매 시스템에 분산되거나 용해된 고분자를 포함함;
    (c) 상기 하드마스크 조성물을 베이킹하여 하드마스크 레이어를 산출하는 단계;
    (d) 광감성 조성물을 도포하여 상기 하드마스크 레이어의 위에 제1 이미징 레이어를 형성하는 단계;
    (e) 상기 제1 이미징 레이어를 활성 복사선(activating radiation)에 노출시켜 상기 제1 이미징 레이어의 노출된 부분을 산출하는 단계;
    (f) 상기 제1 이미징 레이어의 상기 노출된 부분을 제거하기 위하여 상기 제1 이미징 레이어를 현상제와 접촉시키는 단계, 상기 접촉은 또한 상기 제1 이미징 레이어의 상기 노출된 부분 아래의 상기 하드마스크 레이어의 부분 제거를 야기하여 패턴화된 하드마스크 레이어를 산출함;
    (g) 상기 제1 이미징 레이어를 제거하기 위하여 상기 제1 이미징 레이어를 유기 용매와 접촉시키는 단계; 및
    (h) 추가 하드마스크 조성물을 상기 기판에 도포하지 않고, 제2 광감성 조성물을 도포하여 상기 패턴화된 하드마스크 레이어의 위에 제2 이미징 레이어를 형성하는 단계.
  2. 제1항에 있어서, 상기 하드마스크 레이어를 도포하기 전에 중간 레이어를 상기 기판에 도포하는 단계를 추가로 포함하는 것을 특징으로 하는 마이크로전자 구조물 형성 방법.
  3. 제1항에 있어서, (a)-(h) 동안 건식 식각이 일어나지 않음을 특징으로 하는 마이크로전자 구조물 형성 방법.
  4. 제1항에 있어서, 상기 패턴화된 하드마스크 레이어의 패턴이 (g) 동안 변하지 않은 채로 남아있음을 특징으로 하는 마이크로전자 구조물 형성 방법.
  5. 제1항에 있어서, 상기 제1 이미징 레이어는 포토레지스트임을 특징으로 하는 마이크로전자 구조물 형성 방법.
  6. 제1항에 있어서, 상기 제1 이미징 레이어는 약 20 ㎚ 내지 약 200 ㎚의 두께를 가짐을 특징으로 하는 마이크로전자 구조물 형성 방법.
  7. 제1항에 있어서, 상기 하드마스크 조성물은 (c) 동안 열가교되어 경화된 하드마스크 레이어를 산출함을 특징으로 하는 마이크로전자 구조물 형성 방법.
  8. 제1항에 있어서, 상기 하드마스크 레이어가 염기 현상제에서 초기 용해도를 가지고, 여기서:
    상기 노출 (e)는 적어도 상기 하드마스크 레이어의 일부를 활성 복사선에 노출시켜 상기 하드마스크 레이어의 노출된 부분을 산출하는 것을 추가로 포함하고, 상기 하드마스크 레이어의 상기 노출된 부분은 염기 현상제에서 최종 용해도를 가지며, 상기 최종 용해도는 상기 초기 용해도보다 더 큼을 특징으로 하는 마이크로전자 구조물 형성 방법.
  9. 제1항에 있어서, 다음 단계를 추가로 포함함을 특징으로 하는 마이크로전자 구조물 형성 방법:
    (i) 상기 제2 이미징 레이어를 활성 복사선에 노출시켜 상기 제2 이미징 레이어의 노출된 부분을 산출하는 단계;
    (J) 상기 노출된 부분을 제거하기 위하여 상기 제2 이미징 레이어를 현상제와 접촉시키는 단계, 여기서 상기 접촉은 또한 상기 제2 이미징 레이어의 상기 노출된 부분 아래의 상기 하드마스크 레이어의 부분 제거를 야기하여 추가로 패턴화된 하드마스크 레이어를 산출함; 및
    (k) 상기 제2 이미징 레이어를 제거하기 위하여 상기 제2 이미징 레이어를 유기 용매와 접촉시키는 단계.
  10. 제9항에 있어서, (j)에서 형성된 패턴화된 하드마스크 레이어의 패턴이 (k) 동안 변하지 않고 남아있음을 특징으로 하는 마이크로전자 구조물 형성 방법.
  11. 제9항에 있어서, (a)-(k) 동안 건식 식각이 일어나지 않음을 특징으로 하는 마이크로전자 구조물 형성 방법.
  12. 제9항에 있어서, 다음 단계를 추가로 포함함을 특징으로 하는 마이크로전자 구조물 형성 방법:
    (l) (j)에서 형성된 패턴화된 하드마스크 레이어의 패턴을 기판에 전사하는 단계.
  13. 제12항에 있어서, (l)이 상기 패턴화된 하드마스크 레이어 및 기판을 식각하는 것을 포함함을 특징으로 하는 마이크로전자 구조물 형성 방법.
  14. 제12항에 있어서, 상기 패턴화된 하드마스크 레이어의 패턴은 비아 및 트렌치를 포함함을 특징으로 하는 마이크로전자 구조물 형성 방법.
  15. 제1항에 있어서, 상기 고분자는 다음의 반복 단량체를 포함함을 특징으로 하는 마이크로전자 구조물 형성 방법:
    Figure pct00019

    여기서:
    각 R1은 -H 및 알킬기로 이루어진 군에서 개별적으로 선택되고,
    각 R2는 -H 및 다음으로 이루어진 군에서 선택되는 실리콘-함유 부분으로 이루어진 군에서 개별적으로 선택되며:
    Figure pct00020

    여기서 각 R3는 알킬기로 이루어진 군에서 선택되고, 각 R4는 n-부틸, t-부틸, 및 알킬기로 이루어진 군에서 선택되며, 상기 R2 중 적어도 하나는 실리콘-함유 부분임.
  16. 제1항에 있어서, 상기 고분자는 다음의 반복 단위체를 포함함을 특징으로 하는 마이크로전자 구조물 형성 방법:
    Figure pct00021

    여기서:
    각 R5는 수소, 및 알킬기로 이루어진 군에서 개별적으로 선택되고;
    M1은 금속임.
  17. 제16항에 있어서, 상기 M1은 Ti, Zr, Si, 및 Al로 이루어진 군에서 선택됨을 특징으로 하는 마이크로전자 구조물 형성 방법.
  18. 하드마스크 조성물에 있어서, 용매 시스템에 분산되거나 용해된 고분자를 포함하며, 상기 고분자는 다음의 단량체를 포함하고
    Figure pct00022

    여기서:
    각 R1은 -H 및 알킬기로 이루어진 군에서 개별적으로 선택되고,
    각 R2는 -H 및 다음으로 이루어진 군에서 선택되는 실리콘-함유 부분으로 이루어진 군에서 개별적으로 선택되며:
    Figure pct00023

    여기서 각 R3는 알킬기로 이루어진 군에서 선택되고, 각 R4는 n-부틸, t-부틸, 및 알킬기로 이루어진 군에서 선택되며, 상기 R2 중 적어도 하나는 실리콘-함유 부분인 하드마스크 조성물.
  19. 제18항에 있어서, 단량체 (I) 대 단량체 (II)의 비율이 약 0.2:0.8 내지 약 0.8:0.2이고, 단량체 (I)의 R2는 실리콘-함유 부분임을 특징으로 하는 하드마스크 조성물.
  20. 제18항에 있어서, 상기 조성물은 조성물의 총 중량을 100중량%로 취한 것을 기준으로 약 1중량% 내지 약 20중량% 고분자를 포함함을 특징으로 하는 하드마스크 조성물.
  21. 제18항에 있어서, 상기 고분자는 반복 스타이렌 단량체를 추가로 포함함을 특징으로 하는 하드마스크 조성물.
  22. 제18항에 있어서, 가교제, 촉매, 광감쇄 부분(light attenuating moiety) 부분, 계면활성제, 접착 촉진제(adhesion promoter), 항산화제, 광개시제, 및 이들의 조합으로 이루어진 군에서 선택되는 성분을 추가로 포함한을 특징으로 하는 하드마스크 조성물.
  23. 제22항에 있어서, 상기 성분은 가교제이고, 상기 가교제는 비닐 에테르 가교제임을 특징으로 하는 하드마스크 조성물.
  24. 다음을 포함하는 구조물:
    표면을 가지는 기판;
    상기 표면에 인접한 하드마스크 레이어, 상기 하드마스크 레이어는 용매 시스템에 분산되거나 용해된 고분자를 포함하는 하드마스크 조성물로부터 형성되고, 상기 고분자는 다음의 반복 단량체를 포함하며
    Figure pct00024

    여기서:
    각 R1은 -H 및 알킬기로 이루어진 군에서 개별적으로 선택되고,
    각 R2는 -H 및 다음으로 이루어진 군에서 선택되는 실리콘-함유 부분으로 이루어진 군에서 개별적으로 선택되며:
    Figure pct00025

    여기서 각 R3는 알킬기로 이루어진 군에서 선택되고, 각 R4는 n-부틸, t-부틸, 및 알킬기로 이루어진 군에서 선택되며, 상기 R2 중 적어도 하나는 실리콘-함유 부분임.
  25. 제24항에 있어서, 상기 하드마스크 레이어는 광감성(photosensitive)임을 특징으로 하는 구조물.
  26. 제24항에 있어서, 상기 하드마스크 레이어는 TMAH 및 KOH로 이루어진 군에서 선택되는 수성 염기성 현상제에 불용성임을 특징으로 하는 구조물.
  27. 제24항에 있어서, 상기 하드마스크 레이어에 인접한 이미징 레이어를 추가로 포함함을 특징으로 하는 구조물.
  28. 제24항에 있어서, 상기 하드마스크 레이어는 패턴으로 구성됨을 특징으로 하는 구조물.
  29. 제28항에 있어서, 상기 패턴은 비아 및 트렌치로 이루어진 군에서 선택됨을 특징으로 하는 구조물.
  30. 제28항에 있어서, 상기 하드마스크 레이어에 인접한 이미징 레이어를 추가로 포함함을 특징으로 하는 구조물.
  31. 제28항에 있어서, 상기 하드마스크 레이어는 에틸 락테이트, 프로필렌 글리콜 메틸 에테르 아세테이트, 프로필렌 글리콜 메틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 사이클로헥사논, 아세톤, 감마 부티로락톤 및 이들의 혼합으로 이루어진 군에서 선택된 유기 용매에 실질적으로 불용성임을 특징으로 하는 구조물.
  32. 제24항에 있어서, 상기 기판은 실리콘, SiGe. SiO2. Si3N4, 알루미늄, 텅스텐, 텅스텐 실리사이드, 갈륨 아르세나이트, 게르마늄, 탄탈럼, 탄탈럼 니트라이드, 산호, 블랙 다이아몬드, 인 또는 붕소 도핑된 유리, 및 이들의 혼합으로 이루어진 군에서 선택됨을 특징으로 하는 구조물.
  33. 다음 단계를 포함하는 마이크로전자 구조물 형성 방법:
    (a) 표면을 가지는 기판을 제공하는 단계;
    (b) 상기 기판 표면에 인접하는 하드마스크 조성물을 도포하는 단계, 상기 하드마스크 조성물은 용매 시스템에 분산되거나 용해된 고분자를 포함함;
    (c) 상기 하드마스크 조성물을 베이킹하여 하드마스크 레이어를 산출하는 단계;
    (d) 광감성 조성물을 도포하여 상기 하드마스크 레이어의 위에 제1 이미징 레이어를 형성하는 단계:
    (e) 상기 제1 이미징 레이어를 활성 복사선에 노출시켜 상기 제1 이미징 레이어의 노출된 부분을 산출하는 단계;
    (f) 상기 제1 이미징 레이어의 상기 노출된 부분을 제거하기 위하여 상기 제1 이미징 레이어를 현상제와 접촉시키는 단계, 상기 접촉은 또한 상기 제1 이미징 레이어의 상기 노출된 부분 아래의 상기 하드마스크 레이어의 부분 제거를 야기하여 패턴화된 하드마스크 레이어를 산출함;
    (g) 상기 제1 이미징 레이어를 제거하기 위하여 상기 제1 이미징 레이어를 유기 용매와 접촉시키는 단계; 및
    (h) 광감성 조성물을 도포하여 상기 패턴화된 하드마스크 레이어의 위에 제2 이미징 레이어를 형성하는 단계, 여기서 (a)-(h) 동안 건식 식각이 일어나지 않음.
KR1020107017736A 2008-01-29 2009-01-29 다중 다크 필드 노출에 의한, 하드마스크 패턴화를 위한 온-트랙 공정 KR101647158B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US2447408P 2008-01-29 2008-01-29
US61/024,474 2008-01-29

Publications (2)

Publication Number Publication Date
KR20100110363A true KR20100110363A (ko) 2010-10-12
KR101647158B1 KR101647158B1 (ko) 2016-08-09

Family

ID=40899576

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107017736A KR101647158B1 (ko) 2008-01-29 2009-01-29 다중 다크 필드 노출에 의한, 하드마스크 패턴화를 위한 온-트랙 공정

Country Status (7)

Country Link
US (2) US8133659B2 (ko)
EP (1) EP2245512B1 (ko)
JP (1) JP5357186B2 (ko)
KR (1) KR101647158B1 (ko)
CN (1) CN101971102B (ko)
TW (1) TWI467337B (ko)
WO (1) WO2009097436A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220025235A (ko) * 2014-01-13 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101971102B (zh) 2008-01-29 2012-12-12 布鲁尔科技公司 用来通过多次暗视场曝光对硬掩模进行图案化的在线法
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US20110086312A1 (en) * 2009-10-09 2011-04-14 Dammel Ralph R Positive-Working Photoimageable Bottom Antireflective Coating
SG184020A1 (en) * 2010-03-18 2012-10-30 Univ Texas Silicon-containing block co-polymers, methods for synthesis and use
US8382997B2 (en) * 2010-08-16 2013-02-26 Tokyo Electron Limited Method for high aspect ratio patterning in a spin-on layer
US9012516B2 (en) * 2010-12-21 2015-04-21 Agency For Science, Technology And Research Copolymer, composition and method for modifying rheology
US8808969B2 (en) 2011-04-12 2014-08-19 Brewer Science Inc. Method of making radiation-sensitive sol-gel materials
US8647809B2 (en) * 2011-07-07 2014-02-11 Brewer Science Inc. Metal-oxide films from small molecules for lithographic applications
KR20130015145A (ko) * 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8759225B2 (en) * 2012-09-04 2014-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method to form a CMOS image sensor
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
US8759220B1 (en) * 2013-02-28 2014-06-24 Shin-Etsu Chemical Co., Ltd. Patterning process
WO2014178421A1 (ja) * 2013-05-02 2014-11-06 富士フイルム株式会社 エッチング液およびエッチング液のキット、これをもちいたエッチング方法および半導体基板製品の製造方法
JP6413333B2 (ja) * 2014-05-13 2018-10-31 Jsr株式会社 パターン形成方法
KR102393273B1 (ko) * 2014-05-22 2022-04-29 오스트레일리안 뉴클리어 사이언스 앤드 테크놀로지 오가니제이션 감마선 이미징
KR20160029900A (ko) * 2014-09-05 2016-03-16 삼성전자주식회사 반도체 소자의 제조 방법
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
WO2016105420A1 (en) * 2014-12-24 2016-06-30 Intel Corporation Photodefinable alignment layer for chemical assisted patterning
JP6524594B2 (ja) * 2016-07-07 2019-06-05 パナソニックIpマネジメント株式会社 素子チップの製造方法
US20180164685A1 (en) * 2016-12-14 2018-06-14 Rohm And Haas Electronic Materials Llc Method using silicon-containing underlayers
US11650506B2 (en) 2019-01-18 2023-05-16 Applied Materials Inc. Film structure for electric field guided photoresist patterning process
KR102053921B1 (ko) * 2019-03-13 2019-12-09 영창케미칼 주식회사 반도체 제조 공정에 있어서 식각 패턴 신규 형성 방법
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
JPWO2023008149A1 (ko) * 2021-07-28 2023-02-02

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040070328A (ko) * 2003-01-30 2004-08-07 신에쓰 가가꾸 고교 가부시끼가이샤 고분자 화합물, 레지스트 재료 및 패턴 형성 방법
KR20060134330A (ko) * 2005-06-22 2006-12-28 주식회사 하이닉스반도체 이중 노광 공정을 이용한 미세 패턴 형성방법
KR20070009481A (ko) * 2005-07-14 2007-01-18 에이에스엠엘 네델란즈 비.브이. 기판, 리소그래피 다중 노광 방법, 기계 판독가능한 매체
JP2007116144A (ja) * 2005-10-05 2007-05-10 Asml Netherlands Bv リソグラフィ基板をオーバーレイするポジ型レジストレイヤをパターニングする方法

Family Cites Families (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4175175A (en) * 1963-07-16 1979-11-20 Union Carbide Corporation Polyarylene polyethers
USB392136I5 (ko) * 1964-08-26
US3561962A (en) * 1966-09-01 1971-02-09 Xerox Corp Method of image reproduction by photo-polymerization and blushing
US3629036A (en) * 1969-02-14 1971-12-21 Shipley Co The method coating of photoresist on circuit boards
US3682641A (en) * 1970-03-23 1972-08-08 Du Pont Photoresist developer extender baths containing polyoxyalkylene ethers and esters and process of use
US3615615A (en) * 1970-04-13 1971-10-26 Eastman Kodak Co Photographic emulsions including reactive quaternary salts
US3833374A (en) * 1970-07-14 1974-09-03 Metalphoto Corp Coloring of anodized aluminum
US3894163A (en) * 1971-03-08 1975-07-08 Western Electric Co Additives to negative photoresists which increase the sensitivity thereof
US3856751A (en) * 1972-06-14 1974-12-24 Eastman Kodak Co Diacid-xanthylium ion polyester and photographic element comprised thereof
US3873361A (en) * 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US3976524A (en) * 1974-06-17 1976-08-24 Ibm Corporation Planarization of integrated circuit surfaces through selective photoresist masking
CA1077787A (en) * 1975-11-21 1980-05-20 National Aeronautics And Space Administration Abrasion resistant coatings for plastic surfaces
DE2861696D1 (en) * 1977-09-07 1982-04-29 Ici Plc Thermoplastic aromatic polyetherketones, a method for their preparation and their application as electrical insulants
JPS5471579A (en) * 1977-11-17 1979-06-08 Matsushita Electric Ind Co Ltd Electron beam resist
US4244799A (en) 1978-09-11 1981-01-13 Bell Telephone Laboratories, Incorporated Fabrication of integrated circuits utilizing thick high-resolution patterns
US4244798A (en) * 1979-10-29 1981-01-13 General Motors Corporation Exhaust electrode process for exhaust gas oxygen sensor
US4369090A (en) * 1980-11-06 1983-01-18 Texas Instruments Incorporated Process for etching sloped vias in polyimide insulators
US4430419A (en) * 1981-01-22 1984-02-07 Nippon Telegraph & Telephone Public Corporation Positive resist and method for manufacturing a pattern thereof
US4397722A (en) * 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4526856A (en) * 1983-05-23 1985-07-02 Allied Corporation Low striation positive diazoketone resist composition with cyclic ketone(s) and aliphatic alcohol as solvents
US4996247A (en) * 1984-02-10 1991-02-26 General Electric Company Enhancing color stability to sterilizing radiation of polymer compositions
US4568631A (en) 1984-04-30 1986-02-04 International Business Machines Corporation Process for delineating photoresist lines at pattern edges only using image reversal composition with diazoquinone
JPS60262150A (ja) * 1984-06-11 1985-12-25 Nippon Telegr & Teleph Corp <Ntt> 三層レジスト用中間層材料及びそれを用いた三層レジストパタン形成方法
DE3425063A1 (de) 1984-07-07 1986-02-06 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt Maske fuer die roentgenlithographie
US4578328A (en) * 1984-07-09 1986-03-25 General Electric Company Photopatternable polyimide compositions and method for making
US4683024A (en) * 1985-02-04 1987-07-28 American Telephone And Telegraph Company, At&T Bell Laboratories Device fabrication method using spin-on glass resins
US4732841A (en) * 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
US4742152A (en) * 1986-05-27 1988-05-03 United Technologies Corporation High temperature fluorinated polyimides
US5091047A (en) * 1986-09-11 1992-02-25 National Semiconductor Corp. Plasma etching using a bilayer mask
US4808513A (en) * 1987-04-06 1989-02-28 Morton Thiokol, Inc. Method of developing a high contrast, positive photoresist using a developer containing alkanolamine
US4927736A (en) * 1987-07-21 1990-05-22 Hoechst Celanese Corporation Hydroxy polyimides and high temperature positive photoresists therefrom
JP2557898B2 (ja) * 1987-07-31 1996-11-27 株式会社東芝 半導体装置
US5137780A (en) * 1987-10-16 1992-08-11 The Curators Of The University Of Missouri Article having a composite insulative coating
US4803147A (en) * 1987-11-24 1989-02-07 Hoechst Celanese Corporation Photosensitive polyimide polymer compositions
US4847517A (en) * 1988-02-16 1989-07-11 Ltv Aerospace & Defense Co. Microwave tube modulator
US4845265A (en) * 1988-02-29 1989-07-04 Allied-Signal Inc. Polyfunctional vinyl ether terminated ester oligomers
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
KR910000832A (ko) * 1988-06-28 1991-01-30 랄프 챨스 메더스트 인터레벨 유전체 및 기질 피복물용의 저유전상수 및 저수분흡수율을 갖는 폴리이미드 및 코폴리이미드
US5304626A (en) * 1988-06-28 1994-04-19 Amoco Corporation Polyimide copolymers containing 3,3',4,4'-tetracarboxybiphenyl dianhydride (BPDA) moieties
DE3835737A1 (de) * 1988-10-20 1990-04-26 Ciba Geigy Ag Positiv-fotoresists mit erhoehter thermischer stabilitaet
US5024922A (en) * 1988-11-07 1991-06-18 Moss Mary G Positive working polyamic acid/imide and diazoquinone photoresist with high temperature pre-bake
US5169494A (en) * 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US5057399A (en) * 1989-03-31 1991-10-15 Tony Flaim Method for making polyimide microlithographic compositions soluble in alkaline media
US5198153A (en) * 1989-05-26 1993-03-30 International Business Machines Corporation Electrically conductive polymeric
US5246782A (en) * 1990-12-10 1993-09-21 The Dow Chemical Company Laminates of polymers having perfluorocyclobutane rings and polymers containing perfluorocyclobutane rings
KR950011927B1 (ko) * 1989-12-07 1995-10-12 가부시끼가이샤 도시바 감광성 조성물 및 수지봉지형 반도체장치
US5132774A (en) * 1990-02-05 1992-07-21 Mitsubishi Denki Kabushiki Kaisha Semiconductor device including interlayer insulating film
US5126231A (en) * 1990-02-26 1992-06-30 Applied Materials, Inc. Process for multi-layer photoresist etching with minimal feature undercut and unchanging photoresist load during etch
US5066566A (en) * 1990-07-31 1991-11-19 At&T Bell Laboratories Resist materials
JP3041972B2 (ja) * 1991-01-10 2000-05-15 富士通株式会社 半導体装置の製造方法
JPH0613290A (ja) * 1991-10-21 1994-01-21 Dainippon Printing Co Ltd 位相シフトフォトマスクを用いたパターン転写方法
EP0580108B1 (en) * 1992-07-22 1997-03-12 Asahi Kasei Kogyo Kabushiki Kaisha A photosensitive polyimide composition
US5370969A (en) * 1992-07-28 1994-12-06 Sharp Kabushiki Kaisha Trilayer lithographic process
JPH06230574A (ja) * 1993-02-05 1994-08-19 Fuji Photo Film Co Ltd ポジ型感光性組成物
US5443941A (en) * 1993-03-01 1995-08-22 National Semiconductor Corporation Plasma polymer antireflective coating
US5397684A (en) * 1993-04-27 1995-03-14 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
JPH07183194A (ja) * 1993-12-24 1995-07-21 Sony Corp 多層レジストパターン形成方法
US5691101A (en) * 1994-03-15 1997-11-25 Kabushiki Kaisha Toshiba Photosensitive composition
SG54108A1 (en) 1994-03-31 1998-11-16 Catalysts & Chem Ind Co Coating solution for formation of coating and use thereof
JPH07283112A (ja) * 1994-04-08 1995-10-27 Hitachi Ltd レジストパターン形成方法およびその装置
US5667940A (en) 1994-05-11 1997-09-16 United Microelectronics Corporation Process for creating high density integrated circuits utilizing double coating photoresist mask
JP3033443B2 (ja) * 1994-06-29 2000-04-17 信越化学工業株式会社 反射防止膜材料
US5607824A (en) * 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JPH08110638A (ja) * 1994-10-13 1996-04-30 Hitachi Chem Co Ltd 感光性樹脂組成物およびレジスト像の製造法
US5688987A (en) * 1994-11-09 1997-11-18 Brewer Science, Inc. Non-subliming Mid-UV dyes and ultra-thin organic arcs having differential solubility
US5554473A (en) * 1994-11-23 1996-09-10 Mitsubishi Chemical America, Inc. Photoreceptor having charge transport layers containing a copolycarbonate and layer containing same
US5542971A (en) * 1994-12-01 1996-08-06 Pitney Bowes Bar codes using luminescent invisible inks
JPH08250400A (ja) * 1995-03-14 1996-09-27 Mitsubishi Electric Corp シリコーン樹脂の除去法
US5545588A (en) * 1995-05-05 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of using disposable hard mask for gate critical dimension control
EP0753540B1 (en) 1995-07-12 2003-06-11 Mitsubishi Engineering-Plastics Corporation Polycarbonate resin composition
US5968324A (en) * 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
KR100223329B1 (ko) * 1995-12-29 1999-10-15 김영환 반도체 소자의 미세 패턴 제조방법
KR100206597B1 (ko) * 1995-12-29 1999-07-01 김영환 반도체 장치의 미세패턴 제조방법
EP0824719B1 (en) * 1996-03-06 2001-12-05 Clariant Finance (BVI) Limited A process for obtaining a lift-off imaging profile
US5633210A (en) * 1996-04-29 1997-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming damage free patterned layers adjoining the edges of high step height apertures
US5807790A (en) * 1996-05-07 1998-09-15 Advanced Micro Devices, Inc. Selective i-line BARL etch process
US5861231A (en) * 1996-06-11 1999-01-19 Shipley Company, L.L.C. Copolymers and photoresist compositions comprising copolymer resin binder component
US5739254A (en) * 1996-08-29 1998-04-14 Xerox Corporation Process for haloalkylation of high performance polymers
KR100194813B1 (ko) * 1996-12-05 1999-06-15 정선종 멀티채널/멀티캐스트 스위칭 기능을 갖는 패킷 스위칭장치 및 이를 이용한 패킷 스위칭 시스템
US5952448A (en) * 1996-12-31 1999-09-14 Korea Research Institute Of Chemical Technology Stable precursor of polyimide and a process for preparing the same
TW432257B (en) * 1997-01-31 2001-05-01 Shinetsu Chemical Co High molecular weight silicone compound, chemically amplified positive resist composition and patterning method
US6232386B1 (en) * 1997-02-26 2001-05-15 Integument Technologies, Inc. Polymer composites having an oxyhalo surface and methods for making same
JP3766165B2 (ja) 1997-03-07 2006-04-12 株式会社ニコン 画像形成方法及び感光材料
JP4350168B2 (ja) 1997-03-07 2009-10-21 コーニング インコーポレイテッド チタニアドープ溶融シリカの製造方法
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
US6428894B1 (en) 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6054254A (en) * 1997-07-03 2000-04-25 Kabushiki Kaisha Toshiba Composition for underlying film and method of forming a pattern using the film
JP3473887B2 (ja) * 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
US6124077A (en) * 1997-09-05 2000-09-26 Kansai Paint Co., Ltd. Visible light-sensitive compositions and pattern formation process
KR100566042B1 (ko) * 1997-10-07 2006-05-25 간사이 페인트 가부시키가이샤 포지티브형전착포토레지스트조성물및패턴의제조방법
US6218292B1 (en) 1997-12-18 2001-04-17 Advanced Micro Devices, Inc. Dual layer bottom anti-reflective coating
US6338936B1 (en) 1998-02-02 2002-01-15 Taiyo Ink Manufacturing Co., Ltd. Photosensitive resin composition and method for formation of resist pattern by use thereof
US5998569A (en) * 1998-03-17 1999-12-07 International Business Machines Corporation Environmentally stable optical filter materials
US6156665A (en) * 1998-04-13 2000-12-05 Lucent Technologies Inc. Trilayer lift-off process for semiconductor device metallization
US6451498B1 (en) 1998-05-28 2002-09-17 Atotech Deutschland Gmbh Photosensitive composition
JP3673399B2 (ja) 1998-06-03 2005-07-20 クラリアント インターナショナル リミテッド 反射防止コーティング用組成物
US6063547A (en) * 1998-06-11 2000-05-16 Chartered Semiconductor Manufacturing, Ltd. Physical vapor deposition poly-p-phenylene sulfide film as a bottom anti-reflective coating on polysilicon
US6121098A (en) * 1998-06-30 2000-09-19 Infineon Technologies North America Corporation Semiconductor manufacturing method
US6976904B2 (en) 1998-07-09 2005-12-20 Li Family Holdings, Ltd. Chemical mechanical polishing slurry
US6103456A (en) * 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6071662A (en) * 1998-07-23 2000-06-06 Xerox Corporation Imaging member with improved anti-curl backing layer
TWI250379B (en) 1998-08-07 2006-03-01 Az Electronic Materials Japan Chemical amplified radiation-sensitive composition which contains onium salt and generator
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6380611B1 (en) 1998-09-03 2002-04-30 Micron Technology, Inc. Treatment for film surface to reduce photo footing
US6410209B1 (en) 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
US6361833B1 (en) 1998-10-28 2002-03-26 Henkel Corporation Composition and process for treating metal surfaces
US6127070A (en) * 1998-12-01 2000-10-03 Advanced Micro Devices, Inc. Thin resist with nitride hard mask for via etch application
US6165695A (en) * 1998-12-01 2000-12-26 Advanced Micro Devices, Inc. Thin resist with amorphous silicon hard mask for via etch application
US6162587A (en) 1998-12-01 2000-12-19 Advanced Micro Devices Thin resist with transition metal hard mask for via etch application
US6306560B1 (en) * 1998-12-02 2001-10-23 Advanced Micro Devices, Inc. Ultra-thin resist and SiON/oxide hard mask for metal etch
US6171763B1 (en) * 1998-12-02 2001-01-09 Advanced Micro Devices, Inc. Ultra-thin resist and oxide/nitride hard mask for metal etch
US6156658A (en) * 1998-12-02 2000-12-05 Advanced Micro Devices, Inc. Ultra-thin resist and silicon/oxide hard mask for metal etch
US6020269A (en) * 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6200907B1 (en) * 1998-12-02 2001-03-13 Advanced Micro Devices, Inc. Ultra-thin resist and barrier metal/oxide hard mask for metal etch
US6309926B1 (en) 1998-12-04 2001-10-30 Advanced Micro Devices Thin resist with nitride hard mask for gate etch application
US6046112A (en) * 1998-12-14 2000-04-04 Taiwan Semiconductor Manufacturing Company Chemical mechanical polishing slurry
US6207238B1 (en) * 1998-12-16 2001-03-27 Battelle Memorial Institute Plasma enhanced chemical deposition for high and/or low index of refraction polymers
US6251562B1 (en) * 1998-12-23 2001-06-26 International Business Machines Corporation Antireflective polymer and method of use
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
US6136511A (en) * 1999-01-20 2000-10-24 Micron Technology, Inc. Method of patterning substrates using multilayer resist processing
US6136679A (en) * 1999-03-05 2000-10-24 Taiwan Semiconductor Manufacturing Company Gate micro-patterning process
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6458509B1 (en) 1999-04-30 2002-10-01 Toagosei Co., Ltd. Resist compositions
US6616692B1 (en) 1999-04-30 2003-09-09 Advanced Medical Optics, Inc. Intraocular lens combinations
US6890448B2 (en) * 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6110653A (en) * 1999-07-26 2000-08-29 International Business Machines Corporation Acid sensitive ARC and method of use
JP4512217B2 (ja) 1999-08-20 2010-07-28 富士フイルム株式会社 アリールシラン化合物、発光素子材料およびそれを使用した発光素子
AU6790000A (en) 1999-08-26 2001-03-19 Brewer Science, Inc. Improved fill material for dual damascene processes
US6852473B2 (en) 2000-01-12 2005-02-08 Infineon Technologies Richmond, Lp Anti-reflective coating conformality control
US20020009599A1 (en) 2000-01-26 2002-01-24 Welch Cletus N. Photochromic polyurethane coating and articles having such a coating
TW439118B (en) 2000-02-10 2001-06-07 Winbond Electronics Corp Multilayer thin photoresist process
CA2400157A1 (en) 2000-02-22 2001-08-30 Ram W. Sabnis Organic polymeric antireflective coatings deposited by chemical vapor deposition
US6461717B1 (en) 2000-04-24 2002-10-08 Shipley Company, L.L.C. Aperture fill
JP2001338926A (ja) 2000-05-29 2001-12-07 Sony Corp 半導体装置の製造方法
JP2001344732A (ja) 2000-05-29 2001-12-14 Fujitsu Ltd 磁気記録媒体用基板及びその製造方法、並びに磁気記録媒体の評価方法
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
KR100917101B1 (ko) 2000-08-04 2009-09-15 도요 보세키 가부시키가이샤 플렉시블 금속적층체 및 그 제조방법
CN1316315C (zh) 2000-09-19 2007-05-16 希普利公司 抗反射组合物
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
KR100669862B1 (ko) * 2000-11-13 2007-01-17 삼성전자주식회사 반도체 장치의 미세패턴 형성방법
US20030054117A1 (en) 2001-02-02 2003-03-20 Brewer Science, Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6444582B1 (en) 2001-02-05 2002-09-03 United Microelectronics Corp. Methods for removing silicon-oxy-nitride layer and wafer surface cleaning
US6852828B2 (en) 2001-02-16 2005-02-08 Medtronic, Inc. Poly amic acid system for polyimides
US6309955B1 (en) 2001-02-16 2001-10-30 Advanced Micro Devices, Inc. Method for using a CVD organic barc as a hard mask during via etch
US6383952B1 (en) 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
US6680252B2 (en) 2001-05-15 2004-01-20 United Microelectronics Corp. Method for planarizing barc layer in dual damascene process
AU2002312945A1 (en) 2001-05-29 2002-12-09 Essilor International Compagnie Generale D'optique Method for forming on-site a coated optical article
US6605545B2 (en) 2001-06-01 2003-08-12 United Microelectronics Corp. Method for forming hybrid low-K film stack to avoid thermal stress effect
US6458705B1 (en) 2001-06-06 2002-10-01 United Microelectronics Corp. Method for forming via-first dual damascene interconnect structure
US6548387B2 (en) 2001-07-20 2003-04-15 United Microelectronics Corporation Method for reducing hole defects in the polysilicon layer
US6624068B2 (en) 2001-08-24 2003-09-23 Texas Instruments Incorporated Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US6586560B1 (en) 2001-09-18 2003-07-01 Microchem Corp. Alkaline soluble maleimide-containing polymers
US20040010062A1 (en) 2001-09-27 2004-01-15 Byeong-In Ahn Polyimide copolymer and methods for preparing the same
KR100465866B1 (ko) 2001-10-26 2005-01-13 주식회사 하이닉스반도체 유기반사방지막 조성물 및 그의 제조방법
US6916537B2 (en) 2001-11-01 2005-07-12 Transitions Optical Inc. Articles having a photochromic polymeric coating
JP2003162065A (ja) 2001-11-26 2003-06-06 Mitsubishi Electric Corp 露光装置、露光マスク、露光方法、表示装置及び電子部品
JP3773445B2 (ja) 2001-12-19 2006-05-10 セントラル硝子株式会社 含フッ素脂環族ジアミンおよびこれを用いた重合体
US20030215736A1 (en) 2002-01-09 2003-11-20 Oberlander Joseph E. Negative-working photoimageable bottom antireflective coating
US7070914B2 (en) 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US7261997B2 (en) 2002-01-17 2007-08-28 Brewer Science Inc. Spin bowl compatible polyamic acids/imides as wet developable polymer binders for anti-reflective coatings
US6488509B1 (en) 2002-01-23 2002-12-03 Taiwan Semiconductor Manufacturing Company Plug filling for dual-damascene process
KR20030068729A (ko) 2002-02-16 2003-08-25 삼성전자주식회사 반사 방지용 광흡수막 형성 조성물 및 이를 이용한 반도체소자의 패턴 형성 방법
US6911293B2 (en) 2002-04-11 2005-06-28 Clariant Finance (Bvi) Limited Photoresist compositions comprising acetals and ketals as solvents
US6852474B2 (en) 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6849293B2 (en) 2002-05-02 2005-02-01 Institute Of Microelectronics Method to minimize iso-dense contact or via gap filling variation of polymeric materials in the spin coat process
US7265431B2 (en) 2002-05-17 2007-09-04 Intel Corporation Imageable bottom anti-reflective coating for high resolution lithography
US6740469B2 (en) 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US6872506B2 (en) 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
US6638853B1 (en) 2002-07-03 2003-10-28 Taiwan Semiconductor Manufacturing Co. Ltd. Method for avoiding photoresist resist residue on semioconductor feature sidewalls
KR20040009384A (ko) 2002-07-23 2004-01-31 삼성전자주식회사 포토레지스트용 현상액에 용해되는 유기 바닥 반사 방지조성물과 이를 이용한 사진 식각 공정
US7108958B2 (en) 2002-07-31 2006-09-19 Brewer Science Inc. Photosensitive bottom anti-reflective coatings
US6566280B1 (en) 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6821689B2 (en) 2002-09-16 2004-11-23 Numerical Technologies Using second exposure to assist a PSM exposure in printing a tight space adjacent to large feature
US20040077173A1 (en) 2002-10-17 2004-04-22 Swaminathan Sivakumar Using water soluble bottom anti-reflective coating
JP4433160B2 (ja) * 2003-01-30 2010-03-17 信越化学工業株式会社 高分子化合物、レジスト材料及びパターン形成方法
US7507783B2 (en) * 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
KR100487948B1 (ko) 2003-03-06 2005-05-06 삼성전자주식회사 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
KR100539494B1 (ko) 2003-05-02 2005-12-29 한국전자통신연구원 전기광학 및 비선형 광학 고분자로서의 곁사슬형폴리아미드 에스테르, 그것의 제조 방법 및 그것으로부터제조된 필름
US7235348B2 (en) 2003-05-22 2007-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Water soluble negative tone photoresist
ATE377036T1 (de) 2003-05-23 2007-11-15 Dow Corning Siloxan-harz basierte anti- reflektionsbeschichtung mit hoher nassätzgeschwindigkeit
US7364832B2 (en) 2003-06-11 2008-04-29 Brewer Science Inc. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
US7172849B2 (en) * 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
US7074527B2 (en) 2003-09-23 2006-07-11 Freescale Semiconductor, Inc. Method for fabricating a mask using a hardmask and method for making a semiconductor device using the same
US7270931B2 (en) * 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
JP5368674B2 (ja) 2003-10-15 2013-12-18 ブルーワー サイエンス アイ エヌ シー. 現像液に可溶な材料および現像液に可溶な材料をビアファーストデュアルダマシン適用において用いる方法
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US20050214674A1 (en) 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US20070207406A1 (en) 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20050255410A1 (en) 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US7012028B2 (en) 2004-07-26 2006-03-14 Texas Instruments Incorporated Transistor fabrication methods using reduced width sidewall spacers
JP4433933B2 (ja) * 2004-08-13 2010-03-17 Jsr株式会社 感放射線性組成物およびハードマスク形成材料
KR100639680B1 (ko) 2005-01-17 2006-10-31 삼성전자주식회사 반도체 소자의 미세 패턴 형성방법
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
CN1896869A (zh) * 2005-07-14 2007-01-17 Asml荷兰有限公司 基底、光刻多次曝光方法和可机读介质
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
TWI403843B (zh) * 2005-09-13 2013-08-01 Fujifilm Corp 正型光阻組成物及使用它之圖案形成方法
KR100740611B1 (ko) * 2005-10-12 2007-07-18 삼성전자주식회사 탑 코팅 막용 고분자, 탑 코팅 용액 조성물 및 이를 이용한이머젼 리소그라피 공정
KR20070087356A (ko) 2006-02-23 2007-08-28 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US20070212649A1 (en) 2006-03-07 2007-09-13 Asml Netherlands B.V. Method and system for enhanced lithographic patterning
US7767385B2 (en) 2006-03-09 2010-08-03 International Business Machines Corporation Method for lithography for optimizing process conditions
JP5112733B2 (ja) 2006-04-11 2013-01-09 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. フォトリソグラフィ用コーティング組成物
US7429533B2 (en) 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
JP2007311508A (ja) * 2006-05-17 2007-11-29 Nikon Corp 微細パターン形成方法及びデバイス製造方法
US7435537B2 (en) * 2006-06-21 2008-10-14 International Business Machines Corporation Fluorinated half ester of maleic anhydride polymers for dry 193 nm top antireflective coating application
US7914974B2 (en) 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
JP4826805B2 (ja) * 2006-08-30 2011-11-30 信越化学工業株式会社 フォトレジスト下層膜材料、フォトレジスト下層膜基板及びパターン形成方法
US7862985B2 (en) * 2006-09-22 2011-01-04 Tokyo Electron Limited Method for double patterning a developable anti-reflective coating
US8168372B2 (en) * 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
TWI374478B (en) 2007-02-13 2012-10-11 Rohm & Haas Elect Mat Electronic device manufacture
TWI493598B (zh) 2007-10-26 2015-07-21 Applied Materials Inc 利用光阻模板遮罩的倍頻方法
CN101971102B (zh) 2008-01-29 2012-12-12 布鲁尔科技公司 用来通过多次暗视场曝光对硬掩模进行图案化的在线法
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040070328A (ko) * 2003-01-30 2004-08-07 신에쓰 가가꾸 고교 가부시끼가이샤 고분자 화합물, 레지스트 재료 및 패턴 형성 방법
KR20060134330A (ko) * 2005-06-22 2006-12-28 주식회사 하이닉스반도체 이중 노광 공정을 이용한 미세 패턴 형성방법
KR20070009481A (ko) * 2005-07-14 2007-01-18 에이에스엠엘 네델란즈 비.브이. 기판, 리소그래피 다중 노광 방법, 기계 판독가능한 매체
JP2007116144A (ja) * 2005-10-05 2007-05-10 Asml Netherlands Bv リソグラフィ基板をオーバーレイするポジ型レジストレイヤをパターニングする方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220025235A (ko) * 2014-01-13 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝

Also Published As

Publication number Publication date
WO2009097436A2 (en) 2009-08-06
WO2009097436A3 (en) 2009-10-15
US20110223524A1 (en) 2011-09-15
US20090191474A1 (en) 2009-07-30
EP2245512A4 (en) 2011-08-10
US8133659B2 (en) 2012-03-13
EP2245512B1 (en) 2019-09-11
JP5357186B2 (ja) 2013-12-04
TWI467337B (zh) 2015-01-01
EP2245512A2 (en) 2010-11-03
CN101971102A (zh) 2011-02-09
CN101971102B (zh) 2012-12-12
US8415083B2 (en) 2013-04-09
JP2011514662A (ja) 2011-05-06
TW200947133A (en) 2009-11-16
KR101647158B1 (ko) 2016-08-09

Similar Documents

Publication Publication Date Title
KR101647158B1 (ko) 다중 다크 필드 노출에 의한, 하드마스크 패턴화를 위한 온-트랙 공정
KR101697790B1 (ko) 마이크로리소그래피용 감광성 하드마스크
JP5840954B2 (ja) 酸感応性、現像剤可溶性の下層反射防止膜
JP6109164B2 (ja) リソグラフィー用途用の小分子由来の金属酸化物フィルム
JP5822358B2 (ja) 縮合芳香環を含む反射防止コーティング組成物
KR101697789B1 (ko) 감광성이며 현상액-용해성인 193-nm 리소그래피를 위한 이중층 바닥 반사-방지 코팅을 사용하여 마이크로일렉트로닉 구조물을 제작하는 방법
KR102477802B1 (ko) 금속 산화물 나노입자 및 유기 중합체를 함유하는 스핀-온 물질의 조성물
KR20090077790A (ko) 현상제로 트리밍된 경질 마스크를 사용하여 포토리소그래피 구조물을 형성하는 방법
US20050089792A1 (en) Low-activation energy silicon-containing resist system
KR101900976B1 (ko) 개선된 패터닝 요구를 위해 작은 특징 부분(feature)을 패터닝하는 방법
KR20090051759A (ko) 다중 패터닝 공정을 위한 반사방지 이미지층
JP2007017976A (ja) 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法
WO2006096221A1 (en) Low refractive index polymers as underlayers for silicon-containing photoresists

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190724

Year of fee payment: 4