WO2014178421A1 - エッチング液およびエッチング液のキット、これをもちいたエッチング方法および半導体基板製品の製造方法 - Google Patents

エッチング液およびエッチング液のキット、これをもちいたエッチング方法および半導体基板製品の製造方法 Download PDF

Info

Publication number
WO2014178421A1
WO2014178421A1 PCT/JP2014/062066 JP2014062066W WO2014178421A1 WO 2014178421 A1 WO2014178421 A1 WO 2014178421A1 JP 2014062066 W JP2014062066 W JP 2014062066W WO 2014178421 A1 WO2014178421 A1 WO 2014178421A1
Authority
WO
WIPO (PCT)
Prior art keywords
group
carbon atoms
layer
compound
etching
Prior art date
Application number
PCT/JP2014/062066
Other languages
English (en)
French (fr)
Inventor
泰雄 杉島
智美 高橋
朗子 小山
上村 哲也
Original Assignee
富士フイルム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 富士フイルム株式会社 filed Critical 富士フイルム株式会社
Priority to KR1020157031645A priority Critical patent/KR101659829B1/ko
Publication of WO2014178421A1 publication Critical patent/WO2014178421A1/ja
Priority to US14/928,010 priority patent/US20160047053A1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/38Alkaline compositions for etching refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/32Alkaline compositions
    • C23F1/40Alkaline compositions for etching other metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/44Compositions for etching metallic material from a metallic material substrate of different composition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • the present invention relates to an etching solution, an etching solution kit, an etching method using the same, and a method for manufacturing a semiconductor substrate product.
  • Integrated circuit manufacturing consists of various processing steps in multiple stages. Specifically, in the manufacturing process, deposition of various materials, lithography of a necessary or partially exposed layer, etching of the layer, and the like are repeated many times. Among them, etching of a metal or metal compound layer is an important process. Metal or the like must be selectively etched, and other layers must remain without being corroded. In some cases, it is required to remove only a predetermined layer in a form that leaves layers made of similar metal species or a more highly corrosive layer. The size of wiring and integrated circuits in a semiconductor substrate is becoming increasingly smaller, and the importance of performing etching without being corroded accurately is increasing.
  • a field effect transistor As an example, along with its rapid miniaturization, there is a strong demand for thinning a silicide layer formed on the upper surface of a source / drain region and development of a new material.
  • a salicide Silicon: Self-Aligned Silicide
  • a part of a source region and a drain region made of silicon or the like formed on a semiconductor substrate and a metal layer attached to the upper surface thereof are annealed.
  • the metal layer tungsten (W), titanium (Ti), cobalt (Co), or the like is applied, and recently nickel (Ni) is adopted.
  • a low-resistance silicide layer can be formed on the upper side of the source / drain electrodes and the like.
  • platinum which is a noble metal
  • Patent Document 1 discloses an example using a chemical solution in which toluenesulfonic acid is added in addition to nitric acid and hydrochloric acid.
  • An object of the present invention is to provide an etching solution and an etching solution kit capable of selectively removing a layer containing a specific metal from a layer containing germanium, an etching method using the same, and a method for manufacturing a semiconductor substrate product. Is in the provision of.
  • Acidic aqua regia is used for the etching solution of this system including the above patent documents.
  • the present inventors examined applying an alkaline etching solution. As a result, as shown in the examples described later, it was confirmed that good damage resistance was exhibited against germanium, while metal layers such as titanium and copper could be suitably removed. The present invention has been completed based on such findings.
  • Etching solution containing an organic alkali compound is (a) a hydrocarbon amine compound having 3 or more carbon atoms, (b) an amine compound containing an oxygen atom or a sulfur atom, or (c) an ammonium compound or oxygen atom having 5 or more carbon atoms.
  • the etching liquid as described in [1] which is an ammonium compound which has a sulfur atom.
  • the organic alkali compound is a compound represented by any of the following formulas (O-1) to (O-3), (P-1) to (P-3), (Q-1), The compound according to any one of [1] to [4], which is a compound having a repeating unit selected from the formulas (a-1) to (a-8) or a compound represented by the following formula (b): Etching solution.
  • each R O1 is independently an alkyl group (3 to 12 carbon atoms), an alkenyl group (1 to 12 carbon atoms), an alkynyl group (1 to 12 carbon atoms), or an aryl group (6 to 14 carbon atoms).
  • R O2 to R O6 are each independently an alkyl group (having 1 to 12 carbon atoms), an alkenyl group (having 1 to 12 carbon atoms), an alkynyl group (having 1 to 12 carbon atoms), or an aryl group (having 6 to 14 carbon atoms).
  • the alkyl group, alkenyl group, alkynyl group, and aryl group here may further have an amino group, and on the other hand, do not have a substituent having an oxygen atom or a sulfur atom.
  • R P1 to R P6 each independently represent an acyl group (1 to 6 carbon atoms), an alkoxy group (1 to 6 carbon atoms), an alkoxycarbonyl group (2 to 6 carbon atoms), an alkoxycarbonylamino group ( 2 to 6 carbon atoms, a group represented by the following formula (x), an alkyl group (1 to 6 carbon atoms), an alkenyl group (2 to 6 carbon atoms), an alkynyl group (2 to 6 carbon atoms), an aryl group (C6-C10) or a heterocyclic group (C2-C6).
  • R P1 is not a hydrocarbon group.
  • R P2 and R P3 are not both hydrocarbon groups.
  • R P4 to R P6 are not all hydrocarbon groups.
  • X1 represents a hydroxy group, a sulfanyl group, an alkoxy group having 1 to 4 carbon atoms, or a thioalkoxy group having 1 to 4 carbon atoms.
  • Rx1 and Rx2 each independently represents an alkylene group having 1 to 6 carbon atoms, an alkenylene group having 2 to 6 carbon atoms, an alkynylene group having 2 to 6 carbon atoms, an arylene group having 6 to 10 carbon atoms, or a combination thereof.
  • X2 represents O, S, CO, NR N ( RN is a hydrogen atom or an alkyl group having 1 to 6 carbon atoms).
  • mx represents an integer of 0 to 6. When mx is 2 or more, the plurality of Rx1 and X2 may be different from each other. Rx1 and Rx2 may further have a substituent T. * Is a bond.
  • R Q1 to R Q4 each independently represent an alkyl group having 1 to 20 carbon atoms, an alkenyl group having 2 to 20 carbon atoms, an alkynyl group having 2 to 20 carbon atoms, an aryl group having 6 to 14 carbon atoms, A aralkyl group of 7 to 14 or a group represented by the following formula (y).
  • the total number of carbon atoms of R Q1 to R Q4 is 5 or more, or when the total number of carbon atoms of R Q1 to R Q4 is 4, any of R Q1 to R Q4 contains an oxygen atom or a sulfur atom. It has a substituent to contain.
  • Y1- (Ry1-Y2) my-Ry2- * (y) Y1 is an alkyl group having 1 to 12 carbon atoms, an alkenyl group having 2 to 12 carbon atoms, an alkynyl group having 2 to 12 carbon atoms, an aralkyl group having 7 to 14 carbon atoms, an aryl group having 6 to 14 carbon atoms, a hydroxy group, A sulfanyl group, an alkoxy group having 1 to 4 carbon atoms, or a thioalkoxy group having 1 to 4 carbon atoms is represented.
  • Y2 represents O, S, CO, NR N ( RN is a hydrogen atom or an alkyl group having 1 to 6 carbon atoms).
  • Ry1 and Ry2 each independently represents an alkylene group having 1 to 6 carbon atoms, an alkenylene group having 2 to 6 carbon atoms, an alkynylene group having 2 to 6 carbon atoms, an arylene group having 6 to 10 carbon atoms, or a combination thereof.
  • my represents an integer of 0 to 6.
  • the plurality of Ry1 and Y2 may be different from each other.
  • Ry1 and Ry2 may further have a substituent T. * Is a bond.
  • M4 ⁇ is a counter ion.
  • R a represents a hydrogen atom, an alkyl group, an alkenyl group, an aryl group, or a heterocyclic group.
  • R b represents an alkyl group or an alkenyl group.
  • L a represents an alkylene group, a carbonyl group, an imino group, an arylene group, a heterocyclic group, or a combination thereof. Of these, an alkylene group or a carbonyl group is preferred.
  • L b represents a single bond, an alkylene group, a carbonyl group, an imino group, an arylene group, a heterocyclic group, or a combination thereof.
  • R c represents a hydrogen atom or an alkyl group.
  • n represents an integer of 0 or more.
  • Q1 to Q3 each independently represent a nitrogen-containing heterocycle.
  • R c represents a hydrogen atom or an alkyl group.
  • m represents an integer of 0 or more.
  • L d represents an alkylene group, a carbonyl group, an imino group, an arylene group, a heterocyclic group, or a combination thereof.
  • the organic alkali compound is selected from the group consisting of alkylamine compounds having 3 or more carbon atoms, alkylammonium compounds having 5 or more carbon atoms, carbamoyl compounds, and alkoxyamine compounds.
  • the etching liquid as described in one.
  • Organic additive Additive made of organic compound containing nitrogen atom, sulfur atom, phosphorus atom, or oxygen atom]
  • an etching solution kit for selectively removing the second layer, An etching solution kit comprising a first solution containing an organic alkali compound and a second solution containing an oxidizing agent.
  • the organic alkali compound is (a) a hydrocarbon amine compound having 5 or more carbon atoms, (b) an amine compound containing a hetero atom, or (c) an ammonium compound.
  • the concentration of germanium (Ge) in the first layer is 40% by mass or more.
  • the specific metal element constituting the second layer is selected from nickel platinum (NiPt), titanium (Ti), nickel (Ni), and cobalt (Co). The etching method as described in one.
  • the organic alkali compound is a compound represented by any of the following formulas (O-1) to (O-3), (P-1) to (P-3), (Q-1), The compound according to any one of [11] to [14], which is a compound having a repeating unit selected from the formulas (a-1) to (a-8) or a compound represented by the following formula (b): Etching method.
  • each R O1 is independently an alkyl group (3 to 12 carbon atoms), an alkenyl group (1 to 12 carbon atoms), an alkynyl group (1 to 12 carbon atoms), or an aryl group (6 to 14 carbon atoms).
  • R O2 to R O6 are each independently an alkyl group (having 1 to 12 carbon atoms), an alkenyl group (having 1 to 12 carbon atoms), an alkynyl group (having 1 to 12 carbon atoms), or an aryl group (having 6 to 14 carbon atoms).
  • the alkyl group, alkenyl group, alkynyl group, and aryl group here may further have an amino group, and on the other hand, do not have a substituent having an oxygen atom or a sulfur atom.
  • R P1 to R P6 each independently represent an acyl group (1 to 6 carbon atoms), an alkoxy group (1 to 6 carbon atoms), an alkoxycarbonyl group (2 to 6 carbon atoms), an alkoxycarbonylamino group ( 2 to 6 carbon atoms, a group represented by the following formula (x), an alkyl group (1 to 6 carbon atoms), an alkenyl group (2 to 6 carbon atoms), an alkynyl group (2 to 6 carbon atoms), an aryl group (C6-C10) or a heterocyclic group (C2-C6).
  • R P1 is not a hydrocarbon group.
  • R P2 and R P3 are not both hydrocarbon groups.
  • R P4 to R P6 are not all hydrocarbon groups.
  • X1 represents a hydroxy group, a sulfanyl group, an alkoxy group having 1 to 4 carbon atoms, or a thioalkoxy group having 1 to 4 carbon atoms.
  • Rx1 and Rx2 each independently represents an alkylene group having 1 to 6 carbon atoms, an alkenylene group having 2 to 6 carbon atoms, an alkynylene group having 2 to 6 carbon atoms, an arylene group having 6 to 10 carbon atoms, or a combination thereof.
  • X2 represents O, S, CO, NR N ( RN is a hydrogen atom or an alkyl group having 1 to 6 carbon atoms).
  • mx represents an integer of 0 to 6. When mx is 2 or more, the plurality of Rx1 and X2 may be different from each other. Rx1 and Rx2 may further have a substituent T. * Is a bond.
  • R Q1 to R Q4 each independently represent an alkyl group having 1 to 20 carbon atoms, an alkenyl group having 2 to 20 carbon atoms, an alkynyl group having 2 to 20 carbon atoms, an aryl group having 6 to 14 carbon atoms, A aralkyl group of 7 to 14 or a group represented by the following formula (y).
  • the total number of carbon atoms of R Q1 to R Q4 is 5 or more, or when the total number of carbon atoms of R Q1 to R Q4 is 4, any of R Q1 to R Q4 contains an oxygen atom or a sulfur atom. It has a substituent to contain.
  • Y1- (Ry1-Y2) my-Ry2- * (y) Y1 is an alkyl group having 1 to 12 carbon atoms, an alkenyl group having 2 to 12 carbon atoms, an alkynyl group having 2 to 12 carbon atoms, an aralkyl group having 7 to 14 carbon atoms, an aryl group having 6 to 14 carbon atoms, a hydroxy group, A sulfanyl group, an alkoxy group having 1 to 4 carbon atoms, or a thioalkoxy group having 1 to 4 carbon atoms is represented.
  • Y2 represents O, S, CO, NR N ( RN is a hydrogen atom or an alkyl group having 1 to 6 carbon atoms).
  • Ry1 and Ry2 each independently represents an alkylene group having 1 to 6 carbon atoms, an alkenylene group having 2 to 6 carbon atoms, an alkynylene group having 2 to 6 carbon atoms, an arylene group having 6 to 10 carbon atoms, or a combination thereof.
  • my represents an integer of 0 to 6.
  • the plurality of Ry1 and Y2 may be different from each other.
  • Ry1 and Ry2 may further have a substituent T. * Is a bond.
  • M4 ⁇ is a counter ion.
  • R a represents a hydrogen atom, an alkyl group, an alkenyl group, an aryl group, or a heterocyclic group.
  • R b represents an alkyl group or an alkenyl group.
  • L a represents an alkylene group, a carbonyl group, an imino group, an arylene group, a heterocyclic group, or a combination thereof. Of these, an alkylene group or a carbonyl group is preferred.
  • L b represents a single bond, an alkylene group, a carbonyl group, an imino group, an arylene group, a heterocyclic group, or a combination thereof.
  • R c represents a hydrogen atom or an alkyl group.
  • n represents an integer of 0 or more.
  • Q1 to Q3 each independently represent a nitrogen-containing heterocycle.
  • R c represents a hydrogen atom or an alkyl group.
  • m represents an integer of 0 or more.
  • L d represents an alkylene group, a carbonyl group, an imino group, an arylene group, a heterocyclic group, or a combination thereof.
  • the semiconductor substrate is rotated, and the etching solution is supplied from the upper surface of the rotating semiconductor substrate through a nozzle.
  • the etching method as described in one.
  • a method for manufacturing a semiconductor substrate product including:
  • an etching method using the etching solution, and a method for manufacturing a semiconductor substrate product an etching method using the etching solution, and a method for manufacturing a semiconductor substrate product, a layer containing a specific metal can be selectively removed with respect to a layer containing germanium. it can.
  • FIG. 1 shows the semiconductor substrate before and after etching.
  • the metal layer (second layer) 1 is disposed on the upper surface of the silicon layer (first layer) 2.
  • a SiGe epitaxial layer constituting a source electrode and a drain electrode is applied as the silicon layer (first layer).
  • the SiGe epitaxial layer is preferable because the remarkable effect of the etching solution is exhibited.
  • the constituent material of the metal layer (second layer) 1 examples include tungsten (W), titanium (Ti), cobalt (Co), nickel (Ni), nickel platinum (NiPt), and the like.
  • the metal layer can be formed by a method usually applied to this type of metal film, and specifically, film formation by CVD (Chemical Vapor Deposition) can be mentioned.
  • the thickness of the metal layer at this time is not particularly limited, but examples include a film having a thickness of 5 nm to 50 nm.
  • the metal layer is a NiPt layer (Pt content of more than 0% by mass and preferably 20% by mass or less) and a Ni layer (Pt content of 0% by mass), and the etching solution exhibits a remarkable effect.
  • the metal layer may contain other elements in addition to the metal atoms listed above. For example, oxygen and nitrogen inevitably mixed in may exist. The amount of inevitable impurities is preferably suppressed to, for example, about 1 ppt to 10 ppm.
  • the second layer is preferably a layer substantially composed of a metal element.
  • the metal element for example, in the case of Ti, it does not include a TiN layer or the like and is a layer of metal titanium (Ti).
  • Ti metal titanium
  • the etchant of the present invention can minimize corrosion of materials that are not desired to be etched. Examples of the material that is not desired to be etched include at least one selected from the group consisting of Al, SiO 2 , SiN, SiOC, HfO, and TiAlC.
  • annealing is performed, and a metal-Si reaction film (third layer: germanium silicide layer) 3 is formed at the interface.
  • annealing may be performed under conditions normally applied to the manufacture of this type of device, and for example, treatment at 200 to 1000 ° C. may be mentioned.
  • the thickness of the germanium silicide layer 3 at this time is not particularly limited, but examples include a layer of 50 nm or less, and an example of a layer of 10 nm or less. Although there is no lower limit in particular, it is practical that it is 1 nm or more.
  • This germanium silicide layer is applied as a low-resistance film, and functions as a conductive portion that electrically connects a source electrode and a drain electrode located under the germanium silicide layer and a wiring disposed thereon. Therefore, if a defect or corrosion occurs in the germanium silicide layer, this conduction is hindered, which may lead to quality deterioration such as device malfunction. In particular, recently, the integrated circuit structure inside the substrate has been miniaturized, and even a minute damage can have a great influence on the performance of the element. Therefore, it is desirable to prevent such defects and corrosion as much as possible.
  • the germanium silicide layer is a concept included in the first germanium-containing layer in a broad sense.
  • the second layer is selectively removed with respect to the first layer, not only a mode in which the second layer (metal layer) is preferentially removed with respect to the non-silicided germanium-containing layer, but also germanium. This means that the second layer (metal layer) is preferentially removed with respect to the silicide layer.
  • the first germanium-containing layer excluding the germanium silicide layer
  • the third germanium silicide layer are distinguished from each other, they are referred to as the first layer and the third layer, respectively.
  • step (b)-> step (c) the remaining metal layer 1 is etched (step (b)-> step (c)).
  • an etching solution is applied at this time, and the metal layer 1 is removed by applying and contacting the etching solution from the upper side of the metal layer 1.
  • the form of application of the etchant will be described later.
  • the silicon layer 2 is made of a SiGe epitaxial layer and can be formed by crystal growth on a silicon substrate having specific crystallinity by a chemical vapor deposition (CVD) method.
  • CVD chemical vapor deposition
  • an epitaxial layer formed with desired crystallinity may be formed by an electron beam epitaxy (MBE) method or the like.
  • boron (B) having a concentration of about 1 ⁇ 10 14 cm ⁇ 3 to 1 ⁇ 10 21 cm ⁇ 3 is doped.
  • phosphorus (P) is preferably doped at a concentration of 1 ⁇ 10 14 cm ⁇ 3 to 1 ⁇ 10 21 cm ⁇ 3 .
  • the Ge concentration in the SiGe epitaxial layer is preferably 20 to 100% by mass, and more preferably 40 to 90% by mass.
  • the reason why it is preferable that Ge is relatively high is estimated as follows. When Ge and Si are compared, it is understood that after oxidation of Si, an oxide film SiOx is generated, and this oxidized species does not elute and becomes a reaction stop layer. Therefore, a difference occurs between a portion where Ge is eluted in the wafer and a portion where the reaction is stopped by SiOx, and as a result, the in-plane uniformity of the wafer can be impaired.
  • the germanium silicide layer when the Ge concentration is increased, the influence of inhibition by SiOx in the above mechanism is reduced, and in particular when the chemical solution having high removability is applied to the metal layer like the etching solution of the present invention, the in-plane uniformity of the wafer is reduced. It is thought that the sex can be secured.
  • the layer formed by annealing with the alloy of the second layer contains germanium and the specific metal element of the second layer, and does not contain silicon. Is referred to as a germanium silicide layer.
  • the germanium silicide layer (third layer) is a layer containing germanium (Ge) interposed between the first layer and the second layer and the specific metal element.
  • M metal element
  • x + y + z 1, preferably 0.2 ⁇ x + y ⁇ 0.8, and 0.3 ⁇ x + y ⁇ 0.7
  • z is preferably 0.2 ⁇ z ⁇ 0.8, and more preferably 0.3 ⁇ z ⁇ 0.7.
  • a preferred range of the ratio of x and y is as defined above.
  • the third layer may contain other elements. This is the same as described
  • FIG. 2 is a process diagram showing an example of manufacturing a MOS transistor.
  • A) is a MOS transistor structure formation process
  • B) is a metal film sputtering process
  • C is a first annealing process
  • D is a metal film selective removal process
  • E is a second annealing process. It is a process.
  • a gate electrode 23 is formed through a gate insulating film 22 formed on the surface of the silicon substrate 21. Extension regions may be separately formed on both sides of the gate electrode 23 of the silicon substrate 21.
  • a protective layer (not shown) that prevents contact with the NiPt layer may be formed on the gate electrode 23.
  • a sidewall 25 made of a silicon oxide film or a silicon nitride film is formed, and a source region 26 and a drain region 27 are formed by ion implantation.
  • a NiPt film 28 is formed and subjected to a rapid annealing process. As a result, the elements in the NiPt film 28 are diffused into the silicon substrate for silicidation (in this specification, alloying by annealing is referred to as silicidation for convenience, including the case of 100% by mass of germanium).
  • the electrode member can be changed to a desired state by performing the second annealing as shown in FIG.
  • the first and second annealing temperatures are not particularly limited, but can be performed at 400 to 1100 ° C., for example.
  • the NiPt film 28 remaining without contributing to silicidation can be removed by using the etching solution of the present invention (FIGS. 2C and 2D).
  • FIGS. 2C and 2D etching solution of the present invention
  • Silicon substrate Si, SiGe, Ge 22
  • Gate insulating film HfO 2 (High-k) 23
  • Gate electrode Al, W, TiN or Ta 25
  • Side wall SiOCN, SiN, SiO 2 (low-k) 26
  • Metal layer Ni, Pt, Ti Not shown Cap: TiN
  • the present invention is not limited to this specific example and can be applied to other semiconductor substrates.
  • a semiconductor substrate including a high dielectric film / metal gate FinFET having a silicide pattern on the source and / or drain region may be used.
  • FIG. 5 is a cross-sectional view schematically showing a substrate structure according to another embodiment of the present invention.
  • 90A is a first gate stack located in the first device region.
  • Reference numeral 90B denotes a second gate stack located in the second element region.
  • the gate stack contains a conductive tantalum alloy layer or TiAlC.
  • the first gate stack will be described.
  • 92A is a well.
  • 94A is a first source / drain extension region
  • 96A is a first source / drain region
  • 91A is a first metal semiconductor alloy portion.
  • Reference numeral 95A denotes a first gate spacer.
  • 97A is a first gate insulating film
  • 81 is a first work function material layer (81)
  • 82A is a second work function material layer (second work function material layer).
  • Reference numeral 83A denotes a first metal portion that serves as an electrode.
  • 93 is a trench structure
  • 99 is a planarizing dielectric layer.
  • Reference numeral 80 denotes a lower semiconductor layer.
  • the first gate stack has the same structure, and 91B, 92B, 94B, 95B, 96B, 97B, 82B, 83B are 91A, 92A, 94A, 95A, 96A, 97A, 82A of the first gate stack, respectively. , 83A.
  • the first gate stack has a first work function material layer 81, but the second gate stack is not provided with it.
  • the work function material layer may be either a p-type work function material layer or an n-type work function material layer.
  • a p-type work function material refers to a material having a work function between the valence band energy level and the mid band gap energy level of silicon. That is, in the energy level of silicon, the energy level of the conduction band and the valence band energy level are equivalently separated.
  • An n-type work function material refers to a material having a work function between the energy level of the conduction band of silicon and the mid band gap energy level of silicon.
  • the material of the work function material layer is preferably a conductive tantalum alloy layer or TiAlC.
  • the conductive tantalum alloy layer can comprise a material selected from (i) an alloy of tantalum and aluminum, (ii) an alloy of tantalum and carbon, (iii) an alloy of tantalum, aluminum, and carbon.
  • TaAl In an alloy of tantalum and aluminum, the atomic concentration of tantalum can be 10% to 99%.
  • the atomic concentration of aluminum can be 1% to 90%.
  • the atomic concentration of carbon can be 20% to 80%.
  • the atomic concentration of tantalum can be 15% to 80%.
  • the atomic concentration of aluminum can be 1% to 60%.
  • the atomic concentration of carbon can be 15% to 80%.
  • the work function material layer can be (iv) a titanium nitride layer consisting essentially of titanium nitride or (v) a layer of titanium, aluminum and carbon alloy. (Iv) TiN
  • the atomic concentration of titanium can be 30% to 90%.
  • the atomic concentration of nitrogen can be 10% to 70%.
  • V TiAlC
  • the atomic concentration of titanium can be 15% to 45%.
  • the atomic concentration of aluminum can be 5% to 40%.
  • the atomic concentration of carbon can be 5% to 50%.
  • the work function material layer can be formed by atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), or the like.
  • the work function material layer is preferably formed so as to cover the gate electrode, and the film thickness is preferably 100 nm or less, more preferably 50 nm or less, and further preferably 1 nm to 10 nm.
  • a substrate employing a TiAlC layer from the viewpoint of suitably exhibiting etching selectivity.
  • the gate dielectric layer is made of a high-k material containing a metal and oxygen.
  • the high-k gate dielectric material known materials can be used.
  • the film can be deposited by conventional methods. Examples include chemical vapor deposition (CVD), physical vapor deposition (PVD), molecular beam vapor deposition (MBD), pulsed laser vapor deposition (PLD, liquid source mist chemical deposition (LSMCD), atomic layer deposition (ALD), and the like.
  • high-k dielectric materials examples include HfO 2 , ZrO 2 , La 2 O 3 , Al 2 O 3 , TiO 2 , SrTiO 3 , LaAlO 3 , Y 2 O 3 , HfO x N y , ZrO x N y , La 2 O x N y , Al 2 O x N y , TiO x N y , SrTiO x N y , LaAlO x N y , Y 2 O x N y, etc., where x is 0.5-3. y is 0 to 2.
  • the thickness of the gate dielectric layer is preferably 0.9 to 6 nm, more preferably 1 to 3 nm, and in particular, the gate dielectric layer is made of hafnium oxide (HfO 2). It is preferable Ranaru.
  • Other members and structures can be appropriately formed by ordinary methods using ordinary materials. For details thereof, reference can be made to US Publication No. 2013/0214364 and US Publication No. 2013/0341631, which are incorporated herein by reference.
  • the first layer metal Ni
  • Pt, Ti, etc. can be removed.
  • the etching solution of this embodiment contains an organic alkali compound and, if necessary, an oxidizing agent.
  • an organic alkali compound and, if necessary, an oxidizing agent.
  • each component including an arbitrary one will be described.
  • the organic alkali compound is a compound having carbon atoms and exhibiting alkalinity, and (a) a hydrocarbon amine compound having 3 or more carbon atoms, (b) an amine compound containing an oxygen atom or a sulfur atom, or (c) a carbon number. It is preferably an ammonium compound having 5 or more or an ammonium compound having an oxygen atom or a sulfur atom.
  • the amine compound is a compound containing a primary amine, a secondary amine, a tertiary amine, or a salt thereof. This includes carbamoyl groups and salts thereof.
  • the ammonium group is meant to include a quaternary ammonium group or a salt thereof.
  • the hydrocarbon group of the amine compound (a) is an alkane residue (typically an alkyl group, but may be a divalent or higher group. The same applies to other residues), alkene Residue, aryl residue, or combinations thereof.
  • the hydrocarbon amine compound (a) has 3 or more carbon atoms, but the upper limit is practically 16 or less carbon atoms.
  • hydrocarbon amine (a) examples include compounds represented by any of the following formulas (O-1) to (O-3).
  • R O1 each independently represents an alkyl group (preferably having 3 to 12 carbon atoms, more preferably 4 to 12 carbon atoms, more preferably 5 to 12 carbon atoms) or an alkenyl group (preferably having 1 to 12 carbon atoms, An alkynyl group (preferably having 1 to 12 carbon atoms, more preferably 3 to 12 carbon atoms), an aryl group (preferably having 6 to 14 carbon atoms).
  • R O2 to R O6 are each independently an alkyl group (having 1 to 12 carbon atoms), an alkenyl group (having 1 to 12 carbon atoms), an alkynyl group (having 1 to 12 carbon atoms), or an aryl group (having 6 to 14 carbon atoms).
  • the alkyl group, alkenyl group, alkynyl group, and aryl group here may have an amino group, and on the other hand, do not have a substituent having an oxygen atom or a sulfur atom.
  • hydrocarbon amine compound (a) examples include cyclohexylamine, pentylamine, benzylamine, n-hexylamine, 2-ethylhexylamine, octylamine and the like.
  • the amine compound (b) having an oxygen atom or a sulfur atom is preferably a compound having a hydrocarbon group as defined above and a substituent containing an oxygen atom or a sulfur atom.
  • substituent or linking group containing a hetero atom include a hydroxy group (OH), a carboxyl group (COOH), a sulfanyl group (SH), an ether group (O), a thioether group (S), and a carbonyl group (CO).
  • the amine compound (b) has 1 or more carbon atoms, and the upper limit is practically 16 or less carbon atoms.
  • Examples of the amine compound (b) having a hetero atom include compounds represented by any of the following formulas (P-1) to (P-3).
  • R P1 to R P6 each independently represent an acyl group (preferably having 1 to 6 carbon atoms), an alkoxy group (preferably having 1 to 6 carbon atoms), or an alkoxycarbonyl group (preferably having 2 to 6 carbon atoms).
  • An alkoxycarbonylamino group preferably having 2 to 6 carbon atoms
  • a group represented by the following formula (x) an alkyl group (preferably having 1 to 6 carbon atoms), an alkenyl group (preferably having 2 to 6 carbon atoms), It represents an alkynyl group (preferably having 2 to 6 carbon atoms), an aryl group (preferably having 6 to 10 carbon atoms), or a heterocyclic group (preferably having 2 to 6 carbon atoms).
  • R P1 is not a hydrocarbon group (an alkyl group, an alkenyl group, an alkynyl group, an aryl group).
  • R P2 and R P3 are not both hydrocarbon groups (alkyl groups, alkenyl groups, alkynyl groups, aryl groups).
  • R P4 to R P6 are not all hydrocarbon groups (alkyl group, alkenyl group, alkynyl group, aryl group). These groups may further have a substituent T.
  • a hydroxy group (OH), a carboxyl group (COOH), a sulfanyl group (SH), an alkoxy group, or a thioalkoxy group is preferable.
  • the alkyl group, alkenyl group, alkynyl group, of 1 to 4, O, S, CO, may be interposed an NR N.
  • X1 represents a hydroxy group, a sulfanyl group, an alkoxy group having 1 to 4 carbon atoms, or a thioalkoxy group having 1 to 4 carbon atoms.
  • Rx1 and Rx2 each independently represents an alkylene group having 1 to 6 carbon atoms, an alkenylene group having 2 to 6 carbon atoms, an alkynylene group having 2 to 6 carbon atoms, an arylene group having 6 to 10 carbon atoms, or a combination thereof.
  • X2 represents O, S, CO, NR N ( RN is a hydrogen atom or an alkyl group having 1 to 6 carbon atoms).
  • mx represents an integer of 0 to 6. When mx is 2 or more, the plurality of Rx1 and X2 may be different from each other. Rx1 and Rx2 may further have a substituent T. * Is a bond
  • amine compound (b) having an oxygen atom or a sulfur atom include methyl carbamate, O-methylhydroxylamine, N-methylhydroxylamine, monoethanolamine, 3-ethoxypropylamine, diglycolamine, Examples include triethanolamine, diethanolamine, monoethanolamine, N-methylethanolamine, N, N-diethylmonoethanolamine, diethylhydroxylamine, isopropanolamine, diisopropanolamine, 2- (methylamino) ethanol and the like.
  • Examples of the onium compound (c) include nitrogen-containing onium compounds (such as quaternary ammonium salts), phosphorus-containing onium compounds (such as quaternary phosphonium salts), and sulfur-containing onium compounds (for example, SRy 3 M: Ry has 1 to 6 carbon atoms).
  • nitrogen-containing onium compounds quaternary ammonium salts, pyridinium salts, pyrazolium salts, imidazolium salts, etc.
  • the alkali compound is preferably a quaternary ammonium hydroxide.
  • Examples of the onium compound (c) include compounds represented by the following formula (Q-1).
  • R Q1 to R Q4 each independently represent an alkyl group having 1 to 20 carbon atoms, an alkenyl group having 2 to 20 carbon atoms, an alkynyl group having 2 to 20 carbon atoms, an aryl group having 6 to 14 carbon atoms, A aralkyl group of 7 to 14 or a group represented by the following formula (y).
  • the total number of carbon atoms of R Q1 to R Q4 is 5 or more, or when the total number of carbon atoms of R Q1 to R Q4 is 4, any of R Q1 to R Q4 contains an oxygen atom or a sulfur atom. It has a substituent to contain.
  • Y1- (Ry1-Y2) my-Ry2- * (y) Y1 is an alkyl group having 1 to 12 carbon atoms, an alkenyl group having 2 to 12 carbon atoms, an alkynyl group having 2 to 12 carbon atoms, an aralkyl group having 7 to 14 carbon atoms, an aryl group having 6 to 14 carbon atoms, a hydroxy group, A sulfanyl group, an alkoxy group having 1 to 4 carbon atoms, or a thioalkoxy group having 1 to 4 carbon atoms is represented.
  • Y2 represents O, S, CO, NR N ( RN is a hydrogen atom or an alkyl group having 1 to 6 carbon atoms).
  • Ry1 and Ry2 each independently represents an alkylene group having 1 to 6 carbon atoms, an alkenylene group having 2 to 6 carbon atoms, an alkynylene group having 2 to 6 carbon atoms, an arylene group having 6 to 10 carbon atoms, or a combination thereof.
  • my represents an integer of 0 to 6.
  • the plurality of Ry1 and Y2 may be different from each other.
  • Ry1 and Ry2 may further have a substituent T. * Is a bond.
  • the total of R Q1 to R Q4 is preferably 6 or more, more preferably 8 or more, and particularly preferably 12 or more.
  • the upper limit is not particularly limited, but is preferably 40 or less, and more preferably 30 or less.
  • M4 ⁇ is a counter ion and represents a hydroxide ion or the like.
  • tetraalkylammonium hydroxide preferably having 4 to 25 carbon atoms, preferably having a substituent containing an oxygen atom or a sulfur atom when having 4 carbon atoms
  • the alkyl group may be substituted with an arbitrary substituent (for example, a hydroxyl group, an allyl group, or an aryl group) as long as the effects of the present invention are not impaired.
  • the alkyl group may be linear, branched or cyclic.
  • TMAH tetramethylammonium hydroxide
  • TEAH tetraethylammonium hydroxide
  • benzyltrimethylammonium hydroxide ethyltrimethylammonium hydroxide, 2-hydroxyethyltrimethylammonium hydroxide, benzyltriethylammonium hydroxide, hexadecyltrimethylammonium hydroxide, tetrabutylammonium hydroxide (TBAH), tetrahexylammonium hydroxide (THAH), tetrapropylammonium hydroxide (TPAH), etc.
  • TMAH tetramethylammonium hydroxide
  • TEAH tetraethylammonium hydroxide
  • TPAH tetrapropylammonium hydroxide
  • benzalkonium chloride benzethonium chloride, methylbenzethonium chloride, cetylpyridinium chloride, cetrimonium, dophanium chloride, tetraethylammonium bromide, didecyldimethylammonium chloride, domifene bromide and the like can be mentioned.
  • the organic alkali compound is also preferably the following nitrogen-containing polymer.
  • the nitrogen-containing polymer is meant to include relatively small molecules as long as it is a compound having a plurality of repeating units having a nitrogen atom (see the following exemplary compounds A-15 to A-17).
  • the repeating unit has a primary amine structure (—NRx 2 ), a secondary amine structure (> NRx), a tertiary amine structure (> N—), or a quaternary ammonium structure (> N + ⁇ ). (These structures are referred to as “specific amine structures” and the repeating units are referred to as “specific amine repeating units”).
  • Rx represents a hydrogen atom or an alkyl group having 1 to 6 carbon atoms.
  • nitrogen-containing polymer examples include cationic surfactants having a hydrophilic nitrogen-containing group and a hydrophobic end group, and preferably have a repeating unit having the specific amine structure. More specifically, an amino group (—NRx 2 ), an amide group (—CONRx—), an imide group (—CONRxCO—), an imino group (—NRx—), an alkyleneimino group (—N (Rx) Lx—: Lx includes a functional group selected from the group consisting of an alkylene group having 1 to 6 carbon atoms), and a hydroxyalkyleneimino group (—NRx) Ly—: Ly is an alkylene group having a hydroxy group having 1 to 6 carbon atoms) It is preferable to contain a repeating unit.
  • the number of the specific amine repeating units present in the nitrogen-containing polymer is preferably 40% or more, more preferably 50% or more of the total number of repeating units. There is no particular upper limit, but it is preferably 100% or less. Specifically, the number of the specific amine repeating unit is preferably 2 or more and 1000 or less, more preferably 3 or more and 200 or less in one molecule.
  • the nitrogen-containing polymer may be a homopolymer or a copolymer containing the repeating units listed above. Or you may have another repeating unit (preferably nonionic repeating unit). Examples of another repeating unit include an ethylene oxide group, a propylene oxide group, and a repeating unit derived from styrene.
  • the number of nonionic repeating units present in the polymer electrolyte is preferably 99% or less of the total number of repeating units, and more preferably 90% or less. Although there is no particular lower limit, it may be 0% or more because it is an arbitrary repeating unit.
  • the nitrogen-containing polymer may further contain another repeating unit.
  • Still another repeating unit includes, for example, a hydroxy group, a phosphonic acid group (or a salt thereof), a sulfonic acid group (or a salt thereof), a phosphoric acid group (or a salt thereof), or a carboxylic acid group (or a salt thereof). The repeating unit which has is mentioned.
  • the nitrogen-containing polymer may be any of a homopolymer, a random copolymer, an alternating copolymer, a periodic copolymer, a block copolymer (for example, AB, ABA, ABC, etc.), a graft copolymer, and a comb copolymer.
  • the specific amine repeating unit is preferably selected from the following formulas (a-1) to (a-8).
  • R a is a hydrogen atom, an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), or an alkenyl group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms). ), An aryl group (preferably having 6 to 22 carbon atoms, more preferably 6 to 14), or a heterocyclic group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms). Of these, Ra is preferably a hydrogen atom or a methyl group. In the present specification, an alkyl group means an aralkyl group.
  • R b represents an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms) or an alkenyl group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms). .
  • R b is preferably a methyl group or an ethyl group.
  • ⁇ L a L a is an alkylene group (preferably having 1 to 12 carbon atoms, more preferably 1-6, particularly preferably 1 to 3), carbonyl group, imino group (having 0 to 6 carbon atoms, and more preferably from 0 to 3 ), An arylene group (preferably having 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), a heterocyclic group (preferably having 1 to 12 carbon atoms, more preferably 2 to 5 carbon atoms), or a combination thereof.
  • an alkylene group or a carbonyl group is preferable, a methylene group, an ethylene group, a propylene group, or a carbonyl group is preferable, a methylene group or an ethylene group is more preferable, and a methylene group is particularly preferable.
  • ⁇ L b L b is a single bond, an alkylene group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, and particularly preferably 1 to 3 carbon atoms), a carbonyl group, an imino group (preferably having 0 to 6 carbon atoms, 0 to 3 carbon atoms). More preferably), an arylene group (preferably having 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), a heterocyclic group (preferably having 1 to 12 carbon atoms, more preferably 2 to 5 carbon atoms), or a combination thereof. .
  • a single bond, a methylene group, an ethylene group, a propylene group, or a carbonyl group is preferable, and a single bond, a methylene group, or an ethylene group is preferable.
  • R c represents a hydrogen atom or an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, and particularly preferably 1 to 3 carbon atoms). Of these, R c is preferably a hydrogen atom or a methyl group.
  • ⁇ N n represents an integer of 0 or more.
  • the upper limit of n is the replaceable number of each cyclic structure. For example, it is 4 in the following formulas (5-1) to (5-4), and 3 in the formulas (6-5) and (6-6).
  • Ring Q1 represents a nitrogen-containing heterocyclic ring, preferably a nitrogen-containing saturated heterocyclic ring, and more preferably a 5-membered or 6-membered nitrogen-containing saturated heterocyclic ring.
  • the ring structures are preferably the following formulas (5-1) to (5-6). In the formula, anions are omitted.
  • Ring Q2 represents a nitrogen-containing heterocycle, preferably a nitrogen-containing unsaturated heterocycle, preferably a 5-membered or 6-membered nitrogen-containing unsaturated heterocycle, pyrrolyl group, pyrazolyl group, imidazolyl group, triazolyl group, pyridyl group, Pyrimidyl groups (both bonded at the C position) are preferred.
  • the ring structures are preferably the following formulas (6-1) to (6-11).
  • Ring Q3 represents a nitrogen-containing heterocycle, preferably a nitrogen-containing unsaturated heterocycle, preferably a 5-membered nitrogen-containing unsaturated heterocycle, pyrrolyl group, imidazolyl group, pyrazolyl group, triazolyl group (all bonded at the N-position) ) Is preferred.
  • the ring structures are preferably the following formulas (8-1) to (8-3). In the formula, * indicates a bonding position.
  • any of the above ring structure groups may be accompanied by a predetermined number of substituents Ra.
  • onium means that it may be a salt.
  • Formulas 6-1 to 6-11 and 8-1 to 8-3 may be onium or a salt thereof.
  • R a , R b , R c , L a and L b may be the same or different from each other.
  • a plurality of R a , R b , and R c may be bonded to each other to form a ring.
  • adjacent substituents and linking groups may be bonded to each other to form a ring as long as the effects of the present invention are not impaired.
  • the nitrogen-containing polymer is preferably represented by the following formula (b).
  • R c is the same as described above.
  • m represents an integer of 0 or more, preferably 1 or more, more preferably 2 or more, and further preferably 3 or more. Although there is no upper limit, it is practical that it is 10 or less, and 6 or less is more practical.
  • L d is an alkylene group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), a carbonyl group or an imino group (preferably having 0 to 6 carbon atoms, more preferably 0 to 3 carbon atoms).
  • An arylene group preferably having 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms
  • a heterocyclic group preferably having 1 to 12 carbon atoms, more preferably 2 to 5 carbon atoms
  • an alkylene group is preferable, and a methylene group, an ethylene group, and a propylene group are preferable.
  • the plurality of R c and L d may be the same or different from each other.
  • a plurality of R c and L d may be bonded to each other to form a ring.
  • the nitrogen-containing polymer is preferably the following compound.
  • the above-mentioned nitrogen-containing polymer can be applied as commercially available.
  • the concentration of the nitrogen-containing polymer is not particularly limited, but is preferably 0.0001% by mass or more, more preferably 0.0005% by mass or more, and particularly preferably 0.001% by mass or more in the etching solution.
  • an upper limit in particular is not restrict
  • the nitrogen-containing polymer is presumed to achieve good selectivity while forming a protective film on the titanium-containing layer using nitrogen as an adsorption point.
  • the molecular weight of a nitrogen-containing polymer is not specifically limited, It is preferable that it is 100 or more, and it is more preferable that it is 200 or more.
  • the upper limit is preferably 100,000 or less, more preferably 50,000 or less, further preferably 20,000 or less, and particularly preferably 10,000 or less. It is practical to set the lower limit value or more. On the other hand, it is preferable to make it below the upper limit from the viewpoint of suppressing the precipitation of the nitrogen-containing polymer.
  • the etching rate ratio ( ⁇ ) can be effectively changed by changing the conditions within this molecular weight range.
  • the molecular weight of the nitrogen-containing polymer is a value measured by the following method. -Measurement of molecular weight- For commercially available compounds, the molecular weight calculated from the chemical structure described in the catalog was applied. When the chemical structure was unknown, a method of determining the molecular weight by mass spectrometry after column separation by LC-MS was applied. Moreover, when the molecular weight was large and analysis of mass spectrometry was difficult, the weight average molecular weight of polystyrene conversion was measured by GPC.
  • GPC apparatus HLC-8220 manufactured by Tosoh Corporation
  • THF tetrahydrofuran
  • the concentration of the organic alkali compound in the etching solution is preferably 3% by mass or more, more preferably 5% by mass or more, and particularly preferably 10% by mass or more.
  • 100 mass% or less is preferable, 80 mass% or less is more preferable, and 60 mass% or less is especially preferable.
  • the germanium-containing layer (first layer) or its germanium silicide layer (third layer) is effectively damaged while maintaining good etching properties of the metal layer (second layer). It is preferable because it can be suppressed. If it is liquid at the application temperature, it can be applied at 100% by mass of an organic alkali compound, which is one of the preferred embodiments of the present invention.
  • the organic alkali compound may be used alone or in combination of two or more.
  • “Combination of two or more” means that two or more compounds having a slightly different chemical structure are used. For example, it corresponds to the above formula (O-1) but corresponds to the atomic group R O1 . This includes cases where the compounds are two different compounds.
  • the combined use ratio is not particularly limited, but the total amount used is preferably within the above concentration range as the sum of two or more types of alkali compounds.
  • the etching solution according to the present embodiment preferably contains an oxidant.
  • the oxidizing agent nitric acid or hydrogen peroxide is preferable.
  • the concentration is preferably 0.1% by mass or more in the etching solution, more preferably 1% by mass or more, and particularly preferably 2% by mass or more.
  • 30 mass% or less is preferable, 25 mass% or less is more preferable, and 20 mass% or less is especially preferable. Damage to the germanium-containing layer (first layer) or germanium silicide layer (third layer) while maintaining good etching properties of the metal layer (second layer) by setting the oxidant content in the above range Can be effectively suppressed.
  • only 1 type may be used for an oxidizing agent and it may use 2 or more types together.
  • the etchant according to this embodiment preferably contains a specific organic additive.
  • This organic additive consists of an organic compound containing a nitrogen atom, a sulfur atom, a phosphorus atom, or an oxygen atom.
  • the organic additives include amino groups (—NH 2 ) or salts thereof, imino groups (—NR N —) or salts thereof, sulfanyl groups (—SH), hydroxy groups (—OH), carbonyl groups (—CO -), Sulfonic acid group (-SO 3 H) or a salt thereof, phosphoric acid group (-PO 4 H 2 ) or a salt thereof, onium group or a salt thereof, sulfinyl group (-SO-), sulfonyl group (SO 2 ) And a compound having a substituent or a linking group selected from an ether group (—O—), an amine oxide group, and a thioether group (—S—).
  • R N of the amino groups is a hydrogen atom or a substituent.
  • the substituent include an alkyl group (preferably having 1 to 24 carbon atoms, more preferably 1 to 12), an alkenyl group (preferably having 2 to 12 carbon atoms, more preferably 2 to 12), and an alkynyl group (having 2 to 12 carbon atoms). 24 is preferable, and 2 to 12 are more preferable), an aryl group having 6 to 10 carbon atoms, and an aralkyl group having 7 to 11 carbon atoms are preferable. ).
  • the specific organic additive is particularly preferably composed of a compound represented by any of the following formulas (I) to (XII).
  • R 11 and R 12 are each independently a hydrogen atom, an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), and an alkenyl group (preferably having 2 to 12 carbon atoms).
  • 2 to 6 are more preferred
  • an alkynyl group preferably having 2 to 12 carbon atoms, more preferably 2 to 6
  • an aryl group preferably having 6 to 22 carbon atoms, more preferably 6 to 14
  • an aralkyl group 7 to 23 carbon atoms are preferred, and 7 to 15 carbon atoms are more preferred
  • a sulfanyl group (SH) a hydroxy group (OH)
  • an amino group —NR N 2 ).
  • R 11 and R 12 is a sulfanyl group, a hydroxy group, or an amino group (preferably having 0 to 6 carbon atoms, more preferably 0 to 3 carbon atoms).
  • said substituent further takes a substituent (an alkyl group, an alkenyl group, an aryl group, etc.), you may have arbitrary substituent T. The same applies to the substituents and linking groups described below.
  • X 1 is a methylene group (CR C 2 ), a sulfur atom (S), or an oxygen atom (O).
  • R C represents a hydrogen atom or a substituent (substituent T described below is preferred).
  • X 2 is a methine group ( ⁇ CR C —) or a nitrogen atom (N).
  • R 21 is a substituent (substituent T described below is preferred), and among them, a sulfanyl group (SH), a hydroxy group (OH), and an amino group (NR N 2 ) are preferred.
  • n2 is an integer of 0-4. When there are a plurality of R 21 s , they may be the same or different, and may be bonded to each other or condensed to form a ring.
  • Y 1 is a methylene group, an imino group (NR N ), or a sulfur atom (S).
  • Y 2 represents a hydrogen atom, an alkyl group (preferably 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), an alkenyl group (preferably 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms).
  • An alkynyl group (preferably 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), an aryl group (preferably 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), an aralkyl group (preferably 7 to 23 carbon atoms, 7 to 15 are more preferable), an amino group (preferably having 0 to 6 carbon atoms, more preferably 0 to 3), a hydroxy group, and a sulfanyl group.
  • R 31 is a substituent (substituent T described below is preferred). Of these, a sulfanyl group (SH), a hydroxy group (OH), and an amino group (NR N 2 ) are preferable.
  • n3 is an integer of 0-2.
  • R 31 s When there are a plurality of R 31 s , they may be the same or different and may be bonded to each other or condensed to form a ring.
  • the ring formed is preferably a six-membered ring, and examples thereof include a benzene structure or a six-membered heteroaryl structure.
  • the formula (III) is preferably the following formula (III-1).
  • Y 3 and Y 4 are each independently a methine group ( ⁇ CR C —) or a nitrogen atom (N).
  • Y 1 , Y 2 , R 31 and n3 are as defined above.
  • the positions of Y 3 and Y 4 may be at different positions in the six-membered ring.
  • L 1 is an alkylene group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), an alkynylene group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), an alkenylene group. (Preferably 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), arylene group (preferably 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), or aralkylene group (preferably 7 to 23 carbon atoms, preferably 7 to 7 carbon atoms). 15 is more preferable).
  • X 4 is a carboxyl group or a hydroxy group.
  • R 51 represents an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), an alkenyl group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), alkynyl A group (preferably 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), an aryl group (preferably 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), or an aralkyl group (preferably 7 to 23 carbon atoms, 7 To 15 is more preferable).
  • R 51 is an aryl group, it is preferably substituted with an alkyl group having 1 to 20 carbon atoms, an alkenyl group having 2 to 20 carbon atoms, or an alkynyl group having 2 to 20 carbon atoms.
  • R 51 is an alkyl group, it may have the following structure. * -R 52- (R 53 -Y 53 ) n5 -R 54
  • R 52 is a single bond or a linking group having the same meaning as L 1 .
  • R 53 is a linking group having the same meaning as L 1 .
  • Y 53 is an oxygen atom (O), a sulfur atom (S), a carbonyl group (CO), or an imino group (NR N ).
  • R 54 represents an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), an alkenyl group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), an alkynyl group. (Preferably 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), aryl group (preferably 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), or aralkyl group (preferably 7 to 23 carbon atoms, preferably 7 to 7 carbon atoms). 15 is more preferable).
  • n5 is an integer of 0 to 8.
  • R 51 may further have a substituent T, and among them, a sulfanyl group (SH), a hydroxy group (OH), and an amino group (NR N 2 ) are preferable.
  • Z is an amino group (preferably having 0 to 6 carbon atoms, more preferably 0 to 3), sulfonic acid group, phosphoric acid group, carboxyl group, hydroxy group, sulfanyl group, or amine oxide group (—NH 2 + O ⁇ ). It is.
  • an amino group, a sulfonic acid group, a phosphoric acid group, or a carboxyl group may form an acid ester (for example, an alkyl ester having 1 to 6 carbon atoms) in the case of a salt or acid unless otherwise specified. It is a good meaning.
  • R 51 is preferably an alkyl group. In this case, C 1-24 is preferable, 3-20 is more preferable, 6-18 is more preferable, and 8-16 Is particularly preferred.
  • the fact that this alkyl group may further have a substituent T is the same as the others.
  • formula (V) is a fatty acid, as described above, those having a relatively large carbon number are preferred. The reason for this is considered that the appropriate hydrophobicity is imparted to the additive and the protective properties of germanium or its silicide layer are more effectively exhibited.
  • the compound represented by the formula (V) is preferably any one of the following formulas (V-1) to (V-3).
  • Z ⁇ 1 >, Z ⁇ 2 > is a sulfonic acid group which may pass through the coupling group L.
  • R 56 is a substituent T, and among them, an alkyl group exemplified therein is preferable.
  • n 51 and n 56 are integers of 0 to 5.
  • n 53 is an integer of 0 to 4.
  • the maximum value of n 51 , n 53 , and n 56 decreases with the number of Z 1 or Z 2 in the same ring.
  • n 52 is an integer of 1 to 6, preferably 1 or 2.
  • n 54 and n 55 are each independently an integer of 0 to 4, and n 54 + n 55 is 1 or more. n 54 + n 55 is preferably 1 or 2. n 57 and n 58 are each independently an integer of 0 to 5, and n 57 + n 58 is 1 or more. n 57 + n 58 is preferably 1 or 2. A plurality of R 56 may be the same as or different from each other. Linking group L above L 1, is preferably below L 2, or a combination thereof, and more preferably L 1.
  • R 61 and R 62 each independently represents an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, and particularly preferably 1 to 3 carbon atoms) or an aryl group (preferably having 6 to 22 carbon atoms, preferably 6 to 6 carbon atoms). 14 is more preferable), an alkoxy group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), or an alkylamino group (preferably having 1 to 12 carbon atoms and more preferably 1 to 6 carbon atoms). 1 to 3 are preferred).
  • R 61 and R 62 may be bonded or condensed to form a ring.
  • R 61 or R 62 is an alkyl group, it may be a group represented by the above * —R 52 — (R 53 —Y 53 ) —R 54 .
  • L 2 is a carbonyl group, a sulfinyl group (SO), or a sulfonyl group (SO 2 ).
  • the compound represented by the formula (VI) is preferably a compound represented by any one of the following formulas (VI-1) to (VI-3). In the formula, R 61 and R 62 are as defined above.
  • Q 6 is a 3- to 8-membered ring, preferably a 5- or 6-membered ring, more preferably a saturated 5- or 6-membered ring, and particularly preferably a saturated hydrocarbon 5- or 6-membered ring.
  • Q 6 may have an arbitrary substituent T.
  • R 71 is an amino group (—NR N 2 ) or an ammonium group (—NR N 3 + ⁇ M ⁇ ).
  • L 3 is a group having the same meaning as L 1 . Among them, L 3 is preferably a methylene group, an ethylene group, a propylene group, or (—L 31 (SR S ) —).
  • L 31 is an alkylene group having 1 to 6 carbon atoms. R S may be dimerized by forming a hydrogen atom or a disulfide group at this site.
  • R 81 and R 82 each independently represents an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, and particularly preferably 1 to 3 carbon atoms) or an alkenyl group (preferably having 2 to 12 carbon atoms). 6 is more preferable), an alkynyl group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), an aryl group (preferably having 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), or an aralkyl group (having carbon numbers). 7 to 23 are preferable, and 7 to 15 are more preferable.
  • L 4 is a group having the same meaning as L 1 .
  • R 91 and R 93 each independently represent a hydrogen atom, an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, and particularly preferably 1 to 3 carbon atoms), or an alkenyl group (preferably having 2 to 12 carbon atoms; To 6), alkynyl groups (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), aryl groups (preferably having 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), acyl groups (having carbon numbers) 2 to 12 are preferred, and 2 to 6 are more preferred), or an aralkyl group (preferably having a carbon number of 7 to 23, more preferably 7 to 15).
  • n9 is an integer of 0 to 100, preferably 0 to 50, more preferably 0 to 25, still more preferably 0 to 15, further preferably 0 to 10, and particularly preferably 0 to 5.
  • the compound represented by the formula (IX) is more preferably a compound represented by the following formula (IX-1).
  • L 41 is preferably an alkylene group having 2 or more carbon atoms, preferably 2 to 6 carbon atoms. By setting the number of carbon atoms of the alkylene group, it is presumed that a specific adsorption state with a metal (for example, Ti) is not formed and the removal thereof is not hindered.
  • L 41 preferably further has 3 or more carbon atoms, more preferably 3 to 6 carbon atoms, and particularly preferably 3 or 4 carbon atoms.
  • the number of carbon atoms in the L 41 when an alkylene group of branches, except the carbon atoms contained in the branch, it is preferred that the linking carbon number of 2 or more.
  • a 2,2-propanediyl group has a linking carbon number of 1.
  • the number of carbon atoms connecting OO is called the number of connected carbons, and it is preferable that the number is 2 or more.
  • the number of connected carbons is preferably 3 or more, more preferably 3 or more and 6 or less, and particularly preferably 3 or more and 4 or less.
  • n91 is the same number as n9.
  • the structure is preferably represented by the following formula (IX-2).
  • R 94 to R 97 in the formula have the same meaning as R 91 .
  • R 94 to R 97 may further have a substituent T, for example, may have a hydroxy group.
  • L 9 is an alkylene group, preferably an alkylene group having 1 to 6 carbon atoms, and more preferably an alkylene group having 1 to 4 carbon atoms.
  • Specific examples of the compound of formula (IX-2) include hexylene glycol, 1,3-butanediol, 1,4-butanediol and the like.
  • the compound represented by the formula (IX) is preferably used in a desired range in the CLogP.
  • the CLogP value of the compound represented by the formula (IX) is preferably ⁇ 0.4 or more, and more preferably ⁇ 0.2 or more.
  • the upper limit is preferably 2 or less, and more preferably 1.5 or less.
  • the measurement of the octanol-water partition coefficient (log P value) can be generally carried out by a flask soaking method described in JIS Japanese Industrial Standard Z7260-107 (2000). Further, the octanol-water partition coefficient (log P value) can be estimated by a computational chemical method or an empirical method instead of the actual measurement. As a calculation method, Crippen's fragmentation method (J. Chem. Inf. Comput. Sci., 27, 21 (1987)), Viswanadhan's fragmentation method (J. Chem. Inf. Comput. Sci., 29, 163). (1989)), Broto's fragmentation method (Eur. J. Med. Chem.-Chim.
  • the Crippen's fragmentation method J. Chem. Inf. Comput. Sci., 27, 21 (1987)
  • the ClogP value is a value obtained by calculating the common logarithm logP of the distribution coefficient P between 1-octanol and water.
  • Known methods and software can be used for calculating the ClogP value, but unless otherwise specified, the present invention uses a ClogP program incorporated in the system: PCModels of Daylight Chemical Information Systems.
  • R A3 has the same meaning as RN.
  • R A1 and R A2 each independently represent a hydrogen atom, an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, and particularly preferably 1 to 3 carbon atoms), or an alkenyl group (preferably having 2 to 12 carbon atoms).
  • R A1 and R A2 are preferably a sulfanyl group, a hydroxy group, or an amino group (preferably having 0 to 6 carbon atoms, more preferably 0 to 3 carbon atoms).
  • Y 7 and Y 8 are each independently an oxygen atom, a sulfur atom, an imino group (NR N ) or a carbonyl group.
  • R B1 is a substituent (the substituent T described below is preferred).
  • nB is an integer of 0-8.
  • either one of Y 7 and Y 8 may be a methylene group (CR C 2 ).
  • Y 9 and Y 10 are each independently an oxygen atom, a sulfur atom, a methylene group (CR C 2 ), an imino group (NR N ), or a carbonyl group. Y 9 and Y 10 may be another position of the six-membered ring.
  • X 5 and X 6 are a sulfur atom or an oxygen atom.
  • a broken line means that the bond may be a single bond or a double bond.
  • R C1 is a substituent (the substituent T described later is preferred).
  • nC is an integer of 0-2. When there are a plurality of R C1 s , they may be the same as or different from each other, and may be bonded or condensed to form a ring.
  • X 3 is an oxygen atom, a sulfur atom, or an imino group (NR M ).
  • R M is a hydrogen atom or an alkyl group having 1 to 24 carbon atoms, preferably an alkyl group having 2 to 20 carbon atoms, more preferably an alkyl group having 4 to 16 carbon atoms, and an alkyl group having 6 to 12 carbon atoms. It is particularly preferred.
  • X 5 is an oxygen atom, a sulfur atom, an imino group (NR M ), or a methylene group (CR C 2 ).
  • R D1 is a substituent, and the substituent T described later is preferable.
  • R D1 is preferably an alkyl group of 1 to 24, more preferably an alkyl group of 1 to 12.
  • nD is an integer of 0 to 6, preferably an integer of 0 to 2, and particularly preferably 1.
  • X 3 —CO—X 5 in the formula is preferably NR N —CO—CR C 2 , O—CO—O, or O—CO—CR C 2 .
  • alkyl groups of ANSA and ADPNA are an isopropyl group and a dodecyl group, respectively.
  • Polypropylene glycol has 6 to 100 carbon atoms.
  • the specific organic additive is particularly preferably composed of the compounds described in Table A of the examples described later.
  • the concentration of those belonging to the first group in Table A is preferably 50% by mass or more, more preferably 55% by mass or more, and further 60% by mass or more in the etching solution.
  • 70% by mass or more is particularly preferable.
  • 99 mass% or less is preferable, 95 mass% or less is more preferable, and 90 mass% or less is especially preferable.
  • the concentration of those belonging to the second group in Table A is preferably 0.005% by mass or more, more preferably 0.01% by mass or more in the etching solution, and The content is more preferably 03% by mass or more, and particularly preferably 0.05% by mass or more.
  • 10 mass% or less is preferable, 7 mass% or less is more preferable, and 5 mass% or less is especially preferable.
  • the first group in Table A mainly functions as a main solvent in the treatment liquid and suppresses the elution of the first layer component containing germanium.
  • the concentration is preferably high as described above.
  • the additive belonging to the second group of Table A adsorbs on the surface of the first layer containing germanium (Ge) and forms a protective layer on the surface. Therefore, the addition amount may be a sufficient addition amount for the purpose of protecting the first layer, and is preferably a relatively small amount as described above.
  • the compounds according to the formula (V) or a part thereof, (VI), (IIX), (IX), (XI) are the first group.
  • the compound according to the other formula or formula (V) or a part thereof is the second group.
  • the said specific organic additive and the said organic alkali compound may overlap in the prescription
  • the specific organic additive may be used alone or in combination of two or more.
  • “A combination of two or more” means, for example, not only the case where two types of the compound corresponding to the formula (I) and the compound corresponding to the formula (II) are used in combination, but also the formula (I). (For example, in the category of formula (I), but at least one of atomic groups R 11 , R 12 and X 1 is two different compounds).
  • the combined use ratio is not particularly limited, but the total use amount is preferably within the above-mentioned concentration range as the sum of two or more types of specific organic additives.
  • the indication of a compound is used in the sense of including the above-mentioned compound itself, its salt, and its ion. Moreover, it is the meaning including the derivative which changed partially, such as esterifying and introduce
  • a substituent that does not specify substitution / non-substitution means that the group may have an arbitrary substituent. This is also synonymous for compounds that do not specify substitution / non-substitution.
  • Preferred substituents include the following substituent T.
  • substituent T examples include the following.
  • An alkyl group preferably an alkyl group having 1 to 20 carbon atoms, such as methyl, ethyl, isopropyl, t-butyl, pentyl, heptyl, 1-ethylpentyl, benzyl, 2-ethoxyethyl, 1-carboxymethyl, etc.
  • alkenyl A group preferably an alkenyl group having 2 to 20 carbon atoms such as vinyl, allyl, oleyl and the like
  • an alkynyl group preferably an alkynyl group having 2 to 20 carbon atoms such as ethynyl, butadiynyl, phenylethynyl and the like
  • a cycloalkyl group preferably a cycloalkyl group having 3 to 20 carbon atoms, such as cyclopropyl, cyclopentyl, cyclohexyl, 4-methylcyclohex
  • a compound or a substituent / linking group includes an alkyl group / alkylene group, an alkenyl group / alkenylene group, an alkynyl group / alkynylene group, etc.
  • these may be cyclic or linear, and may be linear or branched These may be substituted as described above or may be unsubstituted.
  • an aryl group, a heterocyclic group, etc. are included, they may be monocyclic or condensed and may be similarly substituted or unsubstituted.
  • water (aqueous medium) may be applied as a medium.
  • the water (aqueous medium) may be an aqueous medium containing a dissolved component as long as the effects of the present invention are not impaired, or may contain an unavoidable trace mixed component.
  • water that has been subjected to purification treatment such as distilled water, ion-exchanged water, or ultrapure water is preferable, and ultrapure water that is used for semiconductor manufacturing is particularly preferable.
  • the etching solution in the present invention may be a kit in which the raw material is divided into a plurality.
  • the liquid composition which contains the said organic alkali compound in water as a 1st liquid is prepared, and the liquid composition which contains the said oxidizing agent in an aqueous medium as a 2nd liquid is mentioned.
  • other components such as other organic additives can be contained separately or together in the first liquid, the second liquid, or the other third liquid.
  • timely after mixing refers to the time period after mixing until the desired action is lost, specifically within 60 minutes, more preferably within 30 minutes, and more preferably within 10 minutes. Is more preferably within 1 minute, and particularly preferably within 1 minute. Although there is no lower limit in particular, it is practical that it is 1 second or more.
  • the method of mixing the first liquid and the second liquid is not particularly limited, but it is preferable that the first liquid and the second liquid are circulated through the respective flow paths, and both are merged at the merging point and mixed. After that, it is preferable that the flow path is further circulated, and the etching solution obtained by joining is discharged or jetted from the discharge port and brought into contact with the semiconductor substrate. In this embodiment, it is preferable that the process from the merging and mixing at the merging point to the contact with the semiconductor substrate is performed at the “timely”. This will be described with reference to FIG. 3.
  • the prepared etching solution is sprayed from the discharge port 13 and applied to the upper surface of the semiconductor substrate S in the processing container (processing tank) 11.
  • the two liquids A and B are supplied, merge at the junction 14, and then move to the discharge port 13 via the flow path fc.
  • a flow path fd indicates a return path for reusing the chemical solution.
  • the semiconductor substrate S is on the turntable 12 and is preferably rotated together with the turntable by the rotation drive unit M. Note that an embodiment using such a substrate rotation type apparatus can be similarly applied to a process using an etching solution that is not used as a kit.
  • the etching liquid of this invention has few impurities, for example, a metal content, etc. in a liquid in view of the use use.
  • the Na, K, and Ca ion concentrations in the liquid are preferably in the range of 1 ppt to 1 ppm.
  • the number of coarse particles having an average particle size of 0.5 ⁇ m or more is preferably in the range of 100 particles / cm 3 or less, and is preferably in the range of 50 particles / cm 3 or less.
  • the etching solution of the present invention can be stored, transported and used in any container as long as corrosion resistance or the like does not matter (whether or not it is a kit).
  • a container having a high cleanliness and a low impurity elution is preferable.
  • the containers that can be used include, but are not limited to, “Clean Bottle” series manufactured by Aicero Chemical Co., Ltd., “Pure Bottle” manufactured by Kodama Resin Co., Ltd., and the like.
  • the single wafer processing apparatus has a processing tank, and the semiconductor substrate is transported or rotated in the processing tank, and the etching solution is applied (discharge, jetting, flowing down, dropping, etc.) into the processing tank.
  • the etching solution is preferably brought into contact with the semiconductor substrate.
  • Advantages of the single wafer type apparatus include (i) a fresh etching solution is always supplied, so that reproducibility is good, and (ii) in-plane uniformity is high. Furthermore, it is easy to use a kit in which the etching liquid is divided into a plurality of parts.
  • a method in which the first liquid and the second liquid are mixed in-line and discharged is suitably employed. At this time, it is preferable to adjust the temperature of both the first liquid and the second liquid, or to adjust the temperature of only one of them and mix and discharge them in-line. Among these, an embodiment in which the temperature is controlled together is more preferable.
  • the management temperature when adjusting the line temperature is preferably in the same range as the processing temperature described later.
  • the single wafer type apparatus is preferably provided with a nozzle in its processing tank, and a method of discharging the etching liquid onto the semiconductor substrate by swinging the nozzle in the surface direction of the semiconductor substrate is preferable. By doing so, the deterioration of the liquid can be prevented, which is preferable.
  • a kit is divided into two or more liquids so that it is difficult to generate gas or the like.
  • the processing temperature at which etching is performed is preferably 30 ° C. or higher, more preferably 40 ° C. or higher in the temperature measurement method shown in the examples described later.
  • As an upper limit it is preferable that it is 80 degrees C or less, It is more preferable that it is 70 degrees C or less, It is especially preferable that it is 60 degrees C or less.
  • the supply rate of the etching solution is not particularly limited, but is preferably 0.05 to 5 L / min, and more preferably 0.1 to 3 L / min.
  • the semiconductor substrate is rotated, although it depends on its size and the like, it is preferably rotated at 50 to 1000 rpm from the same viewpoint as described above.
  • the semiconductor substrate is transported or rotated in a predetermined direction, an etching solution is sprayed into the space, and the etching solution is brought into contact with the semiconductor substrate.
  • the supply rate of the etching solution and the rotation speed of the substrate are the same as those already described.
  • the etching solution in the single wafer type apparatus configuration according to a preferred embodiment of the present invention, as shown in FIG. 4, it is preferable to apply the etching solution while moving the discharge port (nozzle).
  • the discharge port moves along a movement trajectory line t extending from the center portion to the end portion of the semiconductor substrate.
  • the direction of rotation of the substrate and the direction of movement of the discharge port are set to be different directions, so that both move relative to each other.
  • the etching solution can be applied evenly over the entire surface of the semiconductor substrate, and the etching uniformity is suitably ensured.
  • the moving speed of the discharge port (nozzle) is not particularly limited, but is preferably 0.1 cm / s or more, and more preferably 1 cm / s or more.
  • the upper limit is preferably 30 cm / s or less, and more preferably 15 cm / s or less.
  • the movement trajectory line may be a straight line or a curved line (for example, an arc shape). In either case, the moving speed can be calculated from the actual distance of the trajectory line and the time spent for the movement.
  • the time required for etching one substrate is preferably in the range of 10 to 180 seconds.
  • the metal layer is preferably etched at a high etching rate.
  • the etching rate [R2] of the second layer (metal layer) depends on the type of metal, it is preferably 0.1 kg / min or more, more preferably 1 kg / min or more in consideration of production efficiency, and more preferably 5 kg. / Min or more is particularly preferable. Although there is no upper limit in particular, it is practical that it is 1200 kg / min or less.
  • the exposed width of the metal layer is not particularly limited, it is preferably 2 nm or more, more preferably 4 nm or more from the viewpoint that the advantages of the present invention become more prominent.
  • the upper limit is practically 1000 nm or less, preferably 100 nm or less, and more preferably 20 nm or less.
  • the etching rate [R1] of the germanium-containing layer (first layer) or germanium silicide layer (third layer) is not particularly limited, but is preferably not excessively removed, and is preferably 50 ⁇ / min or less, and 20 ⁇ / Min or less is more preferable, and 10 ⁇ / min or less is particularly preferable. There is no particular lower limit, but considering the measurement limit, it is practical that it is 0.1 ⁇ / min or more.
  • the etching rate ratio ([R2] / [R1]) is not particularly limited, but it is preferably 2 or more on the premise of an element that requires high selectivity. It is more preferably 10 or more, and further preferably 20 or more. The upper limit is not particularly defined and is preferably as high as possible, but is practically 5000 or less.
  • the etching behavior of the germanium silicide layer (third layer) is the same as that of the layer before annealing (for example, the first layer of SiGe or Ge), and can be substituted depending on the etching rate of the first layer.
  • the order of the above steps is not construed as being limited, and further steps may be included between the steps.
  • the wafer size is not particularly limited, but a wafer having a diameter of 8 inches, a diameter of 12 inches, or a diameter of 14 inches can be suitably used.
  • SiGe was epitaxially grown on a commercially available silicon substrate (diameter: 12 inches) and formed to a thickness of 50 nm. At this time, the SiGe epitaxial layer contained 50 to 60% by mass of germanium. Similarly, a blanket wafer in which a NiPt film was prepared by CVD or the like was prepared. (Thickness 20 nm, Pt / Ni ratio: 10/90 [mass standard]) Using these blanket wafers, the following etching treatment test and the like were performed.
  • the amount was adjusted and processed. Depending on the formulation, only an alkali compound was used, and in this case, the treatment was performed with one solution. There is almost no time from the two-component mixing to the application to the substrate, which means that the mixed solution is applied to the substrate immediately after mixing.
  • a radiation thermometer IT-550F (trade name) manufactured by HORIBA, Ltd. was fixed at a height of 30 cm above the wafer in the single wafer type apparatus. A thermometer was directed onto the wafer surface 2 cm outside from the wafer center, and the temperature was measured while flowing a chemical solution. The temperature was digitally output from the radiation thermometer and recorded continuously with a personal computer. Among these, the value obtained by averaging the temperature for 10 seconds at which the temperature was stabilized was defined as the temperature on the wafer.
  • etching rate About the etching rate (ER), it computed by measuring the film thickness before and behind an etching process using ellipsometry (a spectroscopic ellipsometer, JA Woolum Japan Co., Ltd. Vase was used). An average value of 5 points was adopted (measurement condition measurement range: 1.2-2.5 eV, measurement angle: 70, 75 degrees).
  • the second layer containing a specific metal can be selectively removed with respect to the layer containing germanium.
  • a hydrocarbon amine compound or ammonium compound having a large number of carbon atoms, or a hydrocarbon amine compound or ammonium compound having an oxygen atom or the like suppresses the etching rate of SiGe and improves the etching selectivity of the metal layer.
  • Metal layer (second layer) 2 Germanium layer (first layer) 3 Germanium silicide layer (third layer) 11 Processing container (processing tank) 12 Turntable 13 Discharge port 14 Junction point S Substrate 21 Silicon substrate 22 Gate insulating film 23 Gate electrode 25 Side wall 26 Source electrode 27 Drain electrode 28 NiPt film 90A, 90B Replacement gate stack 92A, 92B Well 94A, 94B Source / drain extension Regions 96A, 96B source / drain regions 91A, 91B metal semiconductor alloy portions 95A, 95B gate spacers 97A, 97B gate insulating film 81 first work function material layers 82A, 82B second work function material layers 83A, 83B metal portions 93 trench structure Part 99 Planarized dielectric layer

Abstract

 ゲルマニウム(Ge)を含む第一層と、ゲルマニウム(Ge)以外の特定金属元素を含む第二層とを有する半導体基板について、第二層を選択的に除去するエッチング液であって、有機アルカリ化合物を含むエッチング液。

Description

エッチング液およびエッチング液のキット、これをもちいたエッチング方法および半導体基板製品の製造方法
 本発明は、エッチング液およびエッチング液のキット、これをもちいたエッチング方法および半導体基板製品の製造方法に関する。
 集積回路の製造は多段階の様々な加工工程で構成されている。具体的にその製造過程では、様々な材料の堆積、必要な部分または全体的に露出した層のリソグラフィ、あるいはその層のエッチング等が幾度も繰り返される。なかでも、金属や金属化合物の層のエッチングは重要なプロセスとなる。金属等を選択的にエッチングし、その他の層については腐食させることなく残存させなければならない。場合によっては、類似した金属種からなる層どうしや、より腐食性の高い層を残す形態で所定の層のみを除去することが求められる。半導体基板内の配線や集積回路のサイズはますます小さくなり、正確に腐食することなくエッチングを行う重要性は益々高まっている。
 電界効果トランジスタを例にとってみると、その急速な微細化に伴い、ソース・ドレイン領域の上面に形成されるシリサイド層の薄膜化や、新規材料の開発が強く求められてきている。このシリサイド層を形成するサリサイド(Salicide:Self-Aligned Silicide)プロセスでは、半導体基板上に形成したシリコン等からなるソース領域およびドレイン領域の一部とその上面に付した金属層とをアニールする。金属層としては、タングステン(W)、チタン(Ti)、コバルト(Co)などが適用され、最近ではニッケル(Ni)が採用されている。これにより、ソース・ドレイン電極等の上側に低抵抗のシリサイド層を形成することができる。最近では、さらなる微細化に応え、貴金属である白金(Pt)を加えたNiPtシリサイド層を形成することも提案されている。
 サリサイド工程の後においては、そこに残された金属層をエッチングにより除去する。このエッチングは通常ウエットエッチングにより行われ、その薬液として塩酸と硝酸の混合液(王水)が適用されている。特許文献1は、硝酸および塩酸に加え、トルエンスルホン酸を加えた薬液を用いる例を開示している。
国際公開第2012/125401号パンフレット
 本発明の目的は、ゲルマニウムを含む層に対して、特定の金属を含む層を選択的に除去することができるエッチング液およびエッチング液のキット、これをもちいたエッチング方法および半導体基板製品の製造方法の提供にある。
 上記の特許文献をはじめこの系のエッチング液には酸性の王水が用いられる。これに対し、本発明者らはアルカリ性のエッチング液を適用することを検討した。その結果、後記実施例に示すようにゲルマニウムに対して良好な耐損傷性を示し、一方でチタンや銅などの金属層を好適に除去できることを確認した。本発明はこのような知見に基づき完成された。
 上記の課題は以下の手段により解決された。
〔1〕ゲルマニウム(Ge)を含む第一層と、ゲルマニウム(Ge)以外の特定金属元素を含む第二層とを有する半導体基板について、上記第二層を選択的に除去するエッチング液であって、有機アルカリ化合物を含むエッチング液。
〔2〕上記有機アルカリ化合物が、(a)炭素数3以上の炭化水素アミン化合物、(b)酸素原子もしくは硫黄原子を含有するアミン化合物、あるいは(c)炭素数5以上のアンモニウム化合物または酸素原子もしくは硫黄原子を有するアンモニウム化合物である〔1〕に記載のエッチング液。
〔3〕上記第一層のゲルマニウム(Ge)の濃度が40質量%以上である〔1〕または〔2〕に記載のエッチング液。
〔4〕上記第二層を構成する特定金属元素が、ニッケルプラチナ(NiPt)、チタン(Ti)、ニッケル(Ni)、およびコバルト(Co)から選ばれる〔1〕~〔3〕のいずれか1つに記載のエッチング液。
〔5〕上記有機アルカリ化合物が、下記式(O-1)~(O-3)、(P-1)~(P-3)、(Q-1)のいずれかで表される化合物、下記式(a-1)~(a-8)から選択される繰り返し単位を有する化合物、あるいは下記式(b)で表される化合物である〔1〕~〔4〕のいずれか1つに記載のエッチング液。
Figure JPOXMLDOC01-appb-C000009
 式中、RO1は、それぞれ独立に、アルキル基(炭素数3~12)、アルケニル基(炭素数1~12)、アルキニル基(炭素数1~12)、またはアリール基(炭素数6~14)である。RO2~RO6は、それぞれ独立に、アルキル基(炭素数1~12)、アルケニル基(炭素数1~12)、アルキニル基(炭素数1~12)、またはアリール基(炭素数6~14)である。ただし、ここでのアルキル基、アルケニル基、アルキニル基、アリール基はさらにアミノ基を有していてもよく、他方、酸素原子また硫黄原子をもつ置換基を有することはない。
Figure JPOXMLDOC01-appb-C000010
 式中、RP1~RP6は、それぞれ独立に、アシル基(炭素数1~6)、アルコキシ基(炭素数1~6)、アルコキシカルボニル基(炭素数2~6)、アルコキシカルボニルアミノ基(炭素数2~6)、下記式(x)で表される基、アルキル基(炭素数1~6)、アルケニル基(炭素数2~6)、アルキニル基(炭素数2~6)、アリール基(炭素数6~10)、またはヘテロ環基(炭素数2~6)を表す。ただし、式(P-1)においてRP1が炭化水素基であることはない。式(P-2)においてRP2とRP3とがともに、炭化水素基のみであることはない。式(P-3)において、RP4~RP6がともに、炭化水素基のみであることはない。
  X1-(Rx1-X2)mx-Rx2-*    (x)
 X1は、ヒドロキシ基、スルファニル基、炭素数1~4のアルコキシ基、または炭素数1~4のチオアルコキシ基を表す。Rx1およびRx2はそれぞれ独立に炭素数1~6のアルキレン基、炭素数2~6のアルケニレン基、炭素数2~6のアルキニレン基、炭素数6~10のアリーレン基、またはそれらの組合せを表す。X2は、O、S、CO、NR(Rは水素原子または炭素数1~6のアルキル基)を表す。mxは0~6の整数を表す。mxが2以上のとき複数のRx1およびX2はそれぞれ異なっていてもよい。Rx1およびRx2はさらに置換基Tを有していてもよい。*は結合手である。
Figure JPOXMLDOC01-appb-C000011
 式中、RQ1~RQ4はそれぞれ独立に炭素数1~20のアルキル基、炭素数2~20のアルケニル基、炭素数2~20のアルキニル基、炭素数6~14のアリール基、炭素数7~14のアラルキル基、または下記式(y)で表される基である。ただし、RQ1~RQ4の炭素数の合計は5以上であるか、または、RQ1~RQ4の炭素数の合計が4のときにはRQ1~RQ4のいずれかが酸素原子または硫黄原子を含む置換基を有する。
 
  Y1-(Ry1-Y2)my-Ry2-*    (y)
 
 Y1は炭素数1~12のアルキル基、炭素数2~12のアルケニル基、炭素数2~12のアルキニル基、炭素数7~14のアラルキル基、炭素数6~14のアリール基、ヒドロキシ基、スルファニル基、炭素数1~4のアルコキシ基、または炭素数1~4のチオアルコキシ基を表す。Y2は、O、S、CO、NR(Rは水素原子または炭素数1~6のアルキル基)を表す。Ry1およびRy2はそれぞれ独立に炭素数1~6のアルキレン基、炭素数2~6のアルケニレン基、炭素数2~6のアルキニレン基、炭素数6~10のアリーレン基、またはそれらの組合せを表す。myは0~6の整数を表す。myが2以上のとき複数のRy1およびY2はそれぞれ異なっていてもよい。Ry1およびRy2はさらに置換基Tを有していてもよい。*は結合手である。
 M4は対イオンである。
Figure JPOXMLDOC01-appb-C000012
 Rは、水素原子、アルキル基、アルケニル基、アリール基、またはヘテロ環基を表す。Rは、アルキル基またはアルケニル基を表す。Lは、アルキレン基、カルボニル基、イミノ基、アリーレン基、ヘテロ環基、またはそれらの組合せを表す。中でもアルキレン基またはカルボニル基が好ましい。Lは、単結合、アルキレン基、カルボニル基、イミノ基、アリーレン基、ヘテロ環基、またはそれらの組合せを表す。Rは、水素原子またはアルキル基を表す。nは0以上の整数を表す。Q1~Q3はそれぞれ独立に含窒素複素環を表す。
 
  R N-[L-N(R)]-L-NR    (b)
 
 Rは、水素原子またはアルキル基を表す。mは0以上の整数を表す。Lは、アルキレン基、カルボニル基、イミノ基、アリーレン基、ヘテロ環基、またはそれらの組合せを表す。
〔6〕上記有機アルカリ化合物が、炭素数3以上のアルキルアミン化合物、炭素数5以上のアルキルアンモニウム化合物、カルバモイル化合物、およびアルコキシアミン化合物からなる群から選ばれる〔1〕~〔5〕のいずれか1つに記載のエッチング液。
〔7〕上記有機アルカリ化合物の含有量が3~100質量%である〔1〕~〔6〕のいずれか1つに記載のエッチング液。
〔8〕上記第二層を、上記第一層および下記第三層に対して選択的に除去する〔1〕~〔7〕のいずれか1つに記載のエッチング液。
[第三層:上記第一層と第二層との間に介在するゲルマニウム(Ge)および上記特定金属元素を含有する層]
〔9〕さらに下記有機添加剤を含有する〔1〕~〔8〕のいずれか1つに記載のエッチング液。
[有機添加剤:窒素原子、硫黄原子、リン原子、もしくは酸素原子を含有する有機化合物からなる添加剤]
〔10〕ゲルマニウム(Ge)を含む第一層と、金属元素を含む第二層とを有する半導体基板について、上記第二層を選択的に除去するエッチング液のキットであって、
 有機アルカリ化合物を含む第一液と、酸化剤を含む第二液とを具備するエッチング液のキット。
〔11〕ゲルマニウム(Ge)を含む第一層と、ゲルマニウム(Ge)以外の特定金属元素を含む第二層とを有する半導体基板について、上記第二層を選択的に除去するエッチング方法であって、有機アルカリ化合物を含むエッチング液を用いるエッチング方法。
〔12〕上記有機アルカリ化合物が、(a)炭素数5以上の炭化水素アミン化合物、(b)ヘテロ原子を含有するアミン化合物、または(c)アンモニウム化合物である〔11〕に記載のエッチング方法。
〔13〕上記第一層のゲルマニウム(Ge)の濃度が40質量%以上である〔11〕または〔12〕に記載のエッチング方法。
〔14〕上記第二層を構成する特定金属元素が、ニッケルプラチナ(NiPt)、チタン(Ti)、ニッケル(Ni)、およびコバルト(Co)から選ばれる〔11〕~〔13〕のいずれか1つに記載のエッチング方法。
〔15〕上記有機アルカリ化合物が、下記式(O-1)~(O-3)、(P-1)~(P-3)、(Q-1)のいずれかで表される化合物、下記式(a-1)~(a-8)から選択される繰り返し単位を有する化合物、あるいは下記式(b)で表される化合物である〔11〕~〔14〕のいずれか1つに記載のエッチング方法。
Figure JPOXMLDOC01-appb-C000013
 式中、RO1は、それぞれ独立に、アルキル基(炭素数3~12)、アルケニル基(炭素数1~12)、アルキニル基(炭素数1~12)、またはアリール基(炭素数6~14)である。RO2~RO6は、それぞれ独立に、アルキル基(炭素数1~12)、アルケニル基(炭素数1~12)、アルキニル基(炭素数1~12)、またはアリール基(炭素数6~14)である。ただし、ここでのアルキル基、アルケニル基、アルキニル基、アリール基はさらにアミノ基を有していてもよく、他方、酸素原子また硫黄原子をもつ置換基を有することはない。
Figure JPOXMLDOC01-appb-C000014
 式中、RP1~RP6は、それぞれ独立に、アシル基(炭素数1~6)、アルコキシ基(炭素数1~6)、アルコキシカルボニル基(炭素数2~6)、アルコキシカルボニルアミノ基(炭素数2~6)、下記式(x)で表される基、アルキル基(炭素数1~6)、アルケニル基(炭素数2~6)、アルキニル基(炭素数2~6)、アリール基(炭素数6~10)、またはヘテロ環基(炭素数2~6)を表す。ただし、式(P-1)においてRP1が炭化水素基であることはない。式(P-2)においてRP2とRP3とがともに、炭化水素基のみであることはない。式(P-3)において、RP4~RP6がともに、炭化水素基のみであることはない。
  X1-(Rx1-X2)mx-Rx2-*    (x)
 X1は、ヒドロキシ基、スルファニル基、炭素数1~4のアルコキシ基、または炭素数1~4のチオアルコキシ基を表す。Rx1およびRx2はそれぞれ独立に炭素数1~6のアルキレン基、炭素数2~6のアルケニレン基、炭素数2~6のアルキニレン基、炭素数6~10のアリーレン基、またはそれらの組合せを表す。X2は、O、S、CO、NR(Rは水素原子または炭素数1~6のアルキル基)を表す。mxは0~6の整数を表す。mxが2以上のとき複数のRx1およびX2はそれぞれ異なっていてもよい。Rx1およびRx2はさらに置換基Tを有していてもよい。*は結合手である。
Figure JPOXMLDOC01-appb-C000015
 式中、RQ1~RQ4はそれぞれ独立に炭素数1~20のアルキル基、炭素数2~20のアルケニル基、炭素数2~20のアルキニル基、炭素数6~14のアリール基、炭素数7~14のアラルキル基、または下記式(y)で表される基である。ただし、RQ1~RQ4の炭素数の合計は5以上であるか、または、RQ1~RQ4の炭素数の合計が4のときにはRQ1~RQ4のいずれかが酸素原子または硫黄原子を含む置換基を有する。
 
  Y1-(Ry1-Y2)my-Ry2-*    (y)
 
 Y1は炭素数1~12のアルキル基、炭素数2~12のアルケニル基、炭素数2~12のアルキニル基、炭素数7~14のアラルキル基、炭素数6~14のアリール基、ヒドロキシ基、スルファニル基、炭素数1~4のアルコキシ基、または炭素数1~4のチオアルコキシ基を表す。Y2は、O、S、CO、NR(Rは水素原子または炭素数1~6のアルキル基)を表す。Ry1およびRy2はそれぞれ独立に炭素数1~6のアルキレン基、炭素数2~6のアルケニレン基、炭素数2~6のアルキニレン基、炭素数6~10のアリーレン基、またはそれらの組合せを表す。myは0~6の整数を表す。myが2以上のとき複数のRy1およびY2はそれぞれ異なっていてもよい。Ry1およびRy2はさらに置換基Tを有していてもよい。*は結合手である。
 M4は対イオンである。
Figure JPOXMLDOC01-appb-C000016
 Rは、水素原子、アルキル基、アルケニル基、アリール基、またはヘテロ環基を表す。Rは、アルキル基またはアルケニル基を表す。Lは、アルキレン基、カルボニル基、イミノ基、アリーレン基、ヘテロ環基、またはそれらの組合せを表す。中でもアルキレン基またはカルボニル基が好ましい。Lは、単結合、アルキレン基、カルボニル基、イミノ基、アリーレン基、ヘテロ環基、またはそれらの組合せを表す。Rは、水素原子またはアルキル基を表す。nは0以上の整数を表す。Q1~Q3はそれぞれ独立に含窒素複素環を表す。
 
  R N-[L-N(R)]-L-NR    (b)
 
 Rは、水素原子またはアルキル基を表す。mは0以上の整数を表す。Lは、アルキレン基、カルボニル基、イミノ基、アリーレン基、ヘテロ環基、またはそれらの組合せを表す。
〔16〕上記有機アルカリ化合物の含有量が3~100質量%である〔11〕~〔15〕のいずれか1つに記載のエッチング方法。
〔17〕上記第二層を、上記第一層および下記第三層に対して選択的に除去する〔11〕~〔16〕のいずれか1つに記載のエッチング方法。
[第三層:上記第一層と第二層との間に介在するゲルマニウム(Ge)および上記特定金属元素を含有する層]
〔18〕上記エッチング液を上記半導体基板に付与するに当たり、上記半導体基板を回転させ、その回転中の半導体基板上面からノズルを介して上記エッチング液を供給する〔11〕~〔17〕のいずれか1つに記載のエッチング方法。
〔19〕上記第二層に接触するときのエッチング液の温度が30~80℃の範囲である〔11〕~〔18〕のいずれか1つに記載のエッチング方法。
〔20〕上記エッチングの前後の少なくともいずれかで上記半導体基板を水で洗浄する工程を含む〔11〕~〔19〕のいずれか1つに記載のエッチング方法。
〔21〕上記エッチング液が酸化剤をさらに含み、上記酸化剤を含まない第一液と、上記酸化剤を含む第二液とに区分して保存される〔11〕~〔20〕のいずれか1つに記載のエッチング方法。
〔22〕ゲルマニウム(Ge)を含む第一層を有する半導体基板製品の製造方法であって、
 少なくとも、上記第一層と、ニッケルプラチナ(NiPt)、チタン(Ti)、ニッケル(Ni)、およびコバルト(Co)から選ばれる少なくとも1種の第二層とを半導体基板に形成する工程、
 上記半導体基板を加熱して上記第一層と第二層との間に両層の成分を含有する第三層を形成する工程、
 有機アルカリ化合物を含むエッチング液を準備する工程、および
 上記エッチング液を上記第二層に接触させて、上記第一層および/または第三層に対して上記第二層を選択的に除去する工程を含む半導体基板製品の製造方法。
 本発明のエッチング液およびエッチング液のキット、これをもちいたエッチング方法および半導体基板製品の製造方法によれば、ゲルマニウムを含む層に対して、特定の金属を含む層を選択的に除去することができる。
 本発明の上記及び他の特徴及び利点は、下記の記載および添付の図面からより明らかになるであろう。
本発明の一実施形態における半導体基板の作製工程例を模式的に示す断面図である。 本発明の一実施形態におけるMOSトランジスタの製造例を示す工程図である。 本発明の好ましい実施形態に係るウエットエッチング装置の一部を示す装置構成図である。 本発明の一実施形態における半導体基板に対するノズルの移動軌跡線を模式的に示す平面図である。 本発明の別の実施形態に係る基板構造を模式的に示す断面図である。
 まず、本発明のエッチング方法に係るエッチング工程の好ましい実施形態について、図1、図2に基づき説明する。
[エッチング工程]
 図1はエッチング前後の半導体基板を示した図である。本実施形態の製造例においては、シリコン層(第一層)2の上面に金属層(第二層)1が配置されている。シリコン層(第一層)としてはソース電極、ドレイン電極を構成するSiGeエピタキシャル層が適用されている。本発明においては、SiGeエピタキシャル層であることが、そのエッチング液の顕著な効果が発揮されるため好ましい。
 金属層(第二層)1の構成材料としては、タングステン(W)、チタン(Ti)、コバルト(Co)、ニッケル(Ni)、ニッケルプラチナ(NiPt)などが挙げられる。金属層の形成は通常この種の金属膜の形成に適用される方法を用いることができ、具体的には、CVD(Chemical Vapor Deposition)による成膜が挙げられる。このときの金属層の厚さは特に限定されないが、5nm以上50nm以下の膜の例が挙げられる。本発明においては、金属層がNiPt層(Pt含有率0質量%超20質量%以下が好ましい)、Ni層(Pt含有率0質量%)であることが、そのエッチング液の顕著な効果が発揮されるため好ましい。
 金属層は、上記に挙げた金属原子以外に、その他の元素を含んでいてもよい。例えば、不可避的に混入する酸素や窒素は存在していてもよい。不可避不純物の量は例えば、1ppt~10ppm程度に抑えられていることが好ましい。かかる観点から、第二層(金属層)は、実質的に金属元素からなる層であることが好ましく、例えば、Tiの場合には、TiN層などは含まず、金属チタン(Ti)の層であることが好ましい。
 また半導体基板には、上記材料以外に、エッチングされることを望まない材料が存在することがある。本発明のエッチング液はエッチングされることを望まない材料の腐食などを最小限に抑えることができる。エッチングされることを望まない材料としては、Al,SiO,SiN,SiOC,HfO及びTiAlCからなる群より選ばれる少なくとも1種が挙げられる。
 上記の工程(a)においてシリコン層2の上側に金属層1が形成された後、アニール(焼結)が行われ、その界面に金属-Si反応膜(第三層:ゲルマニウムシリサイド層)3が形成される(工程(b))。アニールは通常この種の素子の製造に適用される条件によればよいが、例えば、200~1000℃で処理することが挙げられる。このときのゲルマニウムシリサイド層3の厚さは特に限定されないが、50nm以下の層とされている例が挙げられ、さらに10nm以下の層とされている例が挙げられる。下限値は特にないが、1nm以上であることが実際的である。このゲルマニウムシリサイド層は低抵抗膜として適用され、その下部に位置するソース電極、ドレイン電極と、その上部に配置される配線とを電気的に接続する導電部として機能する。したがって、ゲルマニウムシリサイド層に欠損や腐食が生じるとこの導通が阻害され、素子誤作動等の品質低下につながることがある。特に、昨今、基板内部の集積回路構造は微細化されてきており、微小な損傷であっても素子の性能にとって大きな影響を与えうる。そのため、そのような欠損や腐食は可及的に防止されることが望ましい。
 なお、本明細書において、広義には、ゲルマニウムシリサイド層は、第一層のゲルマニウム含有層に含まれる概念である。したがって、第一層に対して第二層を選択的に除去するというときには、シリサイド化されていないゲルマニウム含有層に対して第二層(金属層)を優先的に除去する態様のみならず、ゲルマニウムシリサイド層に対して第二層(金属層)を優先的に除去する態様を含む意味である。狭義に、第一層のゲルマニウム含有層(ゲルマニウムシリサイド層を除く)と第三層のゲルマニウムシリサイド層とを区別して言うときには、それぞれ第一層および第三層と言う。
 次いで、残存した金属層1のエッチングが行われる(工程(b)->工程(c))。本実施形態においては、このときエッチング液が適用され、金属層1の上側からエッチング液を付与し接触させることで、金属層1を除去する。エッチング液の付与の形態については後述する。
 シリコン層2は、SiGeエピタキシャル層からなり、化学的気相成長(CVD)法により、特定の結晶性を有するシリコン基板上に結晶成長させて形成するとことができる。あるいは、電子線エピタキシ(MBE)法等により、所望の結晶性で形成したエピタキシャル層としてもよい。
 シリコン層をP型の層とするには、濃度が1×1014cm-3~1×1021cm-3程度のボロン(B)がドープされることが好ましい。N型の層とするには、リン(P)が1×1014cm-3~1×1021cm-3の濃度でドープされることが好ましい。
 SiGeエピタキシャル層におけるGe濃度は、20~100質量%であることが好ましく、40~90質量%であることがより好ましい。Ge濃度を上記の範囲とすることで、処理後のウエハの面内均一性を向上させることができ好ましい。Geが比較的高濃度であることが好ましい理由としては以下のように推定される。GeとSiを比較した場合に、Siは酸化された後に酸化膜SiOxを生成し、この酸化種は溶出せず反応停止層となると解される。そのため、ウエハ内で、Geが溶出した部分と、SiOxによって反応が停止した部分とに差が生じ、結果としてウエハの面内均一性が損なわれうる。一方、Ge濃度が高くなると上記機構でのSiOxによる阻害の影響が小さくなり、特に本発明のエッチング液のように金属層に対して高い除去性のある薬液を適用した際にウエハの面内均一性が確保できると考えられる。なお、ゲルマニウム100質量%の場合、そのアニールにより第二層の合金を伴って形成される層は、ゲルマニウムと第二層の特定金属元素を含み、シリコンを含まないが、本明細書では便宜上これを含めてゲルマニウムシリサイド層と称する。
 ゲルマニウムシリサイド層(第三層)は、上記第一層と第二層との間に介在するゲルマニウム(Ge)および上記特定金属元素を含有する層である。その組成は、特に限定されないが、SixGeyMz(M:金属元素)の式で、x+y+z=1として、0.2≦x+y≦0.8であることが好ましく、0.3≦x+y≦0.7であることがより好ましい。zについては、0.2≦z≦0.8であることが好ましく、0.3≦z≦0.7であることがより好ましい。xとyとの比率の好ましい範囲は上記で規定したとおりである。ただし、第三層にはその他の元素が含まれていてもよい。そのことは、上記金属層(第二層)で述べたことと同様である。
(MOSトランジスタの加工)
 図2は、MOSトランジスタの製造例を示す工程図である。(A)はMOSトランジスタ構造の形成工程、(B)は金属膜のスパッタ工程、(C)は1回目のアニール工程、(D)は金属膜の選択除去工程、(E)は2回目のアニール工程である。
 図に示すように、シリコン基板21の表面に形成されたゲート絶縁膜22を介してゲート電極23が形成されている。シリコン基板21のゲート電極23の両側にエクステンション領域が別途形成されていてもよい。ゲート電極23の上側に、NiPt層との接触を防ぐ保護層(図示せず)が形成されていてもよい。さらに、シリコン酸化膜又はシリコン窒化膜からなるサイドウォール25が形成され、イオン注入によりソース領域26及びドレイン領域27が形成されている。
 次いで、図に示すように、NiPt膜28が形成され、急速アニール処理が施される。これによって、NiPt膜28中の元素をシリコン基板中に拡散させてシリサイド化(本明細書では、ゲルマニウム100質量%のときも含めて、便宜上、アニールによる合金化をシリサイド化と称する)させる。この結果、ソース電極26及びドレイン電極27の上部がシリサイド化されて、NiPtGeSiソース電極部26A及びNiPtSiGeドレイン電極部27Aが形成される。このとき、必要により、図2(E)に示したように2回目のアニールをすることにより電極部材を所望の状態に変化させることができる。上記1回目と2回目のアニール温度は特に限定されないが、例えば、400~1100℃で行うことができる。
 シリサイド化に寄与せずに残ったNiPt膜28は、本発明のエッチング液を用いることによって除去することができる(図2(C)(D))。このとき、図示したものは大幅に模式化して示しており、シリサイド化された層(26A,27A)の上部に堆積して残るNiPt膜があってもなくてもよい。半導体基板ないしその製品の構造も簡略化して図示しており、必要に応じて、必要な部材があるものとして解釈すればよい。
 21 シリコン基板:  Si,SiGe,Ge
 22 ゲート絶縁膜:  HfO(High-k)
 23 ゲート電極:   Al,W,TiN or Ta
 25 サイドウォール: SiOCN,SiN,SiO(low-k)
 26 ソース電極:   SiGe,Ge
 27 ドレイン電極:  SiGe,Ge
 28 金属層:     Ni,Pt,Ti
 図示せず キャップ:  TiN
 本発明のエッチング方法が適用される半導体基板を上述したが、この具体例に限らず、他の半導体基板にも適用できる。例えば、ソース及び/又はドレーン領域上にシリサイドパターンを有する高誘電膜/金属ゲートFinFETを含む半導体基板が挙げられる。
 図5は本発明の別の実施形態に係る基板構造を模式的に示す断面図である。90Aは、第1デバイス領域に位置する第1のゲートスタックである。90Bは、第2の素子領域に位置する第2のゲートスタックである。ここで、ゲートスタックは、導電性タンタル合金層またはTiAlCを含有する。第1のゲートスタックについて説明すると、92Aはウェルである。94Aが第1ソース/ドレイン拡張領域、96Aが第1ソース/ドレイン領域、91Aが第一の金属半導体合金部分である。95Aが第1ゲートスペーサである。97Aが第1のゲート絶縁膜であり、81が第1仕事関数材料層(first work function material layer)、82Aが第2仕事関数材料層(second work function material layer)である。83Aが電極となる第1の金属部である。93はトレンチ構造部であり、99は平坦化誘電体層である。80は下層半導体層である。
 第1のゲートスタックも同様の構造であり、その91B、92B、94B、95B、96B、97B、82B、83Bがそれぞれ、第1のゲートスタックの91A、92A、94A、95A、96A、97A、82A、83Aに対応する。両者の構造上の相違点を挙げると、第1のゲートスタックには、第1仕事関数材料層81があるが、第2のゲートスタックにはそれが設けられていない。
 仕事関数材料層は、p型の仕事関数材料層およびn型の仕事関数材料層のいずれであってもよい。p型仕事関数材料は、シリコンの価電子帯エネルギー準位とミッドバンドギャップエネルギー準位の間にある仕事関数を有する材料を指す。すなわち、シリコンのエネルギー準位において、伝導帯のエネルギー準位と価電子帯エネルギーレベルとが等価に分離されているものである。n型仕事関数材料は、シリコンの伝導帯のエネルギー準位とシリコンのミッドバンドギャップエネルギー準位との間に仕事関数を有する材料を指す。
 仕事関数材料層の材料は導電性タンタル合金層またはTiAlCであることが好ましい。導電性タンタル合金層は、(i)タンタルとアルミニウムとの合金、(ii)タンタルおよび炭素の合金、(iii)タンタル、アルミニウム、及び炭素の合金から選択された材料を含むことができる。
(i)TaAl
 タンタルとアルミニウムとの合金において、タンタルの原子濃度は10%~99%とすることができる。アルミニウムの原子濃度は1%~90%とすることができる。
(ii)TaC
 タンタルと炭素の合金において、タンタルの原子濃度は20%~80%とすることができる。炭素の原子濃度は、20%~80%とすることができる。
(iii)TaAlC
 タンタル、アルミニウム、及び炭素の合金において、タンタルの原子濃度は15%~80%とすることができる。アルミニウムの原子濃度は1%~60%とすることができる。炭素の原子濃度は15%~80%とすることができる。
 別の実施形態では、仕事関数材料層を、(iv)窒化チタンから本質的になる窒化チタン層あるは、(v)チタンとアルミニウムと炭素の合金の層とすることができる。
(iv)TiN
 窒化チタン層において、チタンの原子濃度は30%~90%とすることができる。窒素の原子濃度は、10%~70%とすることができる。
(v)TiAlC
 チタンとアルミニウムと炭素の合金の層において、チタンの原子濃度は15%~45%とすることができる。アルミニウムの原子濃度は、5%~40%とすることができる。炭素の原子濃度は、5%~50%とすることができる。
 上記仕事関数材料層は、原子層堆積(ALD)、物理蒸着(PVD)、または化学蒸着(CVD)等により形成することができる。仕事関数材料層はゲート電極を覆うように形成されることが好ましく、その膜厚は100nm以下が好ましく、50nm以下がより好ましく、1nm~10nmがさらに好ましい。
 中でも、本発明においては、エッチングの選択性が好適に発現される観点から、TiAlCの層が採用された基板を適用することが好ましい。
 本実施形態の素子において、ゲート誘電体層は、金属と酸素とを含むhigh-k材料からなる。high-kゲート誘電体材料としては、公知のものを使用することができる。その膜は通常の方法によって堆積させることができる。例えば、化学蒸着(CVD)、物理蒸着(PVD)、分子線蒸着法(MBD)、パルスレーザー蒸着(PLD、液体原料ミスト化学堆積(LSMCD)、原子層堆積(ALD)などが挙げられる。典型的なhigh-k誘電体材料としては、HfO、ZrO、La、Al、TiO、SrTiO、LaAlO、Y、HfO、ZrO、La、Al、TiO、SrTiO、LaAlO、Yなどが挙げられる。xは0.5~3であり、yは0~2である。ゲート誘電体層の厚さは、0.9~6nmであることが好ましく、1~3nmがより好ましい。なかでも、ゲート誘電体層が酸化ハフニウム(HfO2)からなることが好ましい。
 その他の部材や構造は適宜通常の材料により常法によって形成することができる。その詳細については、米国公開第2013/0214364号、米国公開第2013/0341631号を参照することができ、本発明に引用して取り込む(incorporate by reference)。
 本発明の好ましい実施形態に係るエッチング液によれば、上述したような仕事関数材料層が露出した基板であっても、その層の損傷を抑制しつつ、効果的に第一層の金属(Ni,Pt,Ti等)を除去することができる。
[エッチング液]
 次に、本発明のエッチング液の好ましい実施形態について説明する。本実施形態のエッチング液は有機アルカリ化合物と必要により酸化剤とを含有する。以下、任意のものを含め、各成分について説明する。
(有機アルカリ化合物)
 有機アルカリ化合物は、炭素原子を有しアルカリ性を呈する化合物であり、(a)炭素数3以上の炭化水素アミン化合物、(b)酸素原子もしくは硫黄原子を含有するアミン化合物、あるいは(c)炭素数5以上のアンモニウム化合物または酸素原子もしくは硫黄原子を有するアンモニウム化合物であることが好ましい。ここで、アミン化合物とは第一級アミン、第二級アミン、第三級アミン、もしくはその塩を含む化合物である。そこには、カルバモイル基やその塩も含まれることとする。アンモニウム基は第四級アンモニウム基もしくはその塩を含む意味である。
 ここで、上記アミン化合物(a)の炭化水素基はアルカン残基(典型的にはアルキル基であるが、2価以上の基であってもよい意味。他の残基について同様。)、アルケン残基、アリール残基、またはそれらの組合せが挙げられる。
 炭化水素アミン化合物(a)は炭素数3以上であるが、上限としては、炭素数16以下が実際的である。
 炭化水素アミン(a)としては、下記式(O-1)~(O-3)のいずれかで表される化合物が挙げられる。
Figure JPOXMLDOC01-appb-C000017
 式中、RO1は、それぞれ独立に、アルキル基(炭素数3~12が好ましく、4~12がより好ましく、5~12がより好ましい)、アルケニル基(炭素数1~12が好ましく、炭素数3~12がより好ましい)、アルキニル基(炭素数1~12が好ましく、炭素数3~12がより好ましい)、アリール基(炭素数6~14が好ましい)。RO2~RO6は、それぞれ独立に、アルキル基(炭素数1~12)、アルケニル基(炭素数1~12)、アルキニル基(炭素数1~12)、またはアリール基(炭素数6~14)である。ただし、ここでのアルキル基、アルケニル基、アルキニル基、アリール基はアミノ基を有していてもよく、他方、酸素原子また硫黄原子をもつ置換基を有することはない。
 炭化水素アミン化合物(a)は、具体的には、シクロヘキシルアミン、ペンチルアミン、ベンジルアミン、n-ヘキシルアミン、2-エチルヘキシルアミン、オクチルアミンなどが挙げられる。
 酸素原子または硫黄原子を有するアミン化合物(b)は上記で定義される炭化水素基と酸素原子または硫黄原子を含む置換基とを有する化合物であることが好ましい。ヘテロ原子を含む置換基もしくは連結基としては、ヒドロキシ基(OH)、カルボキシル基(COOH)、スルファニル基(SH)、エーテル基(O)、チオエーテル基(S)、カルボニル基(CO)が挙げられる。アミン化合物(b)は炭素数1以上であり、上限としては、炭素数16以下が実際的である。
 ヘテロ原子を有するアミン化合物(b)としては、下記式(P-1)~(P-3)のいずれかで表される化合物が挙げられる。
Figure JPOXMLDOC01-appb-C000018
 式中、RP1~RP6は、それぞれ独立に、アシル基(炭素数1~6が好ましい)、アルコキシ基(炭素数1~6が好ましい)、アルコキシカルボニル基(炭素数2~6が好ましい)、アルコキシカルボニルアミノ基(炭素数2~6が好ましい)、下記式(x)で表される基、アルキル基(炭素数1~6が好ましい)、アルケニル基(炭素数2~6が好ましい)、アルキニル基(炭素数2~6が好ましい)、アリール基(炭素数6~10が好ましい)、またはヘテロ環基(炭素数2~6が好ましい)を表す。ただし、式(P-1)においてRP1が炭化水素基(アルキル基、アルケニル基、アルキニル基、アリール基)であることはない。式(P-2)においてRP2とRP3とがともに、炭化水素基(アルキル基、アルケニル基、アルキニル基、アリール基)のみであることはない。式(P-3)において、RP4~RP6がともに、炭化水素基(アルキル基、アルケニル基、アルキニル基、アリール基)のみであることはない。
 これらの基は、さらに置換基Tを有していてもよい。なかでも付加される任意の置換基としては、ヒドロキシ基(OH)、カルボキシル基(COOH)、スルファニル基(SH)、アルコキシ基、またはチオアルコキシ基が好ましい。また、アルキル基、アルケニル基、アルキニル基は、それぞれ1~4個の、O、S、CO、NRを介在していてもよい。
  X1-(Rx1-X2)mx-Rx2-*    (x)
 X1は、ヒドロキシ基、スルファニル基、炭素数1~4のアルコキシ基、または炭素数1~4のチオアルコキシ基を表す。Rx1およびRx2はそれぞれ独立に炭素数1~6のアルキレン基、炭素数2~6のアルケニレン基、炭素数2~6のアルキニレン基、炭素数6~10のアリーレン基、またはそれらの組合せを表す。X2は、O、S、CO、NR(Rは水素原子または炭素数1~6のアルキル基)を表す。mxは0~6の整数を表す。mxが2以上のとき複数のRx1およびX2はそれぞれ異なっていてもよい。Rx1およびRx2はさらに置換基Tを有していてもよい。*は結合手である。
 酸素原子または硫黄原子を有するアミン化合物(b)としては、具体的には、カルバジン酸メチル、O-メチルヒドロキシルアミン、N-メチルヒドロキシルアミン、モノエタノールアミン、3-エトキシプロピルアミン、ジグリコールアミン、トリエタノールアミン、ジエタノールアミン、モノエタノールアミン、N-メチルエタノールアミン、N,N-ジエチルモノエタノールアミン、ジエチルヒドロキシルアミン、イソプロパノールアミン、ジイソプロパノールアミン、2-(メチルアミノ)エタノールなどが挙げられる。
 オニウム化合物(c)としては、含窒素オニウム化合物(第四級アンモニウム塩等)、含リンオニウム化合物(第四級ホスホニウム塩等)、含硫黄オニウム化合物(例えばSRyM:Ryは炭素数1~6のアルキル基、Mは対アニオン)が挙げられる。なかでも含窒素オニウム化合物(第四級アンモニウム塩、ピリジニウム塩、ピラゾリウム塩、イミダゾリウム塩等)が好ましい。アルカリ化合物は、なかでも第四級アンモニウム水酸化物であることが好ましい。
 オニウム化合物(c)としては、下記式(Q-1)で表される化合物が挙げられる。
Figure JPOXMLDOC01-appb-C000019
 式中、RQ1~RQ4はそれぞれ独立に炭素数1~20のアルキル基、炭素数2~20のアルケニル基、炭素数2~20のアルキニル基、炭素数6~14のアリール基、炭素数7~14のアラルキル基、または下記式(y)で表される基である。ただし、RQ1~RQ4の炭素数の合計は5以上であるか、または、RQ1~RQ4の炭素数の合計が4のときにはRQ1~RQ4のいずれかが酸素原子または硫黄原子を含む置換基を有する。
 
  Y1-(Ry1-Y2)my-Ry2-*    (y)
 
 Y1は炭素数1~12のアルキル基、炭素数2~12のアルケニル基、炭素数2~12のアルキニル基、炭素数7~14のアラルキル基、炭素数6~14のアリール基、ヒドロキシ基、スルファニル基、炭素数1~4のアルコキシ基、または炭素数1~4のチオアルコキシ基を表す。Y2は、O、S、CO、NR(Rは水素原子または炭素数1~6のアルキル基)を表す。Ry1およびRy2はそれぞれ独立に炭素数1~6のアルキレン基、炭素数2~6のアルケニレン基、炭素数2~6のアルキニレン基、炭素数6~10のアリーレン基、またはそれらの組合せを表す。myは0~6の整数を表す。myが2以上のとき複数のRy1およびY2はそれぞれ異なっていてもよい。Ry1およびRy2はさらに置換基Tを有していてもよい。*は結合手である。
 RQ1~RQ4の合計は6以上であることが好ましく、8以上であることがより好ましく、12以上であることが特に好ましい。上限は特に制限されないが、40以下であることが好ましく、30以下であることがより好ましい。
 M4は対イオンであり、水酸化物イオンなどを表す。
 具体的には、水酸化テトラアルキルアンモニウム(好ましくは炭素数4~25、ただし炭素数4のときは酸素原子また硫黄原子を含む置換基を有することが好ましい)が好ましい。このとき、アルキル基には本発明の効果を損ねない範囲で任意の置換基(例えば、ヒドロキシル基、アリル基、アリール基)が置換していてもよい。また、アルキル基は直鎖でも分岐でもよく、環状でもよい。具体的には、水酸化テトラメチルアンモニウム(TMAH)、水酸化テトラエチルアンモニウム(TEAH)(酸素原子または硫黄原子を含む置換基を有することが好ましい)、水酸化ベンジルトリメチルアンモニウム、水酸化エチルトリメチルアンモニウム、水酸化2-ヒドロキシエチルトリメチルアンモニウム、水酸化ベンジルトリエチルアンモニウム、水酸化ヘキサデシルトリメチルアンモニウム、水酸化テトラブチルアンモニウム(TBAH)、水酸化テトラヘキシルアンモニウム(THAH)、水酸化テトラプロピルアンモニウム(TPAH)などが挙げられる。あるいは、塩化ベンザルコニウム、塩化ベンゼトニウム、塩化メチルベンゼトニウム、塩化セチルピリジニウム、セトリモニウム、塩化ドファニウム、臭化テトラエチルアンモニウム、塩化ジデシルジメチルアンモニウム、臭化ドミフェンなどが挙げられる。
・含窒素ポリマー
 上記有機アルカリ化合物は下記含窒素ポリマーであることも好ましい。含窒素ポリマーは、窒素原子をもつ繰り返し単位を複数有する化合物であれば、比較的小さな分子を含む意味である(下記例示化合物A-15~A-17参照)。上記繰り返し単位は、第一級アミン構造(-NRx)、第二級アミン構造(>NRx)、第三級アミン構造(>N-)、または第四級アンモニウム構造(>N<)を有することが好ましい(これらの構造を「特定アミン構造」と称し、その繰り返し単位を「特定アミン繰り返し単位」と称する)。Rxは水素原子もしくは炭素数1~6のアルキル基を表す。
 含窒素ポリマーは、親水性窒素含有基と疎水性末端基とを有するカチオン界面活性剤の例が挙げられ、上記特定アミン構造を有する繰り返し単位を有することが好ましい。より具体的には、アミノ基(-NRx)、アミド基(-CONRx-)、イミド基(-CONRxCO-)、イミノ基(-NRx-)、アルキレンイミノ基(-N(Rx)Lx-:Lxは炭素数1~6のアルキレン基)、及びヒドロキシアルキレンイミノ基(-NRx)Ly-:Lyは炭素数1~6のヒドロキシ基を有するアルキレン基)からなる群より選択された官能基を含む繰り返し単位を含有することが好ましい。
 含窒素ポリマー中に存在する特定アミン繰り返し単位の数は、繰り返し単位の合計数の40%以上であることが好ましく、50%以上であることがより好ましい。上限値は特にないが、100%以下であることが好ましい。特定アミン繰り返し単位の数は具体的には1分子中に2個以上1000個以下であることが好ましく、3個以上200個以下であることがより好ましい。
 含窒素ポリマーは、上に挙げた繰り返し単位を含有するホモポリマーであってもコポリマーであってもよい。あるいは、さらに別の繰り返し単位(好ましくは非イオン性の繰り返し単位)を有していてもよい。別の繰り返し単位としては、エチレンオキシド基、プロピレンオキシド基、スチレンに由来する繰り返し単位などが挙げられる。高分子電解質中に存在する非イオン性繰り返し単位の数は、繰り返し単位の合計数の99%以下であることが好ましく、90%以下であることがより好ましい。下限値は特にないが、任意の繰り返し単位であることから0%以上とすればよい。
 含窒素ポリマーはさらに別の繰り返し単位を含んでいてもよい。さらに別の繰り返し単位としては、例えば、ヒドロキシ基、ホスホン酸基(もしくはその塩)、スルホン酸基(もしくはその塩)、リン酸基(もしくはその塩)、またはカルボン酸基(もしくはその塩)を有する繰り返し単位が挙げられる。
 含窒素ポリマーは、ホモポリマー、ランダムコポリマー、交互コポリマー、周期コポリマー(periodiccopolymer)、ブロックコポリマー(例えば、AB、ABA、ABCなど)、グラフトコポリマー、コームコポリマーのいずれであってもよい。
 上記特定アミン繰り返し単位は、下記式(a-1)~(a-8)から選択されるものであることが好ましい。
Figure JPOXMLDOC01-appb-C000020
・R
 Rは、水素原子、アルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルケニル基(炭素数2~12が好ましく、2~6がより好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、またはヘテロ環基(炭素数2~12が好ましく、2~6がより好ましい)を表す。なかでもRが水素原子またはメチル基であることが好ましい。なお、本明細書においてアルキル基はアラルキル基を含む意味である。
・R
 Rは、アルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)またはアルケニル基(炭素数2~12が好ましく、2~6がより好ましい)を表す。なかでもRがメチル基またはエチル基であることが好ましい。
・L
 Lは、アルキレン基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、カルボニル基、イミノ基(炭素数0~6が好ましく、0~3がより好ましい)、アリーレン基(炭素数6~22が好ましく、6~14がより好ましい)、ヘテロ環基(炭素数1~12が好ましく、2~5がより好ましい)、またはそれらの組合せを表す。中でもアルキレン基またはカルボニル基が好ましく、メチレン基、エチレン基、プロピレン基、またはカルボニル基が好ましく、メチレン基またはエチレン基がより好ましく、メチレン基が特に好ましい。
・L
 Lは、単結合、アルキレン基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、カルボニル基、イミノ基(炭素数0~6が好ましく、0~3がより好ましい)、アリーレン基(炭素数6~22が好ましく、6~14がより好ましい)、ヘテロ環基(炭素数1~12が好ましく、2~5がより好ましい)、またはそれらの組合せを表す。なかでも、単結合、メチレン基、エチレン基、プロピレン基、またはカルボニル基が好ましく、単結合、メチレン基、またはエチレン基が好ましい。
・R
 Rは、水素原子またはアルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)を表す。なかでもRが水素原子またはメチル基であることが好ましい。
・n
 nは0以上の整数を表す。nの上限は各環状構造部の置換可能数である。例えば、下記式(5-1)~(5-4)であれば4であり、式(6-5)、式(6-6)であれば3である。
 環Q1は含窒素複素環を表し、含窒素飽和複素環が好ましく、5員または6員環の含窒素飽和複素環が好ましい。その環構造として具体的には、下記式(5-1)~(5-6)が好ましい。式中アニオンは省略している。
 環Q2は含窒素複素環を表し、含窒素不飽和複素環が好ましく、5員または6員環の含窒素不飽和複素環が好ましく、ピロリル基、ピラゾリル基、イミダゾリル基、トリアゾリル基、ピリジル基、ピリミジル基(いずれもC位で結合)が好ましい。その環構造として具体的には、下記式(6-1)~(6-11)が好ましい。
 環Q3は含窒素複素環を表し、含窒素不飽和複素環が好ましく、5員環の含窒素不飽和複素環が好ましく、ピロリル基、イミダゾリル基、ピラゾリル基、トリアゾリル基(いずれもN位で結合)が好ましい。その環構造として具体的には、下記式(8-1)~(8-3)が好ましい。
 式中*は、結合位置を示す。
Figure JPOXMLDOC01-appb-C000021
 上記の環構造基はいずれも所定数の置換基Raを伴っていてもよい。式中のオニウムは塩となっていてもよい意味である。また、式6-1~6-11、8-1~8-3はオニウムもしくはその塩となっていてもよい。
 R、R、R、L、Lは分子内で複数あるとき、互いに同じで合っても異なっていてもよい。複数のR、R、およびRは互いに結合して環を形成していてもよい。なお、すべてにおいて断らないが、隣接する置換基や連結基は、本発明の効果を損ねない範囲で、互いに結合して環を形成していてもよい。
 さらに、上記含窒素ポリマーは下記式(b)で表されるものであることも好ましい。
  R N-[L-N(R)]-L-NR    (b)
 式中、Rは、上記と同様である。mは0以上の整数を表し、好ましくは1以上であり、より好ましくは2以上であり、さらに好ましくは3以上である。上限は特にないが、10以下であることが実際的であり、6以下がより実際的である。
 Lは、アルキレン基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、カルボニル基、イミノ基(炭素数0~6が好ましく、0~3がより好ましい)、アリーレン基(炭素数6~22が好ましく、6~14がより好ましい)、ヘテロ環基(炭素数1~12が好ましく、2~5がより好ましい)、またはそれらの組合せを表す。なかでもアルキレン基が好ましく、メチレン基、エチレン基、プロピレン基が好ましい。
 なお、複数のR、Lは、互いに同じで合っても異なっていてもよい。複数のR、Lは互いに結合して環を形成していてもよい。
 上記含窒素ポリマーは下記の化合物であることが好ましい。ただし、本発明がこれに限定して解釈されるものではない。
Figure JPOXMLDOC01-appb-C000022
  A-1    ポリエチレンイミン
  A-2    ポリビニルアミン
  A-3    ポリアリルアミン
  A-4    ジメチルアミン・エピヒドリン系ポリマー
  A-5    ポリヘキサジメトリン
  A-6    ポリジメチルジアリルアンモニウム(塩)
  A-7    ポリ(4-ビニルピリジン)
  A-8    ポリオルニチン
  A-9    ポリリシン
  A-10   ポリアルギニン
  A-11   ポリヒスチジン
  A-12   ポリビニルイミダゾール
  A-13   ポリジアリルアミン
  A-14   ポリメチルジアリルアミン
  A-15   ジエチレントリアミン
  A-16   トリエチレンテトラミン
  A-17   テトラエチレンペンタミン
  A-18   ペンタエチレンヘキサミン
 上記の含窒素ポリマーは適宜市販のものなどを適用することができる。
 含窒素ポリマーの濃度は特に限定されないが、エッチング液中で、0.0001質量%以上が好ましく、0.0005質量%以上がより好ましく、0.001質量%以上が特に好ましい。上限は特に制限されないが、5質量%以下が好ましく、2質量%以下がより好ましく、1質量%以下が特に好ましい。上記下限値以上とすることで、チタン含有層の溶解速度をコントロールできるため好ましい。一方、上記上限値以下とすることが、含窒素ポリマーの析出を抑制できる観点から好ましい。含窒素ポリマーは、一種類のみを用いても、その二種以上を組み合わせて用いてもよい。
 なお、含窒素ポリマーは、窒素を吸着点としてチタン含有層に保護膜を形成しながら、その良好な選択性を達成しているものと推定される。
 含窒素ポリマーの分子量は特に限定されないが、100以上であることが好ましく、200以上であることがより好ましい。上限は100,000以下であることが好ましく、50,000以下であることがより好ましく、20,000以下であることがさらに好ましく、10,000以下であることが特に好ましい。上記下限値以上とすることが実際的である。一方、上記上限値以下とすることが、含窒素ポリマーの析出を抑制できる観点から好ましい。本発明においては、この分子量範囲で条件を振って、エッチングの速度比(η)を効果的に変化させることができる。その詳細は後述する。
 含窒素ポリマーの分子量は特に断らない限り、以下の方法で測定した値を言うものとする。
―分子量の測定―
 市販の化合物についてはカタログ記載の化学構造から算出した分子量を適用した。化学構造が不明の場合等は、LC-MSによりカラム分離をした上でマススペクトロメトリーにより分子量を決定する方法を適用した。また、分子量が大きくマススペクトロメトリーの解析が困難な場合はGPCによってポリスチレン換算の重量平均分子量を計測した。GPC装置HLC-8220(東ソー社製)を用い、溶離液としてはTHF(テトラヒドロフラン)(湘南和光純薬社製)を用いカラムはG3000HXL+G2000HXLを用い、23℃で流量は1mL/minで、RIで検出した。
 有機アルカリ化合物の濃度は、エッチング液中、3質量%以上であることが好ましく、5質量%以上がより好ましく、10質量%以上含有させることが特に好ましい。上限としては、100質量%以下が好ましく、80質量%以下がより好ましく、60質量%以下が特に好ましい。アルカリ化合物を上記の範囲とすることで、金属層(第二層)の良好なエッチング性を維持しながら、ゲルマニウム含有層(第一層)ないしそのゲルマニウムシリサイド層(第三層)の損傷を効果的に抑制できるため好ましい。適用温度において液状であれば、有機アルカリ化合物100質量%で適用することができ、それは本発明の好ましい態様の1つである。
 なお、本発明において、上記有機アルカリ化合物は、1種のみを用いてもよいし、2種以上を併用して用いてもよい。「2種以上の併用」とは、化学構造として少しでも異なる2種以上の化合物を用いるということであり、例えば、前述の式(O-1)に該当するが、原子団RO1に該当する部分が異なる化合物2種である場合等も含む。2種以上を併用する場合、その併用割合は特に限定されないが、合計使用量は、2種以上のアルカリ化合物の総和として上記濃度範囲とすることが好ましい。
(酸化剤)
 本実施形態に係るエッチング液には酸化剤が含まれることが好ましい。酸化剤としては、硝酸または過酸化水素が好ましい。
 その濃度は、エッチング液中、0.1質量%以上であることが好ましく、1質量%以上がより好ましく、2質量%以上含有させることが特に好ましい。上限としては、30質量%以下が好ましく、25質量%以下がより好ましく、20質量%以下が特に好ましい。
 酸化剤の含有量を上記の範囲とすることで、金属層(第二層)の良好なエッチング性を維持しながら、ゲルマニウム含有層(第一層)ないしゲルマニウムシリサイド層(第三層)の損傷を効果的に抑制できるため好ましい。なお、酸化剤は、1種のみを用いてもよいし、2種以上を併用してもよい。
(特定有機添加剤)
 本実施形態に係るエッチング液には、特定有機添加剤を含有させることが好ましい。この有機添加剤は、窒素原子、硫黄原子、リン原子、もしくは酸素原子を含有する有機化合物からなる。中でも、上記有機添加剤は、アミノ基(-NH)もしくはその塩、イミノ基(-NR-)もしくはその塩、スルファニル基(-SH)、ヒドロキシ基(-OH)、カルボニル基(-CO-)、スルホン酸基(-SOH)もしくはその塩、リン酸基(-PO)もしくはその塩、オニウム基もしくはその塩、スルフィニル基(-SO-)、スルホニル基(SO)、エーテル基(-O-)、アミンオキシド基、およびチオエーテル基(-S-)から選ばれる置換基もしくは連結基を有する化合物であることが好ましい。さらに、非プロトン解離性有機化合物(アルコール化合物、エーテル化合物、エステル化合物、カーボネート化合物)、アゾール化合物、ベタイン化合物、スルホン酸化合物、アミド化合物、オニウム化合物、アミノ酸化合物、リン酸化合物、スルホン酸化合物、スルホキシド化合物であることも好ましい。
 上記アミノ基のRは水素原子または置換基である。置換基としては、アルキル基(炭素数1~24が好ましく、1~12がより好ましい)、アルケニル基(炭素数2~12が好ましく、2~12がより好ましい)、アルキニル基(炭素数2~24が好ましく、2~12がより好ましい)、炭素数6~10のアリール基、炭素数7~11のアラルキル基が好ましい。)である。
 上記特定有機添加剤は、下記式(I)~(XII)のいずれかで表される化合物からなることが特に好ましい。
Figure JPOXMLDOC01-appb-C000023
 式(I):
 R11およびR12は、それぞれ独立に、水素原子、アルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルケニル基(炭素数2~12が好ましく、2~6がより好ましい)、アルキニル基(炭素数2~12が好ましく、2~6がより好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、アラルキル基(炭素数7~23が好ましく、7~15がより好ましい)、スルファニル基(SH)、ヒドロキシ基(OH)、またはアミノ基(-NR )である。ただしR11およびR12の少なくとも片方はスルファニル基、ヒドロキシ基、またはアミノ基(炭素数0~6が好ましく、0~3がより好ましい)である。なお、上記の置換基はさらに置換基をとる場合(アルキル基、アルケニル基、アリール基等)、さらに任意の置換基Tを有していてもよい。これは、これ以降に説明する置換基や連結基についても同様である。
 Xはメチレン基(CR )、硫黄原子(S)、または酸素原子(O)である。Rは水素原子または置換基(後記置換基Tが好ましい。)である。
 式(II):
 Xはメチン基(=CR-)または窒素原子(N)である。R21は置換基(後記置換基Tが好ましい。)であり、なかでもスルファニル基(SH)、ヒドロキシ基(OH)、アミノ基(NR )が好ましい。
 n2は0~4の整数である。
 R21が複数あるとき、それらは同じでも異なってもよく、互いに結合ないし縮合して環を形成していてもよい。
 式(III):
 Yはメチレン基、イミノ基(NR)、または硫黄原子(S)である。
 Yは水素原子、アルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルケニル基(炭素数2~12が好ましく、2~6がより好ましい)、アルキニル基(炭素数2~12が好ましく、2~6がより好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、アラルキル基(炭素数7~23が好ましく、7~15がより好ましい)、アミノ基(炭素数0~6が好ましく、0~3がより好ましい)、ヒドロキシ基、スルファニル基である。
 R31は置換基(後記置換基Tが好ましい。)である。なかでもスルファニル基(SH)、ヒドロキシ基(OH)、アミノ基(NR )が好ましい。
 n3は0~2の整数である。
 R31が複数あるとき、それらは同じでも異なってもよく、互いに結合ないし縮合して環を形成していてもよい。形成される環としては、六員環であることが好ましく、ベンゼン構造もしくは六員のヘテロアリール構造が挙げられる。
 式(III)は下記式(III-1)であることが好ましい。
Figure JPOXMLDOC01-appb-C000024
 YおよびYはそれぞれ独立にメチン基(=CR-)または窒素原子(N)である。
 Y、Y、R31、n3は上記と同義である。YおよびYの位置は六員環の中で別の位置にあってもよい。
 式(IV):
 Lはアルキレン基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルキニレン基(炭素数2~12が好ましく、2~6がより好ましい)、アルケニレン基(炭素数2~12が好ましく、2~6がより好ましい)、アリーレン基(炭素数6~22が好ましく、6~14がより好ましい)、またはアラルキレン基(炭素数7~23が好ましく、7~15がより好ましい)である。
 Xはカルボキシル基またはヒドロキシ基である。
 式(V):
 R51は、アルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルケニル基(炭素数2~12が好ましく、2~6がより好ましい)、アルキニル基(炭素数2~12が好ましく、2~6がより好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、またはアラルキル基(炭素数7~23が好ましく、7~15がより好ましい)である。
 R51がアリール基であるとき、そこには炭素数1~20のアルキル基、炭素数2~20のアルケニル基、または炭素数2~20のアルキニル基が置換していることが好ましい。
 R51がアルキル基であるとき、下記の構造であってもよい。
 
 *-R52-(R53-Y53n5-R54
 
 R52は単結合もしくはLと同義の連結基である。R53はLと同義の連結基である。Y53は酸素原子(O)、硫黄原子(S)、カルボニル基(CO)、もしくはイミノ基(NR)である。R54はアルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルケニル基(炭素数2~12が好ましく、2~6がより好ましい)、アルキニル基(炭素数2~12が好ましく、2~6がより好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、またはアラルキル基(炭素数7~23が好ましく、7~15がより好ましい)である。
 n5は0~8の整数である。
 R51は更に置換基Tを有していてもよく、なかでも、スルファニル基(SH)、ヒドロキシ基(OH)、アミノ基(NR )が好ましい。
 Zはアミノ基(炭素数0~6が好ましく、0~3がより好ましい)、スルホン酸基、リン酸基、カルボキシル基、ヒドロキシ基、スルファニル基、またはアミンオキシド基(-NH )である。
 本発明において、アミノ基、スルホン酸基、リン酸基、カルボキシル基は特に断らない限りその塩や酸の場合にはその酸エステル(例えば炭素数1~6のアルキルエステル)を形成していても良い意味である。
 式(V)がカルボン酸であるとき、R51はアルキル基であることが好ましく、この場合、炭素数1~24が好ましく、3~20がより好ましく、6~18がさらに好ましく、8~16が特に好ましい。このアルキル基がさらに置換基Tを有していてよことは、他のものと同様である。式(V)が脂肪酸であるとき、上記のとおり、比較的炭素数が大きいものが好ましい。この理由は、本添加剤に適度な疎水性が付与されていた方が、より効果的にゲルマニウムもしくはそのシリサイド層の保護性を発揮するためと考えられる。
 式(V)で表される化合物は、下記式(V-1)~(V-3)のいずれかであることが好ましい。式中、Z、Zは連結基Lを介することのあるスルホン酸基である。R56は置換基Tであり、なかでもそこで例示されるアルキル基が好ましい。n51およびn56は0~5の整数である。n53は0~4の整数である。n51、n53、およびn56の最大値は同じ環にあるZまたはZの数に応じて減ずる。n52は1~6の整数であり、1または2が好ましい。n54およびn55はそれぞれ独立に0~4の整数であり、n54+n55は1以上である。n54+n55は1または2が好ましい。n57およびn58はそれぞれ独立に0~5の整数であり、n57+n58は1以上である。n57+n58は1または2が好ましい。複数あるR56は互いに同じでも異なっていてもよい。連結基Lは上記L、後記L、またはその組合せであることが好ましく、Lであることがより好ましい。
Figure JPOXMLDOC01-appb-C000025
式(VI):
 R61とR62は、それぞれ独立に、アルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、アルコキシ基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、またはアルキルアミノ基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)である。R61とR62とは結合もしくは縮合して環を形成していてもよい。R61またはR62がアルキル基であるとき、上記*-R52-(R53-Y53)-R54で表される基であってもよい。
 Lはカルボニル基、スルフィニル基(SO)、またはスルホニル基(SO)である。
 式(VI)で表される化合物は、下記式(VI-1)~(VI-3)のいずれかで表される化合物であることが好ましい。式中、R61とR62は上記と同義である。Qは、3~8員環であり、5員環または6員環が好ましく、飽和の5員環または6員環がより好ましく、飽和炭化水素の5員環または6員環が特に好ましい。ただし、Qは任意の置換基Tを有していてもよい。
Figure JPOXMLDOC01-appb-C000026
 式(VII):
 R71はアミノ基(-NR )またはアンモニウム基(-NR ・M)である。
 LはLと同義の基である。Lは中でも、メチレン基、エチレン基、プロピレン基、または(-L31(SR)-)であることが好ましい。L31は炭素数1~6のアルキレン基である。Rは水素原子またはこの部位でジスルフィド基を形成して二量化していてもよい。
 式(IIX):
 R81およびR82は、それぞれ独立に、アルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルケニル基(炭素数2~12が好ましく、2~6がより好ましい)、アルキニル基(炭素数2~12が好ましく、2~6がより好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、またはアラルキル基(炭素数7~23が好ましく、7~15がより好ましい)である。
 式(IX):
 LはLと同義の基である。
 R91およびR93はそれぞれ独立に水素原子、アルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルケニル基(炭素数2~12が好ましく、2~6がより好ましい)、アルキニル基(炭素数2~12が好ましく、2~6がより好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、アシル基(炭素数2~12が好ましく、2~6がより好ましい)、またはアラルキル基(炭素数7~23が好ましく、7~15がより好ましい)である。ただし、n9が0のとき、R91およびR93がともに水素原子になることはない。
 n9は0~100の整数であり、0~50が好ましく、0~25がより好ましく、0~15がさらに好ましく、0~10がさらに好ましく、0~5が特に好ましい。
 式(IX)で表される化合物は、下記式(IX-1)で表される化合物であることがより好ましい。
 
  R91-(OL41)-(OLn91-OR93   (IX-1)
 
 L41は炭素数2以上のアルキレン基であることが好ましく、好ましくは炭素数2~6である。このアルキレン基の炭素数の設定により、金属(例えばTi)と特有の吸着状態を形成せず、その除去が阻害されないものと推定される。また、金属とフッ素原子との結合成分は親水的ないし疎水的に挙動するとみられ、酸素原子を連結する炭素数が2または3以上の化合物が好適に作用すると推定される。この観点からは、さらにL41は炭素数3以上であることが好ましく、炭素数3~6であることが好ましく、炭素数3または4であることが特に好ましい。なお、上記L41の炭素数は、分岐のアルキレン基であるときには、分岐に含まれる炭素原子は除いて、その連結炭素数が2以上であることが好ましい。例えば、2,2-プロパンジイル基は連結炭素数が1となる。つまり、O-O間をつなぐ炭素原子の数を連結炭素数とよび、これが2個以上であることが好ましい。上記の金属との吸着作用を考慮すると、さらに連結炭素数が3以上であることが好ましく、3以上6以下であることがより好ましく、3以上4以下であることが特に好ましい。
 n91はn9と同義の数である。
 本化合物がR91およびR93において水素原子のヒドロキシ基を2つ以上有する化合物であるとき、その構造は下記式(IX-2)であることが好ましい。
Figure JPOXMLDOC01-appb-C000027
 式中のR94~R97は、R91と同義である。R94~R97はさらに置換基Tを有していてもよく、例えばヒドロキシ基を有していてもよい。Lはアルキレン基であり、炭素数1~6のアルキレン基であることが好ましく、炭素数1~4のアルキレン基であることがより好ましい。式(IX-2)の化合物の具体例としては、ヘキシレングリコール、1、3-ブタンジオール、1,4-ブタンジオール等が挙げられる。
 上記親水性・疎水性の観点から、上記式(IX)で表される化合物は、そのCLogPにおいて所望の範囲のものを用いることが好ましい。上記式(IX)で表される化合物のCLogP値は-0.4以上であることが好ましく、-0.2以上であることがより好ましい。上限側の規定としては、2以下であることが好ましく、1.5以下であることがより好ましい。
・ClogP
 オクタノール-水分配係数(logP値)の測定は、一般にJIS日本工業規格Z7260-107(2000)に記載のフラスコ浸とう法により実施することができる。また、オクタノール-水分配係数(logP値)は実測に代わって、計算化学的手法あるいは経験的方法により見積もることも可能である。計算方法としては、Crippen’s fragmentation法(J.Chem.Inf.Comput.Sci.,27,21(1987))、Viswanadhan’s fragmentation法(J.Chem.Inf.Comput.Sci.,29,163(1989))、Broto’s fragmentation法(Eur.J.Med.Chem.-Chim.Theor.,19,71(1984))などを用いることが知られている。本発明では、Crippen’s fragmentation法(J.Chem.Inf.Comput.Sci.,27,21(1987))を用いる。
 ClogP値とは、1-オクタノールと水への分配係数Pの常用対数logPを計算によって求めた値である。ClogP値の計算に用いる方法やソフトウェアについては公知の物を用いることができるが、特に断らない限り、本発明ではDaylight Chemical Information Systems社のシステム:PCModelsに組み込まれたClogPプログラムを用いることとする。
 式(X):
 RA3はRと同義である。RA1およびRA2は、それぞれ独立に、水素原子、アルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルケニル基(炭素数2~12が好ましく、2~6がより好ましい)、アルキニル基(炭素数2~12が好ましく、2~6がより好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、アラルキル基(炭素数7~23が好ましく、7~15がより好ましい)、スルファニル基、ヒドロキシ基、またはアミノ基である。ただしRA1およびRA2の少なくとも片方はスルファニル基、ヒドロキシ基、またはアミノ基(炭素数0~6が好ましく、0~3がより好ましい)であることが好ましい。
 式(XI):
 YおよびYは、それぞれ独立に、酸素原子、硫黄原子、またはイミノ基(NR)、カルボニル基である。RB1は置換基(後記置換基Tが好ましい。)である。nBは0~8の整数である。ただし、YおよびYのいずれか一方はメチレン基(CR )であってもよい。
 式(XII):
 YおよびY10は、それぞれ独立に、酸素原子、硫黄原子、メチレン基(CR )、またはイミノ基(NR)、カルボニル基である。YおよびY10は、六員環の別の位置であってもよい。
 XおよびXは、硫黄原子または酸素原子である。破線はその結合が単結合でも二重結合でも良いことを意味する。RC1は置換基(後記置換基Tが好ましい。)である。nCは0~2の整数である。
 RC1は複数あるとき、互いに同じでも異なっていてもよく、結合ないし縮合して環を形成していてもよい。
 式(XIII):
 Xは、酸素原子、硫黄原子、イミノ基(NR)である。Rは水素原子または炭素数1~24のアルキル基であり、2~20のアルキル基であることが好ましく、4~16のアルキル基であることがより好ましく、6~12のアルキル基であることが特に好ましい。
 Xは、酸素原子、硫黄原子、イミノ基(NR)、またはメチレン基(CR )である。
 RD1は置換基であり後記置換基Tが好ましい。RD1はなかでも、1~24のアルキル基であることが好ましく、1~12のアルキル基であることがより好ましい。
 nDは0~6の整数であり、0~2の整数が好ましく、1が特に好ましい。
 なかでも、式中のX-CO-XはNR-CO-CR 、O-CO-O、O-CO-CR であることが好ましい。
 以下に、特定有機添加剤の具体例を示すが、本発明がこれに限定して解釈されるものではない。
Figure JPOXMLDOC01-appb-T000028
 ANSA,ADPNAのアルキル基は、それぞれイソプロピル基、ドデシル基である。
 ポリプロピレングリコールの炭素数は6~100である。
 上記特定有機添加剤は、後記実施例の表Aに記載の化合物からなることが特に好ましい。特定有機添加剤のうち、表Aの第一群に属するものの濃度は、エッチング液中、50質量%以上であることが好ましく、55質量%以上であることがより好ましく、60質量%以上がさらに好ましく、70質量%以上含有させることが特に好ましい。上限としては、99質量%以下が好ましく、95質量%以下がより好ましく、90質量%以下が特に好ましい。
 特定有機添加剤のうち、表Aの第二群に属するものの濃度は、エッチング液中、0.005質量%以上であることが好ましく、0.01質量%以上であることがより好ましく、0.03質量%以上がさらに好ましく、0.05質量%以上含有させることが特に好ましい。上限としては、10質量%以下が好ましく、7質量%以下がより好ましく、5質量%以下が特に好ましい。
 この添加量を規定することにより、金属層(第二層)の良好なエッチング性を維持しながら、ゲルマニウム含有層(第一層)ないしゲルマニウムシリサイド層(第三層)の損傷を効果的に抑制できるため好ましい。
 表Aの第一群、第二群の添加剤でその好ましい濃度範囲が異なる理由については、その作用機構の違いから以下のように考えられる。つまり、表Aの第一群は主に処理液中において主溶剤の働きをし、上記ゲルマニウムを含む第一層の成分の溶出を抑制する作用を示すものと考えられる。液中で主溶剤として働きその効果を奏するため、その濃度として上記のように高めであることが好ましい。これに対し、表Aの第二群に属する添加剤は、ゲルマニウム(Ge)を含む第一層の表面に吸着し、その表面に保護層を形成すると解される。したがって、その添加量は第一層を保護する目的に対して十分量の添加量であればよく、上記のように比較的少量であることが好ましい。
 上記各式と第一群および第二群との区別については、式(V)もしくはその一部、(VI)、(IIX)、(IX)、(XI)に係る化合物が第一群であり、その他の式ないし式(V)もしくはその一部に係る化合物が第二群であることが好ましい。
 なお、上記特定有機添加剤と上記有機アルカリ化合物とは、その規定上、重複するものもあるが、機能的な側面から区別されればよい。すなわち、有機アルカリ化合物は主にエッチングを促進する成分として適用され、特定有機添加剤はゲルマニウム層を保護する役割を担う成分として適用される。区別するときには、上記有機アルカリ化合物の規定を優先し、上記特定有機添加剤はこれを除くものとして両者を区分することができる。
 なお、本発明において、特定有機添加剤は、1種のみを用いてもよいし、2種以上を併用して用いてもよい。「2種以上の併用」とは、例えば、前述の式(I)に該当する化合物と式(II)に該当する化合物の2種を併用するような場合だけでなく、式(I)に該当する化合物2種である場合(例えば、式(I)の範疇ではあるが、原子団R11、R12、Xの少なくとも1つが異なる化合物2種である場合)も含む。2種以上を併用する場合、その併用割合は特に限定されないが、合計使用量は、2種以上の特定有機添加剤の総和として前述の濃度範囲とすることが好ましい。
 本明細書において化合物の表示(例えば、化合物と末尾に付して呼ぶとき)については、上記化合物そのもののほか、その塩、そのイオンを含む意味に用いる。また、所望の効果を奏する範囲で、エステル化したり置換基を導入するなど一部を変化させた誘導体を含む意味である。
 本明細書において置換・無置換を明記していない置換基(連結基についても同様)については、その基に任意の置換基を有していてもよい意味である。これは置換・無置換を明記していない化合物についても同義である。好ましい置換基としては、下記置換基Tが挙げられる。
 置換基Tとしては、下記のものが挙げられる。
 アルキル基(好ましくは炭素原子数1~20のアルキル基、例えばメチル、エチル、イソプロピル、t-ブチル、ペンチル、ヘプチル、1-エチルペンチル、ベンジル、2-エトキシエチル、1-カルボキシメチル等)、アルケニル基(好ましくは炭素原子数2~20のアルケニル基、例えば、ビニル、アリル、オレイル等)、アルキニル基(好ましくは炭素原子数2~20のアルキニル基、例えば、エチニル、ブタジイニル、フェニルエチニル等)、シクロアルキル基(好ましくは炭素原子数3~20のシクロアルキル基、例えば、シクロプロピル、シクロペンチル、シクロヘキシル、4-メチルシクロヘキシル等)、アリール基(好ましくは炭素原子数6~26のアリール基、例えば、フェニル、1-ナフチル、4-メトキシフェニル、2-クロロフェニル、3-メチルフェニル等)、ヘテロ環基(好ましくは炭素原子数2~20のヘテロ環基、あるいは、好ましくは少なくとも1つの酸素原子、硫黄原子、窒素原子を有する5または6員環のヘテロ環基、例えば、2-ピリジル、4-ピリジル、2-イミダゾリル、2-ベンゾイミダゾリル、2-チアゾリル、2-オキサゾリル等)、アルコキシ基(好ましくは炭素原子数1~20のアルコキシ基、例えば、メトキシ、エトキシ、イソプロピルオキシ、ベンジルオキシ等)、アリールオキシ基(好ましくは炭素原子数6~26のアリールオキシ基、例えば、フェノキシ、1-ナフチルオキシ、3-メチルフェノキシ、4-メトキシフェノキシ等)、アルコキシカルボニル基(好ましくは炭素原子数2~20のアルコキシカルボニル基、例えば、エトキシカルボニル、2-エチルヘキシルオキシカルボニル等)、アミノ基(好ましくは炭素原子数0~20のアミノ基、アルキルアミノ基、アリールアミノ基を含み、例えば、アミノ、N,N-ジメチルアミノ、N,N-ジエチルアミノ、N-エチルアミノ、アニリノ等)、スルファモイル基(好ましくは炭素原子数0~20のスルホンアミド基、例えば、N,N-ジメチルスルファモイル、N-フェニルスルファモイル等)、アシル基(好ましくは炭素原子数1~20のアシル基、例えば、アセチル、プロピオニル、ブチリル、ベンゾイル等)、アシルオキシ基(好ましくは炭素原子数1~20のアシルオキシ基、例えば、アセチルオキシ、ベンゾイルオキシ等)、カルバモイル基(好ましくは炭素原子数1~20のカルバモイル基、例えば、N,N-ジメチルカルバモイル、N-フェニルカルバモイル等)、アシルアミノ基(好ましくは炭素原子数1~20のアシルアミノ基、例えば、アセチルアミノ、ベンゾイルアミノ等)、スルホンアミド基(好ましくは炭素原子数0~20のスルファモイル基、例えば、メタンスルホンアミド、ベンゼンスルホンアミド、N-メチルメタンスルホンアミド、N-エチルベンゼンスルホンアミド等)、アルキルチオ基(好ましくは炭素原子数1~20のアルキルチオ基、例えば、メチルチオ、エチルチオ、イソプロピルチオ、ベンジルチオ等)、アリールチオ基(好ましくは炭素原子数6~26のアリールチオ基、例えば、フェニルチオ、1-ナフチルチオ、3-メチルフェニルチオ、4-メトキシフェニルチオ等)、アルキルもしくはアリールスルホニル基(好ましくは炭素原子数1~20のアルキルもしくはアリールスルホニル基、例えば、メチルスルホニル、エチルスルホニル、ベンゼンスルホニル等)、ヒドロキシル基、スルファニル基、シアノ基、ハロゲン原子(例えばフッ素原子、塩素原子、臭素原子、ヨウ素原子等)であり、より好ましくはアルキル基、アルケニル基、アリール基、ヘテロ環基、アルコキシ基、アリールオキシ基、アルコキシカルボニル基、アミノ基、アシルアミノ基、ヒドロキシル基またはハロゲン原子であり、特に好ましくはアルキル基、アルケニル基、ヘテロ環基、アルコキシ基、アルコキシカルボニル基、アミノ基、アシルアミノ基またはヒドロキシル基である。
 また、これらの置換基Tで挙げた各基は、上記の置換基Tがさらに置換していてもよい。
 化合物ないし置換基・連結基等がアルキル基・アルキレン基、アルケニル基・アルケニレン基、アルキニル基・アルキニレン基等を含むとき、これらは環状でも鎖状でもよく、また直鎖でも分岐していてもよく、上記のように置換されていても無置換でもよい。またアリール基、ヘテロ環基等を含むとき、それらは単環でも縮環でもよく、同様に置換されていても無置換でもよい。
(水媒体)
 本発明のエッチング液には、媒体として水(水媒体)を適用してもよい。水(水媒体)としては、本発明の効果を損ねない範囲で溶解成分を含む水性媒体であってもよく、あるいは不可避的な微量混合成分を含んでいてもよい。なかでも、蒸留水やイオン交換水、あるいは超純水といった浄化処理を施された水が好ましく、半導体製造に使用される超純水を用いることが特に好ましい。
(キット)
 本発明におけるエッチング液は、その原料を複数に分割したキットとしてもよい。例えば、第1液として上記有機アルカリ化合物を水に含有する液組成物を準備し、第2液として上記酸化剤を水媒体に含有する液組成物を準備する態様が挙げられる。このときその他の有機添加剤などの成分はそれぞれ別にあるいはともに第1液、第2液、またはその他の第3液に含有させておくことができる。なかでも好ましくは、有機アルカリ化合物および特定有機化合物を含有する第1液と、酸化剤を含有する第2液とのキットとする態様である。
 その使用例としては、両液を混合してエッチング液を調液し、その後適時に上記エッチング処理に適用する態様が好ましい。このようにすることで、各成分の分解による液性能の劣化を招かずにすみ、所望のエッチング作用を効果的に発揮させることができる。ここで、混合後「適時」とは、混合ののち所望の作用を失うまでの時期を指し、具体的には60分以内であることが好ましく、30分以内であることがより好ましく、10分以内であることがさらに好ましく、1分以内であることが特に好ましい。下限は特にないが、1秒以上であることが実際的である。
 第1液と第2液との混合の仕方は特に限定されないが、第1液と第2液とをそれぞれの流路に流通させ、両者をその合流点で合流させて混合することが好ましい。その後、さらに流路を流通させ、合流して得られたエッチング液を吐出口から吐出ないし噴射し、半導体基板と接触させることが好ましい。この実施形態でいうと、上記合流点での合流混合から半導体基板への接触までの過程が、上記「適時」に行われることが好ましい。これを、図3を用いて説明すると、調製されたエッチング液が吐出口13から噴射され、処理容器(処理槽)11内の半導体基板Sの上面に適用される。同図に示した実施形態では、A及びBの2液が供給され、合流点14で合流し、その後流路fcを介して吐出口13に移行するようにされている。流路fdは薬液を再利用するための返戻経路を示している。半導体基板Sは回転テーブル12上にあり、回転駆動部Mによって回転テーブルとともに回転されることが好ましい。なお、このような基板回転式の装置を用いる実施態様は、キットにしないエッチング液を用いた処理においても同様に適用することができる。
 なお、本発明のエッチング液は、その使用用途に鑑み、液中の不純物、例えば金属分などは少ないことが好ましい。特に、液中のNa、K、Caイオン濃度が1ppt~1ppmの範囲にあることが好ましい。また、エッチング液において、平均粒径0.5μm以上の粗大粒子数が100個/cm以下の範囲にあることが好ましく、50個/cm以下の範囲にあることが好ましい。
(容器)
 本発明のエッチング液は、(キットであるか否かに関わらず)対腐食性等が問題とならない限り、任意の容器に充填して保管、運搬、そして使用することができる。また、半導体用途向けに、容器のクリーン度が高く、不純物の溶出が少ないものが好ましい。使用可能な容器としては、アイセロ化学(株)製の「クリーンボトル」シリーズ、コダマ樹脂工業(株)製の「ピュアボトル」などが挙げられるが、これらに限定されるものではない。
[エッチング条件]
 本発明のエッチング方法においては、枚葉式装置を用いることが好ましい。具体的に枚葉式装置は、処理槽を有し、上記処理槽で上記半導体基板を搬送もしくは回転させ、その処理槽内に上記エッチング液を付与(吐出、噴射、流下、滴下等)して、上記半導体基板に上記エッチング液を接触させるものであることが好ましい。
 枚葉式装置のメリットとしては、(i)常に新鮮なエッチング液が供給されるので、再現性がよい、(ii)面内均一性が高いといったことが挙げられる。さらに、エッチング液を複数に分けたキットを利用しやすく、例えば、上記第1液と第2液をインラインで混合し、吐出する方法が好適に採用される。このとき、上記の第1液と第2液とを共に温度調節するか、どちらか一方だけ温調し、インラインで混合して吐出する方法が好ましい。なかでも、共に温調する実施態様がより好ましい。ラインの温度調節を行うときの管理温度は、後記処理温度と同じ範囲とすることが好ましい。
 枚葉式装置はその処理槽にノズルを具備することが好ましく、このノズルを半導体基板の面方向にスイングさせてエッチング液を半導体基板に吐出する方法が好ましい。このようにすることにより、液の劣化が防止でき好ましい。また、キットにして2液以上に分けることでガス等を発生させにくくすることができ好ましい。
 エッチングを行う処理温度は、後記実施例で示す温度測定方法において、30℃以上であることが好ましく、40℃以上であることがより好ましい。上限としては、80℃以下であることが好ましく、70℃以下であることがより好ましく、60℃以下であることが特に好ましい。上記下限値以上とすることにより、第二層に対する十分なエッチング速度を確保することができ好ましい。上記上限値以下とすることにより、エッチング処理速度の経時安定性を維持することができ好ましい。
 エッチング液の供給速度は特に限定されないが、0.05~5L/minとすることが好ましく、0.1~3L/minとすることがより好ましい。上記下限値以上とすることにより、エッチングの面内の均一性を一層良好に確保することができ好ましい。上記上限値以下とすることにより、連続処理時に安定した性能を確保でき好ましい。半導体基板を回転させるときには、その大きさ等にもよるが、上記と同様の観点から、50~1000rpmで回転させることが好ましい。
 本発明の好ましい実施形態に係る枚葉式のエッチングにおいては、半導体基板を所定の方向に搬送もしくは回転させ、その空間にエッチング液を噴射して上記半導体基板に上記エッチング液を接触させることが好ましい。エッチング液の供給速度や基板の回転速度についてはすでに述べたことと同様である。
 本発明の好ましい実施形態に係る枚葉式の装置構成においては、図4に示すように、吐出口(ノズル)を移動させながら、エッチング液を付与することが好ましい。具体的に、本実施形態においては、半導体基板Sに対してエッチング液を適用する際に、基板がr方向に回転させられている。他方、上記半導体基板の中心部から端部に延びる移動軌跡線tに沿って、吐出口が移動するようにされている。このように本実施形態においては、基板の回転方向と吐出口の移動方向とが異なる方向に設定されており、これにより両者が互いに相対運動するようにされている。その結果、半導体基板の全面にまんべんなくエッチング液を付与することができ、エッチングの均一性が好適に確保される構成とされている。
 吐出口(ノズル)の移動速度は特に限定されないが、0.1cm/s以上であることが好ましく、1cm/s以上であることがより好ましい。一方、その上限としては、30cm/s以下であることが好ましく、15cm/s以下であることがより好ましい。移動軌跡線は直線でも曲線(例えば円弧状)でもよい。いずれの場合にも移動速度は実際の軌跡線の距離とその移動に費やされた時間から算出することができる。基板1枚のエッチングに要する時間は10~180秒の範囲であることが好ましい。
 上記金属層は高いエッチングレートでエッチングされることが好ましい。第二層(金属層)のエッチングレート[R2]は、金属の種類にもよるが、生産効率を考慮し、0.1Å/min以上であることが好ましく、1Å/min以上がより好ましく、5Å/min以上であることが特に好ましい。上限は特にないが、1200Å/min以下であることが実際的である。
 金属層の露出幅は特に限定されないが、本発明の利点がより顕著になる観点から、2nm以上であることが好ましく、4nm以上であることがより好ましい。同様に効果の顕著性の観点から、上限値は1000nm以下であることが実際的であり、100nm以下であることが好ましく、20nm以下であることがより好ましい。
 ゲルマニウムを含む層(第一層)ないしゲルマニウムシリサイド層(第三層)のエッチングレート[R1]は、特に限定されないが、過度に除去されないことが好ましく、50Å/min以下であることが好ましく、20Å/min以下であることがより好ましく、10Å/min以下であることが特に好ましい。下限は特にないが、測定限界を考慮すると0.1Å/min以上であることが実際的である。
 第一層の選択的エッチングにおいて、そのエッチングレート比([R2]/[R1])は特に限定されないが、高い選択性を必要とする素子を前提に言うと、2以上であることが好ましく、10以上であることがより好ましく、20以上であることがさらに好ましい。上限としては特に規定されず、高いほど好ましいが、5000以下であることが実際的である。なお、ゲルマニウムシリサイド層(第三層)のエッチング挙動は、そのアニール前の層(例えばSiGeやGeの第一層)と共通しており、第一層のエッチング速度によって代用することができる。
[半導体基板製品の製造]
 本実施形態においては、シリコンウエハ上に、上記シリコン層と金属層とを形成した半導体基板とする工程と、上記半導体基板をアニールする工程、上記半導体基板にエッチング液を付与し、エッチング液と金属層とを接触させて、上記金属層を選択的に除去する工程とを介して、所望の構造を有する半導体基板製品を製造することが好ましい。このとき、エッチングには上記特定のエッチング液を用いる。上記の工程の順序は制限されて解釈されるものではなく、それぞれの工程間にさらに別の工程を含んでいてもよい。
 ウェハサイズは特に限定されないが、直径8インチ、直径12インチ、または直径14インチのものを好適に使用することができる。
 以下、実施例を挙げて本発明をより詳細に説明するが、本発明は、以下の実施例に限定されるものではない。
(評価基板の作製)
 市販のシリコン基板(直径:12インチ)上に、SiGeをエピタキシャル成長させ、厚さ50nmの膜厚で形成した。このとき、SiGeエピタキシャル層は、ゲルマニウムを50~60質量%含有していた。同様にしてNiPtの膜もCVD等で作成したブランケットウエハを準備した。(厚さ20nm、Pt/Niの比率:10/90[質量基準])これらのブランケットウエハを用いて下記のエッチング処理試験等を行った。
(エッチング試験)
・SWT
 上記の試験用基板に対して、枚葉式装置(SPS-Europe B.V.社製、POLOS(商品名))にて下記の条件でエッチングを行い、評価試験を実施した。
 ・処理温度    :50℃
 ・吐出量     :1L/min.
 ・ウェハ回転数  :500rpm
 ・ノズル移動速度 :7cm/sec
 なお、エッチング液の供給は、下記のように2液に分けライン混合により行った(図3参照)。供給ラインfcは加熱により60℃で温度調節した。
  第1液(A):アルカリ化合物および必要により水
  第2液(B):酸化剤および必要により水
  表1記載の有機アルカリと酸化剤の比率になるように第1液と第2液の吐出量を調整し処理した。処方によっては、アルカリ化合物のみのため、その場合は1液での処理とした。この2液混合から基板への付与までの時間はほぼなく、混合直後にその混合液が基板に付与されていることを意味する。
(処理温度の測定方法)
 株式会社堀場製作所製の放射温度計IT-550F(商品名)を上記枚葉式装置内のウェハ上30cmの高さに固定した。ウェハ中心から2cm外側のウェハ表面上に温度計を向け、薬液を流しながら温度を計測した。温度は、放射温度計からデジタル出力し、パソコンで連続的に記録した。このうち温度が安定した10秒間の温度を平均した値をウェハ上の温度とした。
(エッチング速度)
 エッチング速度(ER)については、エリプソメトリー(分光エリプソメーター、ジェー・エー・ウーラム・ジャパン株式会社 Vaseを使用した)を用いてエッチング処理前後の膜厚を測定することにより算出した。5点の平均値を採用した(測定条件 測定範囲:1.2-2.5eV、測定角:70,75度)。
Figure JPOXMLDOC01-appb-T000029
 ER:エッチング速度
 本発明によれば、ゲルマニウムを含む層に対して、特定の金属を含む第二層を選択的に除去することができることが分かる。なかでも、炭素数が大きい炭化水素アミン化合物やアンモニウム化合物、酸素原子等を有する炭化水素アミン化合物やアンモニウム化合物では、SiGeのエッチング速度が抑えられ、金属層のエッチング選択性が向上することが分かる。
 さらに、上記基板に変え、SiGe層、NiPt金属層、及び、その層間にアニール処理により形成されたゲルマニウムシリサイド層を有する基板で評価を行い、NiPtに対する選択的なエッチング性能を奏することが確認された。
 上記101~212の試験について、さらに上記の例示化合物A-1~A-18を1.0質量%添加したこと以外は同様の実験を行った。その結果、NiPtについては良好なエッチング性を示し(10Å/min以上)、一方でSiGe層についてエッチングレートは半減した。
1 金属層(第二層)
2 ゲルマニウム層(第一層)
3 ゲルマニウムシリサイド層(第三層)
11 処理容器(処理槽)
12 回転テーブル
13 吐出口
14 合流点
S 基板
21 シリコン基板
22 ゲート絶縁膜
23 ゲート電極
25 サイドウォール
26 ソース電極
27 ドレイン電極
28 NiPt膜
90A、90B 置換ゲートスタック
92A、92B ウェル
94A、94B ソース/ドレイン拡張領域
96A、96B ソース/ドレイン領域
91A、91B 金属半導体合金部分
95A、95B ゲートスペーサ
97A、97B ゲート絶縁膜
81 第1仕事関数材料層
82A、82B 第2仕事関数材料層
83A、83B 金属部分
93 トレンチ構造部
99 平坦化誘電体層
 本発明をその実施態様とともに説明したが、我々は特に指定しない限り我々の発明を説明のどの細部においても限定しようとするものではなく、添付の請求の範囲に示した発明の精神と範囲に反することなく幅広く解釈されるべきであると考える。
 本願は、2013年5月2日に日本国で特許出願された特願2013-097156に基づく優先権を主張するものであり、これらはここに参照してその内容を本明細書の記載の一部として取り込む。
 

Claims (22)

  1.  ゲルマニウム(Ge)を含む第一層と、ゲルマニウム(Ge)以外の特定金属元素を含む第二層とを有する半導体基板について、上記第二層を選択的に除去するエッチング液であって、有機アルカリ化合物を含むエッチング液。
  2.  上記有機アルカリ化合物が、(a)炭素数3以上の炭化水素アミン化合物、(b)酸素原子もしくは硫黄原子を含有するアミン化合物、あるいは(c)炭素数5以上のアンモニウム化合物または酸素原子もしくは硫黄原子を有するアンモニウム化合物である請求項1に記載のエッチング液。
  3.  上記第一層のゲルマニウム(Ge)の濃度が40質量%以上である請求項1または2に記載のエッチング液。
  4.  上記第二層を構成する特定金属元素が、ニッケルプラチナ(NiPt)、チタン(Ti)、ニッケル(Ni)、およびコバルト(Co)から選ばれる請求項1~3のいずれか1項に記載のエッチング液。
  5.  上記有機アルカリ化合物が、下記式(O-1)~(O-3)、(P-1)~(P-3)、(Q-1)のいずれかで表される化合物、下記式(a-1)~(a-8)から選択される繰り返し単位を有する化合物、あるいは下記式(b)で表される化合物である請求項1~4のいずれか1項に記載のエッチング液。
    Figure JPOXMLDOC01-appb-C000001
     式中、RO1は、それぞれ独立に、アルキル基(炭素数3~12)、アルケニル基(炭素数1~12)、アルキニル基(炭素数1~12)、またはアリール基(炭素数6~14)である。RO2~RO6は、それぞれ独立に、アルキル基(炭素数1~12)、アルケニル基(炭素数1~12)、アルキニル基(炭素数1~12)、またはアリール基(炭素数6~14)である。ただし、ここでのアルキル基、アルケニル基、アルキニル基、アリール基はさらにアミノ基を有していてもよく、他方、酸素原子また硫黄原子をもつ置換基を有することはない。
    Figure JPOXMLDOC01-appb-C000002
     式中、RP1~RP6は、それぞれ独立に、アシル基(炭素数1~6)、アルコキシ基(炭素数1~6)、アルコキシカルボニル基(炭素数2~6)、アルコキシカルボニルアミノ基(炭素数2~6)、下記式(x)で表される基、アルキル基(炭素数1~6)、アルケニル基(炭素数2~6)、アルキニル基(炭素数2~6)、アリール基(炭素数6~10)、またはヘテロ環基(炭素数2~6)を表す。ただし、式(P-1)においてRP1が炭化水素基であることはない。式(P-2)においてRP2とRP3とがともに、炭化水素基のみであることはない。式(P-3)において、RP4~RP6がともに、炭化水素基のみであることはない。
      X1-(Rx1-X2)mx-Rx2-*    (x)
     X1は、ヒドロキシ基、スルファニル基、炭素数1~4のアルコキシ基、または炭素数1~4のチオアルコキシ基を表す。Rx1およびRx2はそれぞれ独立に炭素数1~6のアルキレン基、炭素数2~6のアルケニレン基、炭素数2~6のアルキニレン基、炭素数6~10のアリーレン基、またはそれらの組合せを表す。X2は、O、S、CO、NR(Rは水素原子または炭素数1~6のアルキル基)を表す。mxは0~6の整数を表す。mxが2以上のとき複数のRx1およびX2はそれぞれ異なっていてもよい。Rx1およびRx2はさらに置換基Tを有していてもよい。*は結合手である。
    Figure JPOXMLDOC01-appb-C000003
     式中、RQ1~RQ4はそれぞれ独立に炭素数1~20のアルキル基、炭素数2~20のアルケニル基、炭素数2~20のアルキニル基、炭素数6~14のアリール基、炭素数7~14のアラルキル基、または下記式(y)で表される基である。ただし、RQ1~RQ4の炭素数の合計は5以上であるか、または、RQ1~RQ4の炭素数の合計が4のときにはRQ1~RQ4のいずれかが酸素原子または硫黄原子を含む置換基を有する。
     
      Y1-(Ry1-Y2)my-Ry2-*    (y)
     
     Y1は炭素数1~12のアルキル基、炭素数2~12のアルケニル基、炭素数2~12のアルキニル基、炭素数7~14のアラルキル基、炭素数6~14のアリール基、ヒドロキシ基、スルファニル基、炭素数1~4のアルコキシ基、または炭素数1~4のチオアルコキシ基を表す。Y2は、O、S、CO、NR(Rは水素原子または炭素数1~6のアルキル基)を表す。Ry1およびRy2はそれぞれ独立に炭素数1~6のアルキレン基、炭素数2~6のアルケニレン基、炭素数2~6のアルキニレン基、炭素数6~10のアリーレン基、またはそれらの組合せを表す。myは0~6の整数を表す。myが2以上のとき複数のRy1およびY2はそれぞれ異なっていてもよい。Ry1およびRy2はさらに置換基Tを有していてもよい。*は結合手である。
     M4は対イオンである。
    Figure JPOXMLDOC01-appb-C000004
     Rは、水素原子、アルキル基、アルケニル基、アリール基、またはヘテロ環基を表す。Rは、アルキル基またはアルケニル基を表す。Lは、アルキレン基、カルボニル基、イミノ基、アリーレン基、ヘテロ環基、またはそれらの組合せを表す。中でもアルキレン基またはカルボニル基が好ましい。Lは、単結合、アルキレン基、カルボニル基、イミノ基、アリーレン基、ヘテロ環基、またはそれらの組合せを表す。Rは、水素原子またはアルキル基を表す。nは0以上の整数を表す。Q1~Q3はそれぞれ独立に含窒素複素環を表す。
     
      R N-[L-N(R)]-L-NR    (b)
     
     Rは、水素原子またはアルキル基を表す。mは0以上の整数を表す。Lは、アルキレン基、カルボニル基、イミノ基、アリーレン基、ヘテロ環基、またはそれらの組合せを表す。
  6.  上記有機アルカリ化合物が、炭素数3以上のアルキルアミン化合物、炭素数5以上のアルキルアンモニウム化合物、カルバモイル化合物、およびアルコキシアミン化合物からなる群から選ばれる請求項1~5のいずれか1項に記載のエッチング液。
  7.  上記有機アルカリ化合物の含有量が3~100質量%である請求項1~6のいずれか1項に記載のエッチング液。
  8.  上記第二層を、上記第一層および下記第三層に対して選択的に除去する請求項1~7のいずれか1項に記載のエッチング液。
    [第三層:上記第一層と第二層との間に介在するゲルマニウム(Ge)および上記特定金属元素を含有する層]
  9.  さらに下記有機添加剤を含有する請求項1~8のいずれか1項に記載のエッチング液。
    [有機添加剤:窒素原子、硫黄原子、リン原子、もしくは酸素原子を含有する有機化合物からなる添加剤]
  10.  ゲルマニウム(Ge)を含む第一層と、金属元素を含む第二層とを有する半導体基板について、上記第二層を選択的に除去するエッチング液のキットであって、
     有機アルカリ化合物を含む第一液と、酸化剤を含む第二液とを具備するエッチング液のキット。
  11.  ゲルマニウム(Ge)を含む第一層と、ゲルマニウム(Ge)以外の特定金属元素を含む第二層とを有する半導体基板について、上記第二層を選択的に除去するエッチング方法であって、有機アルカリ化合物を含むエッチング液を用いるエッチング方法。
  12.  上記有機アルカリ化合物が、(a)炭素数5以上の炭化水素アミン化合物、(b)ヘテロ原子を含有するアミン化合物、または(c)アンモニウム化合物である請求項11に記載のエッチング方法。
  13.  上記第一層のゲルマニウム(Ge)の濃度が40質量%以上である請求項11または12に記載のエッチング方法。
  14.  上記第二層を構成する特定金属元素が、ニッケルプラチナ(NiPt)、チタン(Ti)、ニッケル(Ni)、およびコバルト(Co)から選ばれる請求項11~13のいずれか1項に記載のエッチング方法。
  15.  上記有機アルカリ化合物が、下記式(O-1)~(O-3)、(P-1)~(P-3)、(Q-1)のいずれかで表される化合物、下記式(a-1)~(a-8)から選択される繰り返し単位を有する化合物、あるいは下記式(b)で表される化合物である請求項11~14のいずれか1項に記載のエッチング方法。
    Figure JPOXMLDOC01-appb-C000005
     式中、RO1は、それぞれ独立に、アルキル基(炭素数3~12)、アルケニル基(炭素数1~12)、アルキニル基(炭素数1~12)、またはアリール基(炭素数6~14)である。RO2~RO6は、それぞれ独立に、アルキル基(炭素数1~12)、アルケニル基(炭素数1~12)、アルキニル基(炭素数1~12)、またはアリール基(炭素数6~14)である。ただし、ここでのアルキル基、アルケニル基、アルキニル基、アリール基はさらにアミノ基を有していてもよく、他方、酸素原子また硫黄原子をもつ置換基を有することはない。
    Figure JPOXMLDOC01-appb-C000006
     式中、RP1~RP6は、それぞれ独立に、アシル基(炭素数1~6)、アルコキシ基(炭素数1~6)、アルコキシカルボニル基(炭素数2~6)、アルコキシカルボニルアミノ基(炭素数2~6)、下記式(x)で表される基、アルキル基(炭素数1~6)、アルケニル基(炭素数2~6)、アルキニル基(炭素数2~6)、アリール基(炭素数6~10)、またはヘテロ環基(炭素数2~6)を表す。ただし、式(P-1)においてRP1が炭化水素基であることはない。式(P-2)においてRP2とRP3とがともに、炭化水素基のみであることはない。式(P-3)において、RP4~RP6がともに、炭化水素基のみであることはない。
      X1-(Rx1-X2)mx-Rx2-*    (x)
     X1は、ヒドロキシ基、スルファニル基、炭素数1~4のアルコキシ基、または炭素数1~4のチオアルコキシ基を表す。Rx1およびRx2はそれぞれ独立に炭素数1~6のアルキレン基、炭素数2~6のアルケニレン基、炭素数2~6のアルキニレン基、炭素数6~10のアリーレン基、またはそれらの組合せを表す。X2は、O、S、CO、NR(Rは水素原子または炭素数1~6のアルキル基)を表す。mxは0~6の整数を表す。mxが2以上のとき複数のRx1およびX2はそれぞれ異なっていてもよい。Rx1およびRx2はさらに置換基Tを有していてもよい。*は結合手である。
    Figure JPOXMLDOC01-appb-C000007
     式中、RQ1~RQ4はそれぞれ独立に炭素数1~20のアルキル基、炭素数2~20のアルケニル基、炭素数2~20のアルキニル基、炭素数6~14のアリール基、炭素数7~14のアラルキル基、または下記式(y)で表される基である。ただし、RQ1~RQ4の炭素数の合計は5以上であるか、または、RQ1~RQ4の炭素数の合計が4のときにはRQ1~RQ4のいずれかが酸素原子または硫黄原子を含む置換基を有する。
     
      Y1-(Ry1-Y2)my-Ry2-*    (y)
     
     Y1は炭素数1~12のアルキル基、炭素数2~12のアルケニル基、炭素数2~12のアルキニル基、炭素数7~14のアラルキル基、炭素数6~14のアリール基、ヒドロキシ基、スルファニル基、炭素数1~4のアルコキシ基、または炭素数1~4のチオアルコキシ基を表す。Y2は、O、S、CO、NR(Rは水素原子または炭素数1~6のアルキル基)を表す。Ry1およびRy2はそれぞれ独立に炭素数1~6のアルキレン基、炭素数2~6のアルケニレン基、炭素数2~6のアルキニレン基、炭素数6~10のアリーレン基、またはそれらの組合せを表す。myは0~6の整数を表す。myが2以上のとき複数のRy1およびY2はそれぞれ異なっていてもよい。Ry1およびRy2はさらに置換基Tを有していてもよい。*は結合手である。
     M4は対イオンである。
    Figure JPOXMLDOC01-appb-C000008
     Rは、水素原子、アルキル基、アルケニル基、アリール基、またはヘテロ環基を表す。Rは、アルキル基またはアルケニル基を表す。Lは、アルキレン基、カルボニル基、イミノ基、アリーレン基、ヘテロ環基、またはそれらの組合せを表す。中でもアルキレン基またはカルボニル基が好ましい。Lは、単結合、アルキレン基、カルボニル基、イミノ基、アリーレン基、ヘテロ環基、またはそれらの組合せを表す。Rは、水素原子またはアルキル基を表す。nは0以上の整数を表す。Q1~Q3はそれぞれ独立に含窒素複素環を表す。
     
      R N-[L-N(R)]-L-NR    (b)
     
     Rは、水素原子またはアルキル基を表す。mは0以上の整数を表す。Lは、アルキレン基、カルボニル基、イミノ基、アリーレン基、ヘテロ環基、またはそれらの組合せを表す。
  16.  上記有機アルカリ化合物の含有量が3~100質量%である請求項11~15のいずれか1項に記載のエッチング方法。
  17.  上記第二層を、上記第一層および下記第三層に対して選択的に除去する請求項11~16のいずれか1項に記載のエッチング方法。
    [第三層:上記第一層と第二層との間に介在するゲルマニウム(Ge)および上記特定金属元素を含有する層]
  18.  上記エッチング液を上記半導体基板に付与するに当たり、上記半導体基板を回転させ、その回転中の半導体基板上面からノズルを介して上記エッチング液を供給する請求項11~17のいずれか1項に記載のエッチング方法。
  19.  上記第二層に接触するときのエッチング液の温度が30~80℃の範囲である請求項11~18のいずれか1項に記載のエッチング方法。
  20.  上記エッチングの前後の少なくともいずれかで上記半導体基板を水で洗浄する工程を含む請求項11~19のいずれか1項に記載のエッチング方法。
  21.  上記エッチング液が酸化剤をさらに含み、上記酸化剤を含まない第一液と、上記酸化剤を含む第二液とに区分して保存される請求項11~20のいずれか1項に記載のエッチング方法。
  22.  ゲルマニウム(Ge)を含む第一層を有する半導体基板製品の製造方法であって、
     少なくとも、上記第一層と、ニッケルプラチナ(NiPt)、チタン(Ti)、ニッケル(Ni)、およびコバルト(Co)から選ばれる少なくとも1種の第二層とを半導体基板に形成する工程、
     上記半導体基板を加熱して上記第一層と第二層との間に両層の成分を含有する第三層を形成する工程、
     有機アルカリ化合物を含むエッチング液を準備する工程、および
     上記エッチング液を上記第二層に接触させて、上記第一層および/または第三層に対して上記第二層を選択的に除去する工程を含む半導体基板製品の製造方法。
PCT/JP2014/062066 2013-05-02 2014-05-01 エッチング液およびエッチング液のキット、これをもちいたエッチング方法および半導体基板製品の製造方法 WO2014178421A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020157031645A KR101659829B1 (ko) 2013-05-02 2014-05-01 에칭액 및 에칭액의 키트, 이를 이용한 에칭 방법 및 반도체 기판 제품의 제조 방법
US14/928,010 US20160047053A1 (en) 2013-05-02 2015-10-30 Etching solution, etching solution kit, etching method using same, and method for manufacturing semiconductor substrate product

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013097156 2013-05-02
JP2013-097156 2013-05-02

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/928,010 Continuation US20160047053A1 (en) 2013-05-02 2015-10-30 Etching solution, etching solution kit, etching method using same, and method for manufacturing semiconductor substrate product

Publications (1)

Publication Number Publication Date
WO2014178421A1 true WO2014178421A1 (ja) 2014-11-06

Family

ID=51843545

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2014/062066 WO2014178421A1 (ja) 2013-05-02 2014-05-01 エッチング液およびエッチング液のキット、これをもちいたエッチング方法および半導体基板製品の製造方法

Country Status (5)

Country Link
US (1) US20160047053A1 (ja)
JP (1) JP6088999B2 (ja)
KR (1) KR101659829B1 (ja)
TW (1) TWI613328B (ja)
WO (1) WO2014178421A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6773495B2 (ja) * 2016-09-15 2020-10-21 株式会社Screenホールディングス エッチング装置、基板処理装置、エッチング方法および基板処理方法
KR102070323B1 (ko) * 2016-10-14 2020-01-29 주식회사 이엔에프테크놀로지 식각액 조성물
KR102282702B1 (ko) * 2017-07-26 2021-07-28 오씨아이 주식회사 식각 조성물, 식각 방법 및 이를 이용한 반도체 소자의 제조 방법
US20190189631A1 (en) * 2017-12-15 2019-06-20 Soulbrain Co., Ltd. Composition for etching and manufacturing method of semiconductor device using the same
WO2020117325A1 (en) 2018-12-03 2020-06-11 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
EP3909068A4 (en) 2019-01-11 2022-09-28 Versum Materials US, LLC HAFNIUM OXIDE CORROSION INHIBITOR

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08250503A (ja) * 1995-03-15 1996-09-27 Toshiba Corp エッチング方法
JP2002353246A (ja) * 2001-04-18 2002-12-06 Internatl Business Mach Corp <Ibm> 自己整合されたシリサイド・プロセスおよびそれによって形成された構造
JP2009060110A (ja) * 2007-08-31 2009-03-19 Interuniv Micro Electronica Centrum Vzw ゲルマナイド成長の改良方法およびそれにより得られたデバイス

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3033793A (en) * 1958-08-13 1962-05-08 Photo Engravers Res Inc Powderless etching of copper photoengraving plates
US3271282A (en) * 1963-06-18 1966-09-06 Photo Engravers Res Inc Process for etching photoengraving copper
US3756957A (en) * 1971-03-15 1973-09-04 Furukawa Electric Co Ltd Solutions for chemical dissolution treatment of metallic materials
US4443295A (en) * 1983-06-13 1984-04-17 Fairchild Camera & Instrument Corp. Method of etching refractory metal film on semiconductor structures utilizing triethylamine and H2 O2
US5013681A (en) * 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US6284309B1 (en) * 1997-12-19 2001-09-04 Atotech Deutschland Gmbh Method of producing copper surfaces for improved bonding, compositions used therein and articles made therefrom
JP4661005B2 (ja) * 2000-09-05 2011-03-30 和光純薬工業株式会社 Ti系膜用エッチング剤及びエッチング方法
JP3585437B2 (ja) * 2000-11-22 2004-11-04 株式会社荏原製作所 ルテニウム膜のエッチング方法
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
CN101356629B (zh) * 2005-11-09 2012-06-06 高级技术材料公司 用于将其上具有低k介电材料的半导体晶片再循环的组合物和方法
JP2012253374A (ja) * 2006-10-11 2012-12-20 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US8354344B2 (en) * 2007-08-31 2013-01-15 Imec Methods for forming metal-germanide layers and devices obtained thereby
US8133659B2 (en) * 2008-01-29 2012-03-13 Brewer Science Inc. On-track process for patterning hardmask by multiple dark field exposures
US9064810B2 (en) * 2008-10-10 2015-06-23 Alta Devices, Inc. Mesa etch method and composition for epitaxial lift off
DE102008054075B4 (de) * 2008-10-31 2010-09-23 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Abgesenktem Drain- und Sourcebereich in Verbindung mit einem Verfahren zur komplexen Silizidherstellung in Transistoren
US8101561B2 (en) * 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
TWI548738B (zh) * 2010-07-16 2016-09-11 安堤格里斯公司 用於移除蝕刻後殘餘物之水性清潔劑
CN103262217B (zh) * 2010-08-30 2016-07-06 肖特太阳能股份公司 形成掺杂剂分布图的方法
JP5431372B2 (ja) * 2011-01-05 2014-03-05 株式会社東芝 半導体装置およびその製造方法
EP2683792B1 (en) * 2011-03-11 2019-09-25 FujiFilm Electronic Materials USA, Inc. Novel etching composition
US8894774B2 (en) * 2011-04-27 2014-11-25 Intermolecular, Inc. Composition and method to remove excess material during manufacturing of semiconductor devices
JP5396514B2 (ja) * 2011-06-30 2014-01-22 富士フイルム株式会社 エッチング方法及びこれに用いられるエッチング液、これを用いた半導体基板製品の製造方法
US9765289B2 (en) * 2012-04-18 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning methods and compositions

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08250503A (ja) * 1995-03-15 1996-09-27 Toshiba Corp エッチング方法
JP2002353246A (ja) * 2001-04-18 2002-12-06 Internatl Business Mach Corp <Ibm> 自己整合されたシリサイド・プロセスおよびそれによって形成された構造
JP2009060110A (ja) * 2007-08-31 2009-03-19 Interuniv Micro Electronica Centrum Vzw ゲルマナイド成長の改良方法およびそれにより得られたデバイス

Also Published As

Publication number Publication date
TW201500585A (zh) 2015-01-01
JP2014232871A (ja) 2014-12-11
TWI613328B (zh) 2018-02-01
KR20150129864A (ko) 2015-11-20
KR101659829B1 (ko) 2016-10-10
US20160047053A1 (en) 2016-02-18
JP6088999B2 (ja) 2017-03-01

Similar Documents

Publication Publication Date Title
JP6198672B2 (ja) エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法
JP6088999B2 (ja) エッチング液およびエッチング液のキット、これをもちいたエッチング方法および半導体基板製品の製造方法
TWI621694B (zh) 半導體基板的蝕刻方法、用於其的半導體基板的蝕刻液及蝕刻液套組、以及半導體基板製品的製造方法
US10199210B2 (en) Semiconductor substrate treatment liquid, treatment method, and method for manufacturing semiconductor-substrate product using these
JP6130810B2 (ja) エッチング液およびエッチング液のキット、これを用いたエッチング方法および半導体基板製品の製造方法
JP6198671B2 (ja) エッチング方法、これに用いるエッチング液、ならびに半導体基板製品の製造方法
TW201523170A (zh) 改質抗蝕劑的剝離液、使用其的改質抗蝕劑的剝離方法及半導體基板製品的製造方法
TWI682989B (zh) 蝕刻液、使用其的蝕刻方法及半導體基板製品的製造方法以及金屬防蝕劑
TW201538796A (zh) 蝕刻液、使用其的蝕刻方法及半導體基板產品的製造方法
JP2015159264A (ja) エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法
TWI682990B (zh) 蝕刻組成物、使用其的蝕刻方法以及半導體基板產品的製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 14791422

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20157031645

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 14791422

Country of ref document: EP

Kind code of ref document: A1