KR20110020780A - 마이크로리소그래피용 감광성 하드마스크 - Google Patents

마이크로리소그래피용 감광성 하드마스크 Download PDF

Info

Publication number
KR20110020780A
KR20110020780A KR1020107026188A KR20107026188A KR20110020780A KR 20110020780 A KR20110020780 A KR 20110020780A KR 1020107026188 A KR1020107026188 A KR 1020107026188A KR 20107026188 A KR20107026188 A KR 20107026188A KR 20110020780 A KR20110020780 A KR 20110020780A
Authority
KR
South Korea
Prior art keywords
composition
layer
hardmask
nanoparticles
hardmask layer
Prior art date
Application number
KR1020107026188A
Other languages
English (en)
Other versions
KR101697790B1 (ko
Inventor
하오 쉬
라밀-마르셀로 엘. 메르카도
더글라스 제이. 구어레로
Original Assignee
브레우어 사이언스 인코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브레우어 사이언스 인코포레이션 filed Critical 브레우어 사이언스 인코포레이션
Publication of KR20110020780A publication Critical patent/KR20110020780A/ko
Application granted granted Critical
Publication of KR101697790B1 publication Critical patent/KR101697790B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/114Initiator containing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/128Radiation-activated cross-linking agent containing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/151Matting or other surface reflectivity altering material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24851Intermediate layer is discontinuous or differential
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24893Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including particulate material

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Polymerisation Methods In General (AREA)

Abstract

용매계에 분산되거나 용해된 비-중합체이며 금속을 함유하는 나노입자를 포함하는 신규한 하드마스크 조성물, 및 마이크로전자 구조물 내에서 하드마스크 층으로서 상기 조성물을 이용하는 방법이 제공된다. 상기 조성물은 감광성이며 복사선에 노출될 때 현상제 가용성이 될 수 있다. 본 발명의 하드마스크 층은 포토레지스트 층과 함께 동시에 패턴화되며, 후속하는 패턴 전이를 위한 플라스마 식각 저항을 제공한다.

Description

마이크로리소그래피용 감광성 하드마스크{PHOTOSENSITIVE HARDMASK FOR MICROLITHOGRAPHY}
관련 출원의 상호 참조
본 출원은 가출원 제61/047,302호(2008.04.23. 출원), 발명의 명칭 "PHOTOSENSITIVE HARDMASK FOR MICROLITHOGRAPHY"에 대하여 우선권의 이익을 주장하며, 상기 문헌은 참조문헌으로 수록된다.
발명의 분야
본 발명은 일반적으로 마이크로전자 구조물을 형성하기 위하여 극히 얇은 포토레지스트 층의 사용을 가능하게 하는 마이크로리소그래피 공정 및 조성물에 관한 것이다.
발명의 배경
선행 기술의 설명
마이크로전자 제품의 발전은 마이크로포토리소그래피 공정에 의해 생성된 반도체 구조물의 밀도 및 치수에 의해 유발되었다. 높은 밀도 및 작은 임계 치수(critical dimension, CD)에 대한 수요가 포토리소그래피 기술을 계속하여 그 한계까지 압박하였다. 반도체 산업 로드맵을 따라가기 위하여, 차세대 패턴닝 재료 및 혁신적인 리소그래피 공정이 고-해상도 리소그래피를 위하여 조화롭게 작용할 것이 요구될 것이다. 임계 최소선폭(feature sizes)이 32 nm 및 그 미만으로 계속 줄어드는 반면, 있을 수 있는 배선 붕괴(line collapse)를 방지하기 위하여, 인쇄된 배선의 종횡비(aspect ratio)가 특정한 한계를 갖기 때문에, 우수한 해상도 및 더 큰 초점 심도(depth of focus, DOF)를 얻기 위하여 얇은 포토레지스트의 사용이 광범위하게 수용되었다. 패턴 해상도 및 초점 심도(DOF)를 정의하기 위하여 레일리(Rayleigh) 법칙이 사용될 수 있다:
해상도 = k1λ/NA; 그리고
DOF = k2λ/NA2,
여기서, λ는 노광 파장이고, NA는 노출 도구의 개구수(numerical aperture)이며, k1 및 k2는 해당 공정의 비례상수이다. 레일리 이론에 의하면, 짧은 파장 및 큰 개구수를 갖는 노출 도구는 더 우수한 패턴 해상도를 생성할 것이다. 이러한 원칙이 마이크로전자 산업이 짧은 노출 파장을 향하여 혁신적으로 진보하였던 이유이다. 그렇지만, 레일리 법칙에 의하면, 해상도를 높이면 DOF가 감소한다. 얇은 포토레지스트의 사용은 k1 값을 감소시키고 k2 값을 증가시키며, 이는 더 우수한 해상도 및 큰 DOF를 유발한다. 그렇지만, 감소된 포토레지스트 두께는 패턴을 기판, 특히 193-nm ArF 포토리소그래피용 기판에 전이하기 위한 충분한 식각 저항(etch resistance)을 제공하지 못한다. 투명성 요구조건 때문에, 방향족 구조물은 ArF 레지스트 내에 삽입될 수 없으며, 따라서 대부분의 ArF 레지스트는 종래의 포토레지스트보다 훨씬 빨리 식각된다. 더욱 우수한 해상도를 얻기 위한 더욱-얇은 포토레지스트에 대한 수요와 패턴 전이를 위한 충분한 식각 예산(etch budget)에 대한 수요 사이의 이러한 모순을 해결하기 위하여, 단지 몇 가지의 공정 및 재료 해결책이 현재 존재한다.
한 접근법에서, 실리콘-함유 또는 금속-함유 하드마스크가 다층 식각 스택(etch stack)에 도입되어 완전한 패턴 전이를 제공하는 것을 보조한다. 포토레지스트 하부의 하드마스크 층을 개방하기 위하여 반응성 이온 식각(Reactive ion etching, RIE)이 전형적으로 사용된다. 명백하게, 하드마스크-대-포토레지스트 식각 선택성이 포토레지스트가 얼마나 얇을 수 있는가를 결정한다. 불행하게도, 거의 모든 현재-활용가능한 포토레지스트는 여전히 통상적인 하드마스크 플라스마 식각 화학 하에서 비교적 신속하게 식각된다. 따라서, 포토레지스트는 고-해상도 리소그래피에 대하여는 여전히 실질적으로 두껍다.
또 다른 해결책은 필요한 또 다른 식각 단계를 제거하기 위하여 현상제 가용성(developer-soluble) 기층 재료(underlayer material)를 사용하는 것이다. 등방성으로(isotropically) 현상가능하고 감광성인 하부 무-반사 코팅(bottom anti-reflective coating)이 개시되었다. 그렇지만, 등방성으로 현상가능한 하부 무-반사 코팅에 있어서 하부절단(undercutting)을 제어하기가 매우 어렵다. 감광성이며, 이방성으로(anisotropically) 현상가능한 하부 무-반사 코팅에 대하여, 주된 관심사는 이것이 기판 토포그래피(substrate topography) 상에 코팅될 때 하부 무-반사 코팅 세정 및 CD 균일성이다. 한편, 스핀-온 탄소(spin-on carbon, SOC) 평탄화 층(planarization layer)의 상부에 코팅되면, 이러한 유기 하부 무-반사 코팅 재료는 하드마스크만큼 효과적이지 않다.
더욱 최근에, 예를 들면 13.5 nm와 같이 193 nm보다 더 짧은 노출 파장이 사용가능하게 될 때까지, 후속하는 프린팅 노드(printing node)를 위한 다중 노출 기술(multiple exposure technology)이 유일한 실행가능한 선택사항이었다. 다중 노출 기술에 대한 많은 공정 설계안이 연구되었고 보고되었다. 일부 계획안들은 브라이트 필드 마스크(bright field mask)를 사용하였는데 여기서는 배선과 같은 포토레지스트의 단지 작은 부분이 노출로부터 보호되는 반면에, 레지스트의 나머지 부분은 노출된다. 그 후 포토레지스트는 현상제와 접촉하여 레지스트의 노출된 부분을 제거하고, 그에 따라 하드마스크 층 위에 남아있는 포토레지스트의 노출되지 않은 부분(즉, 배선)만을 남기게 된다. 포토레지스트의 노출되지 않은 부분의 아래 영역을 제외하고 하드마스크 층을 식각함으로써 패턴이 하드마스크로 전이된다. 이러한 과정은 원하는 패턴이 달성될 때까지 반복된다. 다크 필드 노출 공정(dark field exposure process)에서, 포토레지스트의 많은 부분이 노출로부터 보호되는 반면, 포토레지스트의 단지 작은 부분만이 노출되어 현상 후에 제거된다. 브라이트 필드와 같이, 그 후 식각 공정을 사용하여 패턴이 하드마스크로 전이되어야 한다.
이와 유사하게, 더블 패터닝(double patterning)과 함께, ArF 침적 리소그래피(immersion lithography)가 32-nm 및 22-nm 하프-피치(half-pitch) 노드 제작에 대한 더욱 현실적인 해결책을 제공한다. 그렇지만, 현재의 노광 후 식각(litho-etch-litho-elch, LELE) 공정은 심지어 EUV 리소그래피와 비교하여도 너무 고비용이다. 따라서, 많은 현존하는 공정들은 여전히 패턴을 하부 층에 전이하기 위한 건식-식각 단계(dry-etch step)를 요구한다. 건식-식각 단계는 공정을 복잡하게 만들고 소모되는 비용과 시간을 증가시킨다. 따라서, 하드마스크 층으로서 그리고 평탄화 층 위에 사용될 수 있거나, 또는 식각 단계에 대한 필요성을 제거하고 증가된 DOF 및 CD 제어를 위한 극히 얇은 포토레지스트 층의 사용을 가능하게 하는 다중 노출 공정에서 사용될 수 있는, 보호 재료에 대한 수요가 해당 업계에 존재한다. 또한 더블 패터닝과 함께 ArF 침적 리소그래피에서 사용될 수 있는 하드마스크 층에 대한 수요가 존재한다.
발명의 개요
본 발명은 마이크로전자 구조물의 제조에 사용되는 조성물, 이러한 구조물의 제조 방법, 및 이의 구조물을 광범위하게 제공함으로써 상기 문제점들을 극복한다. 본 발명은 요구되는 포토레지스트 두께를 감소시키거나 심지어 제거하고, 한편, 식각 단계를 제거함으로써 공정 시간을 감소시키는 하드마스크 조성물을 제공한다. 더욱이, 본 발명은 스핀-코팅에 의해 용이하게 도포될 수 있는 재료를 제공하는데, 이는 종래의 실리콘 질화물/산화물 화학 기상 증착(chemical vapor deposition, CVD) 공정에 비하여 적은 시간과 낮은 비용을 요구한다. 더욱이, 본 발명은 특히 다중 패터닝 공정과 쌍을 이룰 때, 32-nm 노드 및 그 미만으로 연속적인 CD 수축을 가능하게 하는 반면, 또한 식각 단계를 제거함으로써 현재의 다층 제조물(multilayer fabrication )의 소유 비용을 감소시킨다.
더욱 상세하게는, 마이크로전자 소자 제조에 유용한 조성물이 제공된다. 상기 조성물은 용매계에 용해된 또는 분산된 비-중합체 나노입자(non-polymeric nanoparticle)를 포함한다. 유리하게는, 상기 조성물에 현상제 가용성이다.
마이크로전자 구조물 제조 방법이 또한 제공된다. 상기 방법은 표면을 갖는 기판을 제공하는 단계를 포함한다. 선택사항으로, 하나 이상의 중간층이 기판 표면에 형성될 수 있다. 하드마스크 조성물이, 중간층이 있는 경우에는 중간층에 근접하여 도포되거나, 또는 중간층이 없는 경우에는 기판 표면에 근접하여 도포된다. 하드마스크 조성물은 용매계에 용해된 또는 분산된 비-중합체 나노입자를 포함한다. 하드마스크 조성물이 베이킹(bake)되어, 중간층이 있는 경우에는 중간층 상부에, 중간층이 없는 경우에는 기판 표면 상부에 하드마스크 층을 형성한다. 하드마스크 층은 복사선(radiation)에 노출되어 하드마스크 층의 노출된 부분을 산출한다. 유리하게는, 하드마스크 층은 현상제와 접촉되어 하드마스크 층의 노출된 부분을 제거한다.
본 발명은 또한 표면을 갖는 기판; 선택사항으로 상기 기판 표면 상부의 하나 이상의 중간층; 및 중간층이 존재하는 경우에는 중간층에 근접하거나 또는 중간층이 존재하지 않는 경우에는 기판 표면에 근접하는 하드마스크 층;을 포함하는 마이크로전자 구조물을 제공한다. 하드마스크 층은 가교결합된 비-중합체 나노입자를 포함한다. 유리하게는, 하드마스크 층은 현상제 가용성이다.
도면의 간단한 설명
특허 또는 출원 파일은 최소 하나의 컬러 도면을 포함한다. 컬러 도면을 요구하고 수수료가 납부되면 컬러 도면이 수록된 본 특허 또는 특허 출원 공보가 제공될 것이다.
도 1(A)-(D)는 본 발명의 공정에 의해 형성된 구조물(척도는 도시되지 않음)을 나타내는 개략도이다.
도 2는 실시예 1로부터 얻은, 하드마스크 제제(Formulation) 2의 층의 두께(nm) 대 노출 양(mJ/㎠)의 대비 곡선이다.
도 3은 하드마스크 제제 2를 사용하여 실시예 3에서 형성된 패턴의 주사 전자 현미경(scanning electron microscope, SEM) 영상이다.
도 4는 실시예 4로부터 얻은, 정상에 ArF 포토레지스트 층이 있는 하드마스크 제제 3의 층의 두께(nm) 대 노출 양(mJ/㎠)의 대비 곡선이다.
도 5는 실시예 5로부터 얻은, 삼중층 스택(하부 무-반사 코팅, 하드마스크 제제 4, 및 포토레지스트)의 두께(nm) 대 노출 양(mJ/㎠)의 대비 곡선이다.
도 6은 실시예 6으로부터 얻은, CF4, Ar 및 O2 기체 혼합물을 사용한 제제 5의 식각률(nm/min)을 나타낸다.
바람직한 구체 예의 상세한 설명
본 발명의 조성물
본 발명의 조성물은 선택된 파장의 복사선에 노출되면 열 경화(thermally curable) 가능(즉, 가교결합 가능)하며 염기성 현상제에 대하여 가용성(즉, 습윤-현상가능)이 된다. 즉, 경화될 때 상기 조성물은 바람직하게는 유기 용매 및 포토레지스트 현상제 둘 모두에 불용성이나, 복사선에 노출되면 가교결합이 분해되고 현상제에 의해 제거될 수 있다(즉, 하드마스크 조성물이 감광성이며 현상제 가용성이 된다). 이는 서로 다른 용출률(dissolution rate)을 갖는 하드마스크의 노출된 부분과 노출되지 않은 부분에서 노출된 부분은 제거되며 노출되지 않은 부분은 제거되지 않도록 한다. 본 명세서에서 사용된 용어 "현상제 가용성(developer soluble)" 또는 "습윤-현상가능(wet-developable)"은 조성물이 빛에 노출될 때 염기성 현상제에 대하여 가용성이 되어 전술한 종래의 수성 현상제에 의해 실질적으로 제거될 수 있음을 의미한다.
더욱 상세하게는, 본 발명의 조성물은 용매계에 용해된 또는 분산된 비-중합체 나노입자를 포함한다. 조성물은 바람직하게는, 조성물의 전체 중량을 100중량%로 취한 것을 기준으로, 약 0.1중량% 내지 약 5중량% 나노입자, 바람직하게는 약 1중량% 내지 약 3중량% 나노입자, 더욱 바람직하게는 약 1.5중량% 내지 약 2.5중량% 나노입자를 포함한다. 본 명세서에 사용된 용어 "나노입자"는 대략 약 1 nm 내지 약 100 nm의 최대 치수(임의 하나의 치수)를 갖고 그 운송 및 특성에 관하여 단일 유닛으로 활동하는 입자를 포함하며, 초-미세 고체 입자, 콜로이드 입자, 둘 이상의 공유결합된 원자의 응집체(즉, 분자), 표면에 전하를 갖는 입자(즉, 다원자 이온), 및 그 밖의 다른 미세하게 분할된 입자 물질을 포함한다. 적절한 나노입자는 상업적으로 구입가능하며 나노입자의 수성 또는 유기 콜로이드 분산물(예를 들면, Nissan Chemical. Houston, TX 사로부터 구입가능한 콜로이드 실리카), 건조 분말(예를 들면, Hybrid Plastics™, Hattiesburg, MS 사로부터 구입가능한 POSS® NANOSTRUCTURED® 화학물질), 및/또는 Meliorum, Rochester, NY 사로부터 취득할 수 있다. 건조 분말은 전형적으로 마이크론-크기의 응집체에서 활용가능한데, 나노규모 치수를 얻기 위하여 분산될 수 있다. 그 대신에, 나노분말이 사용될 수 있다. 본 명세서에서 사용된 용어 "비-중합체(non-polymeric)"는 약 10 이상의 중합체 반복단위(polymeric repeat)를 형성하지 않으며, 조성물 내에서 분리되고 구별되는 단위체로 잔류하는 별개의 나노입자를 의미한다. 본 발명의 조성물에서의 사용을 위한 바람직한 나노입자는 바람직하게는 약 15 nm 미만, 더욱 바람직하게는 약 1 nm 내지 약 10 nm, 더욱 바람직하게는 약 1 nm 내지 약 5 nm의 평균 입자 크기를 갖는다. 본 명세서에 사용된 용어 "평균 입자 크기(average particle size)"는 나노입자의 표면에서 표면까지의 최대 치수로서 정의된다(즉, 구형 입자의 경우 평균 입자 크기는 직경이 될 수 있다).
나노입자는 바람직하게는 금속을 함유하고, 모든 유형의 금속(원소 금속 포함) 또는 금속 합금을 포함할 수 있다. 바람직한 금속은 실리콘(Si), 티타늄(Ti), 하프늄(Hf), 아연(Zn), 지르코늄(Zr), 주석(Sn), 알루미늄(Al), 세륨(Ce), 탄탈(Ta), 바나듐(V), 게르마늄(Ge), 카드늄(Cd), 셀레늄(Se), 이들의 산화물, 수산화물, 셀레나이드(selenide), 및 황화물로 구성된 군으로부터 하나 이상 선택된다. 조성물은, 조성물 내 전체 고형물을 100중량%로 취한 것을 기준으로, 약 4중량% 내지 약 80중량% 금속, 더욱 바람직하게는 약 20중량% 내지 약 80중량% 금속, 더욱 바람직하게는 약 60중량% 내지 약 80중량% 금속을 포함한다.
나노입자는 바람직하게는 산 작용기를 포함한다. 더욱 바람직하게는, 나노입자의 각각의 표면은 하나 이상의 산 그룹(acid group)에 의해 작용화된다(즉, 산 작용화된 나노입자). 바람직한 산 그룹은 하이드록실 그룹(-OH), 카르복실 그룹(-COOH), 페놀류, 플루오로알코올 및 플루오로아크릴아마이드로 구성된 군으로부터 하나 이상 선택된다.
Figure pct00001
Figure pct00002
플루오로알코올 플루오로아크릴아마이드
적절한 나노입자는 산 작용성을 갖는 것으로 상업적으로 구입가능하다. 그 대신에, 나노입자는 리간드 교환과 같은 공지된 방법을 사용하여 작용화될 수 있다. 바람직하게는, 나노입자는 입자 당 최소 약 3개의 산 그룹, 더욱 바람직하게는 입자 당 약 5개 또는 그 이상의 산 그룹, 더욱 바람직하게는 입자 당 약 10개 또는 그 이상의 산 그룹을 포함한다.
특히 바람직한 조성물은 용매계에 용해 또는 분산된, 콜로이드 실리카(SiO2) 나노입자, 폴리헤드랄 올리고머릭 실세스퀴옥산(Polyhedral Oligomeric Silsesquioxane, POSS) 분자, 및 이들의 혼합으로 구성된 군으로부터 선택되는 나노입자를 포함한다. 더욱 바람직하게는, 이러한 나노입자는 하이드록실 그룹 및 카르복실 그룹으로 구성된 군으로부터 하나 이상 선택되는 복수의 산 그룹에 의해 작용화된다. 바람직한 POSS 분자는 카르복시산(예를 들면, POSS® Octa Amic Acid), 분자 실리카(예를 들면, 옥타키스(테트라메틸암모늄) 펜타사이클로[9.5.13.9.15.15.17.13]옥타실록산 1,3,5,7,9,11,13,15-옥타키스(사이클록사이드)하이드레이트) 예를 들면 OctaTMA POSS®), 및 실라놀(예를 들면, 트리실라놀사이클로펜틸 POSS®, 1,3,5,7,9, 11,14-헵타에틸트리사이클로[7.3.3.1(5,11)]헵타실록산-엔도-3,7,14-트리올 예를 들면 트리실라놀에틸 POSS®, 1,3,5.7,9,11,14-헵타이소부틸트리사이클로 [7.3.3.1(5,11)헵타실록산-엔도-3,7,14-트리올 예를 들면 트리실라놀이소부틸 POSS®, 1,3,5,7,9,11,14-헵타이소옥틸트리사이클로[7.3.3.1(5,11)]헵타실록산-엔도-3,7,14-트리올 예를 들면 트리실라놀이소옥틸 POSS®, 1,3,5,7,9,11,14-헵타-페닐트리사이클로[7.3.3.1(5,11)]헵타실록산-엔도-3,7,14-트리올 예를 들면 트리실라놀페닐 POSS®, 및 트리사이클로[7.3.3.3(3,7)옥타실록산-5,11,14,17-테트라올- 1,3,5,7,9,11,14,17-옥타페닐 예를 들면 테트라실라놀페닐 POSS®)로 구성된 군으로부터 선택되며, 이들은 모두 Hybrid Plastics™사로부터 구입가능하다.
조성물은 또한 바람직하게는 나노입자가 있는 용매계에 용해 또는 분산된 가교제(crosslinker)(본 발명에서 용어 "가교결합제"와 상호 교환적으로 사용됨)를 포함한다. 바람직한 가교제는 비닐 에테르 가교제이다. 가교제가 다중-작용성(이-, 삼-, 및 사-작용성)인 것이 특히 바람직하다. 상업적으로 구입가능한 비닐 에테르의 예는 상표명 VECTomer™ (Aldrich; St. Louis, MO)로 판매되는 것을 포함한다. 적절한 비닐 에테르 가교제는 또한 본 명세서에서 설명하는 바에 따라 제조될 수 있다. 가교제는 바람직하게는, 조성물의 전체 중량을 100중량%로 취한 것을 기준으로, 약 0.1중량% 내지 약 5중량%, 바람직하게는 약 0.5중량% 내지 약 2.5중량%, 그리고 더욱 바람직하게는 약 1중량% 내지 약 2중량% 수준으로 조성물 내에 존재한다.
더욱 바람직하게는, 존재하는 경우 비닐 에테르 가교제는 다음과 같은 화학식을 갖는다:
Figure pct00003
여기서, R'는 아릴(바람직하게는 C6-C14) 및 알킬(바람직하게는 C1-C18, 더욱 바람직하게는 C1-C10)로 구성된 군으로부터 선택되며, 각각의 X는 독립적으로 알킬(바람직하게는 C1-C18, 더욱 바람직하게는 C1-C10), 알콕시(바람직하게는 C1-C18, 더욱 바람직하게는 C1-C10), 카르보닐, 및 이들의 둘 이상의 조합으로 구성된 군으부터 선택되며, n은 최소 2, 바람직하게는 2-6이다. 가장 바람직한 비닐 에테르는 에틸렌 글리콜 비닐 에테르, 트리메틸올프로판 트리비닐 에테르 및 1,4-사이클로헥산 디메탄올 디비닐 에테르로 구성된 군으로부터 하나 이상 선택되는 것들을 포함한다. 또 다른 바람직한 비닐 에테르는 다음으로 구성된 군으로부터 선택되는 화학식을 갖는다:
Figure pct00004
Figure pct00005
Figure pct00006
Figure pct00007
Figure pct00008
Figure pct00009
바람직한 용매계(solvent system)는 에틸 락테이트(EL), 프로필렌 글리콜 메틸 에테르 아세테이트(PGMEA), 프로필렌 글리콜 메틸 에테르(PGME). 프로필렌 글리콜 n-프로필 에테르(PnP), 디메틸-아세트아마이드 및 사이클로헥산온으로 구성된 군으로부터 하나 이상 선택되는 용매를 포함한다. 바람직하게는, 용매계는 약 50-250℃, 더욱 바람직하게는 약 100-175℃의 끓는점을 갖는다. 용매계는, 조성물 전체의 중량을 100중량%로 취한 것을 기준으로, 약 90중량% 내지 약 99.9중량%, 바람직하게는 약 95중량% 내지 약 99중량%, 더욱 바람직하게는 약 97.5중량% 내지 약 98.5중량%의 수준으로 사용되어야 한다. 조성물은 바람직하게는, 조성물 전체의 중량을 100중량%로 취한 것을 기준으로, 약 0.1중량% 내지 약 90중량%, 바람직하게는 약 1중량% 내지 약 5중량%, 더욱 바람직하게는 약 1.5중량% 내지 약 2.5중량%의 고형물 함량을 포함할 것이다.
나노입자의 가교결합은 바람직하게는 열적으로 개시되며, 가교결합은 전형적으로 가교제와 나노입자가 있는 용매계 내에 용해 또는 분산된 산 촉매에 의해 완결된다. 바람직한 촉매는 술폰산(예를 들면, p-톨루엔술폰산, 스타이렌 술폰산), 술폰산염(sulfonate)(예를 들면, 피리디늄 p-톨루엔술폰산염. 피리디늄 트리플루오로메탄술폰산염, 피리디늄 3-니트로벤젠술폰산염), 및 이들의 혼합물을 포함한다. 조성물은, 조성물 내 고형물의 전체 중량을 100중량%로 취한 것을 기준으로, 약 0중량% 내지 약 5중량% 촉매, 바람직하게는 약 0.01 중량% 내지 약 2중량% 촉매, 더욱 바람직하게는 약 0.02중량% 내지 약 1중량% 촉매를 포함할 수 있다. 바람직하게는, 촉매는 주위 조건에서 그리고 약 1 내지 약 18시간의 기간 동안, 나노입자 및 가교제가 있는 용매계에 용해 또는 분산된다.
바람직한 한 구체 예에서, 그 후 산 발생제(acid generator)가 조성물에 첨가될 수 있다. 그 대신에, 조성물 내 성분들은 단순하게 모두 한번에 용매계에 용해 또는 분산될 수 있다. 바람직한 산 발생제는 광산 발생제(photoacid generator, "PAG"; 이온성 및/또는 비-이온성 )이다. 복사선의 존재 하에서 산을 생성하는 어떠한 PAG도 적절하다. 바람직한 PAG는 디-(p-t-부틸페닐) 이오도늄 트리스(퍼플루오로메탄술포닐)메타이드(예를 들면, DTBPI-C1; DAYCHRM Laboratories, Inc., Vandalia. Oil사로부터 구입함), 오늄 염(예를 들면, 트리페닐 술포늄 퍼플루오로술폰산염 예를 들면 트리페닐 술포늄 노나플레이트 및 트리페닐 술포늄 트리플레이트, 및), 옥심-술폰산염(예를 들면, 상표명 CGI®로 CIBA사에 의해 판매되는 것들), 트리아진(예를 들면, Midori Kagaku Company사로부터 구입가능한 TAZ108®), 및 이들의 혼합으로 구성된 군으로부터 선택된다. 조성물은 바람직하게는, 고형물의 전체 중량을 100중량%로 취한 것을 기준으로, 약 0중량% 내지 약 10중량% 산 발생제, 바람직하게는 약 1중량% 내지 약 8중량% 산 발생제, 더욱 바람직하게는 약 2중량% 내지 약 5중량% 산 발생제를 포함한다.
비록 열 산 발생제(thermal acid generator, "TAG")가 본 발명의 조성물에 포함되 수 있으나, 바람직한 구체 예에서 조성물은 TAG가 본질적으로 없다. 즉, 존재하는 경우, 모든 TAG는, 조성물 전체의 중량을 100중량%로 취한 것을 기준으로, 약 0.5중량% 미만의 매우 낮은 수준으로 포함된다.
바람직한 조성물은 또한 억제제(quencher)를 포함할 것이다. 바람직한 억제제는 트리에탄올아민, 트리에틸아민, 트리메탄올아민, 트리메틸아민, 트리이소프로판올아민, 트리이소프로필아민, 트리-t-부탄올아민, 트리-t-부틸아민, 트리-n-부탄올아민, 트리-n-부틸아민, 디에탄올아민, 디에틸아민, 디메탄올아민, 디메틸아민, 디이소프로판올아민, 디이소프로필아민, 디-t-부탄올아민, 디-t-부틸아민, 디-n-부탄올아민, 디-n-부틸아민, 에탄올아민, 에틸아민, 메탄올아민, 메틸아민, 이소프로판올아민, 이소프로필아민, t-부탄올아민, t-부틸아민, n-부탄올아민, 및 n-부틸아민 및 이들이 조합으로 구성된 군으로부터 선택된다. 존재하는 경우, 무-반사 코팅 조성물은, 조성물 내 고형물의 전체 중량을 100중량%로 취한 것을 기준으로, 약 0중량% 내지 약 5중량% 억제제, 바람직하게는 약 0.25중량% 내지 약 4중량%, 더욱 바람직하게는 약 0.5중량% 내지 약 2중량%를 포함할 것이다.
조성물 내에 포함될 수 있는 추가 성분은 계면활성제, 부착 증진제, 산화방지제, 광개시제, 및 이들의 조합을 포함할 수 있다. 중합체가 또한 조성물 내에 포함될 수 있다. 조성물 내에 사용될 수 있는 중합체의 예는 아크릴레이트, 메타크릴레이트, 스타이렌, 아크릴아마이드, 메타크릴아마이드, 및 이들의 조합을 포함한다. 적절한 중합체는, 약 1,000 내지 약 50,000 돌턴(Dalton), 바람직하게는 약 3,000 내지 약 25,000 돌턴, 더욱 바람직하게는 약 4,000 내지 약 15,000 돌턴의 분자량을 갖는다. 그렇지만, 조성물에 중합체 성분(즉, 100 이상의 반복 단위를 갖는 것)이 실질적으로 없는 것이 바람직하다. 따라서, 본 발명의 조성물은, 조성물 내 고형물의 전체 중량을 100중량%로 취한 것을 기준으로, 바람직하게는 약 5중량% 미만의 중합체, 더욱 바람직하게는 약 2중량% 미만의 중합체를 포함한다.
본 발명의 방법 및 구조물
도 1(A)-1(D)는 본 발명의 바람직한 구체 예를 나타낸다. 방법에 있어서, 표면(10a)을 갖는 기판(10)이 제공된다. 어떠한 마이크로전자 기판도 본 발명에서 사용될 수 있다. 대표적인 기판(10)은 실리콘, SiGe, SiO2, Si3N4, 알루미늄, 텅스텐, 텅스텐 실리사이드, 비소화갈륨, 게르마늄, 탄탈, 탄탈 질화물, 산호(coral), 블랙 다이아몬드, 인 또는 붕소 도핑된 유리, 및 이들의 조합으로 구성된 군으로부터 선택되는 것들을 포함한다. 방법은 본 발명의 조성물을 기판(10)에 도포하여 기판(10)의 표면(10a)에 상기 조성물의 층(12)을 형성하는 단계를 포함한다. 조성물은 공지된 어떠한 도포 방법에 의해서도 도포 될 수 있으며, 한가지 바람직한 방법은 약 500 내지 약 5,000 rpm(바람직하게는 약 1,000 내지 약 3,000 rpm)의 속도로 약 15 내지 약 90 초(바람직하게는 약 30 내지 약 60 초)의 기간 동안 상기 조성물은 스핀-코팅하는 것이다. 조성물은 기판 표면(10a)에 직접 도포되거나, 또는 상기 기판 표면 상부에 형성된 하나 이상의 선택적인 중간층(도시되지 않음)에 도포될 수 있다. 적절한 중간층은 스핀-온 탄소층(spin-on carbon layer, SOC), 무정형 탄소층, 하부 무-반사 코팅, 평탄화층, 및 이들의 조합으로 구성된 군으로부터 선택되는 것들을 포함한다. 이것과 관계없이, 기판(10)은 평평한 표면을 포함할 수 있거나, 또는 토포그래피{비아 홀(via hole), 콘택홀(contact hole), 돋아진 지형(raised feature) 등}를 포함할 수 있다. 본 명세서에서 사용된 "토포그래피(topography)"는 기판 표면 내부 또는 상부의 구조물의 높이 또는 깊이를 의미한다.
하드마스크 조성물이 도포된 이후, 바람직하게는 약 110-250℃, 더욱 바람직하게는 약 130-205℃의 온도에서 가열함으로써 경화단계를 거치게 하여, 약 10 초 내지 약 120 초(바람직하게는 약 30 초 내지 약 90 초)의 기간 동안, 조성물 내 나노입자의 가교결합된 매트릭스(즉, 경화된 하드마스크 층(12))를 형성하게 한다. 예를 들면, 나노입자의 산 작용기가 카르복실 그룹이고 가교제가 비닐 에테르 가교제인 인 구체 예에서, 가교결합된 나노입자 매트릭스는 아세탈 결합을 포함할 것이다. 베이킹 이후 하드마스크 층(12)의 두께는 바람직하게는 약 1 nm 내지 약 100 nm, 더욱 바람직하게는 약 10 nm 내지 약 80 nm, 더욱 바람직하게는 약 20 nm 내지 약 40 nm이다.
하드마스크 층(12)은 바람직하게는 광흡수 특성을 갖는다. 구체적으로, 본 발명의 방법에 사용된 하드마스크 층(12)은 최소 약 0.05, 바람직하게는 약 0.1 내지 약 0.3, 더욱 바람직하게는 약 0.15 내지 약 0.25의 k 값(복소 굴절률의 가상 성분), 및 최소 약 1.45, 바람직하게는 약 1.55 내지 약 2.25, 더욱 바람직하게는 약 1.65 내지 약 2의 n 값(복소 굴절률의 실질 성분)을 갖는다. 이러한 값들은 약 500 nm 미만의 파장을 포함하여, 광범위한 파장(예를 들면, 365 nm, 248 nm, 193 nm, 157 nm, 또는 13.5 nm)에서 구할 수 있다.
유리하게는, 경화된 하드마스크 층(12)은 충분히 가교결합되어 EL, PGMEA, PGME, PnP, 사이클로헥산온, 아세톤, 감마 부티로락톤(Gamma butyrolactone, GBL), 및 이들의 혼합물과 같은 전형적인 용매에서 실질적으로 불용성일 것이다. 따라서, 스트리핑 테스트를 거칠 때, 경화된 하드마스크 층(12)은 약 5% 미만, 바람직하게는 약 1% 미만, 더욱 바람직하게는 0%의 백분율 스트리핑을 가질 것이다. 스트리핑 테스트는 경화된 층의 상이한 다섯 곳에서 측정치의 평균을 구함으로써 두께를 결정하는 단계를 먼저 포함한다. 이것이 초기 평균 필름 두께이다. 그 다음, 필름을 용매(예를 들면, 에틸 락테이트)로 약 30초 동안 세척하고, 후속하여 약 500-3,000 rpm에서 약 20-60 초 동안 스핀 건조시켜 용매를 제거한다. 타원계측기(ellipsometry)를 사용하여 웨이퍼 상의 상이한 다섯 곳에서 두께를 다시 측정하고, 이들 측정치의 평균을 결정한다. 이것이 평균 최종 필름 두께이다.
스트리핑의 양은 초기 및 최종 평균 필름 두께 사이에 차이이다. 백분율 스트리핑은 다음과 같다:
Figure pct00010
경화된 하드마스크 층(12)은 또한 바람직하게는 전술한 바와 같이 전형적인 포토레지스트 현상제에 실질적으로 불용성이다. 현상제에 대한 경화된 하드마스크 층의 용해도는 전술한 스트리핑 테스트에 대한 것과 동일한 과정 및 계산법을 사용하여 측정된다. EL과 같은 용매로 세척하는 대신에, 경화된 층을 0.26 N 테트라메틸 암모늄 하이드록사이드(tetramethyl ammonium hydroxide, TMAH) 현상제에 60초 동안 침적시킨다. 경화된 층의 모든 두께 손실은 "다크 손실(dark loss)"로 정의된다. 바람직하게는, 경화된 층은 약 10% 미만, 바람직하게는 약 5% 미만, 더욱 바람직하게는 약 0%의 다크 손실을 가질 것이다.
하드마스크 층(12)은 또한 적절한 플라스마 장벽(plasma barrier)을 제공하기 위하여 기판(10)에 대한 높은 식각 선택도를 가져야 한다. 이는 왜냐하면 본 공정에서 후속하여-도포된 포토레지스트는 단지 노출 및 습식 현상(wet development)에 의해서만 하드마스크 층(12)을 패턴화하기 위하여 사용되는 반면, 종래 공정에서의 포토레지스트는 플라스마-식각 장벽으로서도 작용하기 때문이다. 따라서, 하드마스크 층(12) 대 기판(10)의 식각 선택도는, O2 플라스마가 식각시약(etchant)으로 사용될 때, 최소 약 10:1, 바람직하게는 최소 약 20:1, 더욱 바람직하게는 약 25:1 내지 약 100:1일 것이다, 더욱이, 포토레지스트(예를 들면, 193-nm 포토레지스트)에 대한 하드마스크 층(12)의 식각 선택도는, CF4 플라스마가 식각시약(etchant)으로 사용될 때, 최소 약 1, 바람직하게는 최소 약 1.5, 더욱 바람직하게는 약 2 내지 약 4이다. 하드마스크 층(12)은, O2 플라스마가 식각시약으로 사용될 때, 약 30 nm/min 미만, 더욱 바람직하게는 약 0 nm/min 내지 약 10 nm/min의 속도로 식각되어야 한다.
그 후 포토레지스트 조성물은 경화된 하드마스크 층에 도포되어 이미지 층(imaging layer)(14)을 형성한다. 결과물인 스택(16)이 도 1(B)에 도시된다. 이미지 층(14)은 그 후 최소 약 85℃, 바람직하게는 약 90℃ 내지 약 120℃의 온도에서, 약 30초 내지 약 90초의 기간 동안, 도포-후 베이킹(post-application bake, "PAB") 된다. 적절한 이미지 조성물(imaging composition)에는 상업적으로 구입가능한 포토레지스트(예를 들면, Pi6-OO1, TOK, Kawasaki shi, Kanagawa (Japan): ARX3001 , JSR Micro, Sunnyvale, CA: AM2073J, JSR Micro), 또는 그 밖의 다른 임의 감광성 조성물 등이 있다.
그 후 이미지 층(14)은 적절한 파장의 빛에 노출되어 패턴화되고 그 후 노출된 포토레지스트의 현상을 거친다. 더욱 구체적으로는, 이미지 층(14)의 표면 위에 위치된 마스크(18)를 사용하여 이미지 층(14)을 노출시킨다. 마스크(18)는 복사선(hν)이 마스크(18)를 통과하여 이미지 층(14)에 접촉하는 것을 허용하도록 고안된 개방 영역(18a)을 갖는다. 마스크(18)의 나머지 중실(中實, solid) 영역(18b)은 특정 영역에서 복사선이 이미지 층(14)의 표면에 접촉하는 것을 방지하도록 고안된다. 통상의 기술자들은, 개방 영역(18a) 및 중실 영역(18b)의 배치가, 이미지 층(14)에 형성되고 최종적으로 기판(10)에 형성될 원하는 패턴에 의존하여 고안된다는 것을 쉽게 이해할 것이다.
유리하게는, 이미지 층(14)이 복사선(즉, 빛)에 노출됨에 따라, 본 발명의 하드마스크 층(12)도 노출된다. 빛에 노출되면, 감광성 하드마스크 층(12) 내 나노입자 매트릭스는 가교결합이 분해된다("decrosslinked"). 즉, 열 가교결합에 의해 나노입자와 가교제 사이에 형성되었던 결합이 깨진다. 따라서, 노출될 때, 복사선에 노출되는 하드마스크 층(12)과 이미지 층(14)의 영역은 동시에 수성 현상제 내에서 가용성이 된다. 노출 후에, 이미지 층(14)과 하드마스크 층(12)은 바람직하게는 약 85℃ 내지 약 13O℃, 더욱 바람직하게는 약 90℃ 내지 약 11O℃의 온도에서, 약 30초 내지 약 90초의 시간 동안, 노출-후 베이킹(post-exposure bake, PEB)을 거치게 된다.
상기 과정에 의해 가용성이 된 이미지 층(14)과 하드마스크 층(12)의 노출된 영역은 그 후 포토레지스트 현상제와 접촉하여 상기 노출된 부분이 제거된다. 이미지 층(14)의 노출된 영역 아래에 있는 하드마스크 층(12)의 노출된 영역은 이미지 층(14)이 제거됨에 따라 현상제에 의해 제거되어 이미지 층(14)과 하드마스크 층(12)에 원하는 패턴(20)을 동시에 형성한다. 패턴(20)은 비아 홀, 트랜치(trenche), 라인(line), 공간(space), 등일 수 있으며, 이들은 식각 또는 이온 주입 공정(ion implantation process)을 사용하여 최종적으로 기판(10)에 전이될 것이다. 바람직하게는, 이미지 층(14)과 하드마스크 층(12)의 노출된 영역의 최소 약 95%가 현상제에 의해 제거될 것이며, 더욱 바람직하게는 최소 약 99%, 더더욱 바람직하게는 약 100%가 제거될 것이다. 유리하게는, 현상제 가용성 중간층이 사용되면(예를 들면, 현상제 가용성 하부 무-반사 코팅), 중간층의 노출된 영역이 또한 제거되어 이미지 층(14), 하드마스크 층(12), 및 중간층(도시되지 않음)에 원하는 패턴(20)을 동시에 형성할 수 있다.
적절한 현상제는 수산화포타슘(KOH), TMAH와 같은 유기 또는 무기 알칼리 용액이며, 바람직하게는 0.26N 또는 그 미만 농도의 TMAH 수용액을 포함한다. 이러한 현상제 중 일부는 상표명 PD523AD(Moses Lake Industries, Inc., Moses Lake, WA사로부터 구입), MF-319(Shipley, Massachusetts사로부터 구입 가능), MF-320(Shipley사로부터 구입 가능), 및 NMD3(TOK, Japan사로부터 구입 가능)으로 판매된다. 바람직하게는, 노출 후 0.26N TMAH 현상제에서 하드마스크 층(12)의 용해 속도는 약 50 nm/초 내지 약 250 nm/초, 더욱 바람직하게는 약 100 nm/초 내지 약 200 nm/초일 것이다.
그 후 종래의 식각, 금속화(metallization) 등이 패턴화된 스택(22)에 대하여 수행되어 소자 제조를 완결할 수 있다. 또한, 다중 노출 공정이 요구되는 경우, 패턴화된 하드마스크 층에 인접하여 도포된 제2 이미지 층을 사용하여 노출-현상 공정(exposure-development process)이 반복될 수 있다.
또 다른 구체 예에서, ArF 침적 리소그래피(도시되지 않음)가 포토레지스트를 패턴화하기 위하여 사용될 수 있다. 공기(종래의 리소그래피) 대신에, 노출 동안 복사선이 통과하는 매질은 액체이다. 이미지 층(14)은 리소그래피 시스템의 광학 투영 소자(즉, 렌즈)를 통하여 복사선에 노출되며, 여기서 침적 액체(immersion liquid)는 리소그래피 시스템의 상기 광학 소자의 적어도 일부분 및 구조물(즉, 스택(16))의 적어도 일부분과 접촉한다. 더욱 바람직하게는, 상기 액체는 시스템 내 마지막 광학 소자와 이미지 층(14) 사이의 공간을 채우며, 이에 따라 상기 광학 소자가 상기 액체에 침적된다. 적절한 침적 액체는 바람직하게는 1 보다 큰 굴절률(바람직하게는 약 1 내지 약 2, 더욱 바람직하게는 약 1.3 내지 약 1.4)을 가지며, 물(바람직하게는 정제수), 유기 용매, 및 이들의 조합으로 구성된 군으로부터 선택된다. 침적 리소그래피 시스템은 해당 업계에 공지되어 있으며, Amphibian™ Systems (Rochester, NY)사로부터 구입가능한 암피비안 간섭계(Amphibian Interferometer)를 포함한다.
실시예
이하에서 설명하는 실시예는 본 발명에 따르는 바람직한 방법을 제시한다. 그렇지만, 이러한 실시예는 예시적인 것으로서 제공되며 본 발명의 범위를 제한하는 것으로 이해되어서는 안 된다.
실시예 1
하드마스크 제제 1
본 과정에서, 감광성 하드마스크를 콜로이드 실리카(~30 wt% SiO2, 평균 입자 크기 10-15 nm) (Organosilicasol™ IPA-ST: Nissan Chemical, Houston, TX 사로부터 구입)와 5 ml PGME(Harcros Chemicals, St. Louis, MO사로부터 구입)의 이소프로판올 용액 3.5 그램으로 희석시켜 제제화하였다. 그 후, 삼-작용성 비닐 에테르 가교제(실시예 8 참조) 350 mg을 피리디늄 p-톨루엔술폰산염(PPTS: ALdrich, Milwaukee, WI사로부터 구입) 5 mg과 함께 상기 용액에 첨가하였다. 혼합물을 유리 바이알 내에서 하룻밤 동안 실온에서 교반한 후 PGME로 추가로 희석시켜 전체 용액 중량이 56 그램이 되도록 하였다. 그 후, 20 mg의 트리에탄올아민(TEA; Aldrich, Milwaukee, WI사로부터 구입) 및 26 mg의 PAG 디-(p-t-부틸페닐) 이오도늄 트리스(퍼플루오로메탄술포닐)메타이드(DTBPI-C1; DAYCHEM Laboratories. Inc., Vandalia, OH사로부터 구입)를 첨가하였다. 이러한 최종 제제를 입자 여과기를 통하여 여과시켰다.
제제 1을 실리콘 기판 위에 2,000 rpm에서 스핀-코팅하고 그 후 130℃에서 60초 동안 베이킹하였다. 가변-각 분광 타원계측기(VASE®; J.A. Woollam Co., Inc.)를 사용하여 광학 상수를 측정하였다. 193 nm에서 필름의 n 값은 1.40이다. 193 nm에서 필름의 k 값은 0.075이다. 248 nm에서 필름의 n 값은 1.45이다. 248 nm에서 필름의 k 값은 0.021이다.
필름의 용매 저항성을 테스트하였다. 전술한 바와 같이 기판을 제제 1로 코팅하고 필름의 초기 두께를 측정하였다. 그 후 필름을 EL를 사용하여 30초 동안 세척하였다(Flarcros Chemicals, St Louis, MO). 그 후 필름의 두께를 다시 측정하고 기록하였다. 다크 손실을 계산하기 위하여, 또 다른 기판을 제제 1로 코팅하고, 필름의 초기 두께를 측정하고 기록하였다. 그 후 상기 필름을 0.26 N TMAH 포토레지스트 현상제(PD523AD; Moses Lake Industries, Inc., Moses Lake, WA사로부터 구입)에 60초 동안 침적시켰다. 필름의 두께를 측정하고 기록하였다. 필름의 습식 현상을 평가하기 위해, 세 번째 기판을 전술한 바와 같이 제제 1로 코팅하고, 필름의 초기 두께를 측정하였다. 그 후 상기 필름을 수은-제논 램프의 빛에 248 nm에서 노출시키고, 그 후 130℃에서 60초 동안의 노출-후 베이킹(post-exposure baking, PEB) 및 PD523AD를 사용한 60초 동안의 현상을 거치게 하였다. 최종 두께를 측정하였다. 표 1에 요약된 결과에 의하면, 제제 1은 우수한 용매 저항성을 가지며 다크 손실이 거의 없는 반면, 노출 후 알칼리 현상제에 의해 제거될 수 있다.
Figure pct00011
실시예 2
하드마스크 제제 2 및 감광성 테스트
본 과정에서, 10 mg의 DTBPI-C1 PAG를 상기 실시예 1로부터 얻은 10 mg의 하드마스크 제제 1에 첨가하여 추가 감광성 제제 2를 생성함으로써 하드마스크 제제 2를 제조하였다. 상기 제제를 실리콘 기판 위에 2,000 rpm에서 스핀-코팅하고 그 후 130℃에서 60초 동안 베이킹하였다. 그 후 필름을 다양한 시간 동안(즉, 5, 8, 10, 및 12초) 248-nm 필터를 통하여 UV 빛에 노출시켰다. 13O℃에서 60초 동안의 PEB 및 PD523AD를 사용한 현상 이후, 노출된 영역의 남은 두께(나노미터 단위)를 측정하고 노출 양(mJ/㎠ 단위)과 대비하여 그래프로 나타냈다. 도 2에 도시된 대표적인 대비 곡선은 25mJ/㎠에 달하는 노출양 이전 및 이후의 현상제 용액의 예리한 대비를 명백하게 나타낸다.
실시예 3
무-반사 코팅 재료에 대하여 제제 2를 사용한 이미징
본 과정에서, 상기 실시예 2로부터 얻은 하드마스크 제제 2를 사용하여 구조물을 제조하고 패턴화하였다. 먼저, 무-반사 코팅 재료(ARC® 29A; Brewer Science, Inc., Rolla, MO사로부터 구입)를 2,500 rpm에서 실리콘 웨이퍼에 스핀-코팅한 후, 205℃에서 베이킹 하였다. 무-반사 코팅의 두께는 80 nm이었다. 제제 2를 2,000 rpm에서 ARC® 29A 피복 상단에 스핀-코팅하고 그 후 130℃에서 60초 동안 베이킹 하였다. 필름 스택을 248-nm 필터를 통하여 UV 빛에 노출시켜 > 25mJ/㎠ 의 노출량을 제공하였다(2의 대비 곡선에 따름). 13O℃에서 60초 동안의 PEB 및 PD523AD를 사용한 현상 이후, 산출된 패턴이 광학 현미경 하에서 영상화되어 도 3을 제공하였는데, 도 3은 하드마스크 제제 2를 사용하여 용해된 양성(positive) 마이크로패턴(10-μm 배선까지)을 제시한다.
실시예 4
제제 3 및 상업적 포토레지스트를 사용한 테스크
본 과정에서, 상업적으로 구입가능한 포토레지스트를 사용하여 하드마스크 제제 3을 제조하여 테스트하였다. 15 mg의 DTBPI-C1 PAG를 10그램의 제제 1에 첨가하여 추가 감광성 하드마스크 제제 3을 제조하였다. 산출된 제제를 실리콘 기판에 2,500 rpm에서 스핀-코팅시킨 후 13O℃에서 60초 동안 베이킹 하였다. ArF 포토레지스트(AM2073J; JSR Micro. Sunnyvale, CA사로부터 구입)를 하드마스크 층의 상단에 1,750 rpm에서 스핀-코팅하고, 그 후 112℃에서 60초 동안 베이킹 하였다. 그 후 필름 스택을 다양한 시간 동안(즉, 0, 2, 4, 및 6초) 248-nm 필터를 통하여 UV 빛에 노출시켰다. 13O℃에서 60초 동안의 PEB 및 PD523AD를 사용한 현상 이후, 남은 두께(나노미터 크기)를 측정하고 노출 양(mJ/㎠ 단위)과 대비하여 그래프로 나타냈다. 도 4에 도시된 대표적인 대비 곡선은 ~10mJ/㎠에 도달하는 노출 양에서 제제 3 및 포토레지스트가 함께 제거되었음을 명백하게 나타낸다.
실시예 5
제제 4 그리고 상단의 ArF 포토레지스트와 현상제 가용성 무-반사 하부층을 사용한 테스트
본 과정에서, 3.5 그램의 IPA-ST를 10 ml의 PnP(Harcros, St. Louis, MO사로부터 구입)로 희석시켜 하드마스크 제제 4를 제조하였다. 그 후, 350 mg의 인-하우스 비닐 에테르 가교제(Brewer Science. Inc., Rolla, MO사로부터 구입)을 상기 용액에 첨가하였다. 상기 가교제의 제조는 실시예 9에서 설명되며, 혼합물을 PnP를 사용하여 더욱 희석하여 56-그램의 전체 용액 중량이 되도록 한 후, 7 mg의 TEA를 첨가하였다. 최종 제제 4를 입자 여과기를 통하여 여과시켰다.
하부 무-반사 코팅(BSI.W07046B; Brewer Science, Inc., RoIIa, MO사로부터 구입)을 먼저 실리콘 웨이퍼에 1,500 rpm에서 스핀-코팅한 후, 160℃에서 60초 동안 베이킹 하였다. 그 후 제제 4를 하부 무-반사 코팅 상단에 2,000 rpm에서 스핀-코팅한 후 150℃에서 60초 동안 베이킹 하였다. ArF 포토레지스트 AM2073J를 하드마스크 층 상단에 1,300 rpm에서 스핀-코팅한 후, 110℃에서 60초 동안 베이킹 하였다.
산출된 필름 스택을 다양한 시간 동안(즉, 0, 2, 4, 및 6초) 248-nm 필터를 통하여 UV 빛에 노출시켰다. 13O℃에서 90초 동안의 PEB 및 PD523AD를 사용한 현상 이후, 노출된 영역의 남은 두께(나노미터 크기)를 측정하고 노출 양(mJ/㎠ 단위)과 대비하여 그래프로 나타냈다. 도 5에 도시된 바와 같이, PAG 분자가 제제 4에 첨가되지 않았음에도, 광산 확산(photoacid diffusion)으로 인하여 상단 포토레지스트 층과 하부 현상가능한 하부 무-반사 층은 여전히 현상제 가용성이었다.
실시예 6
제제 5의 제조 및 그 테스트
5 그램의 IPA-ST를 94.423 그램의 PGME로 희석시켜 하드마스크 제제 5를 제조하였다. 그 후, 아래 실시예 9에서 제조된 인-하우스 비닐 에테르 가교제 500 mg을, 11.5 mg의 TEA, 27.9 mg의 트리페닐술포늄 퍼플루오로-1-부탄술폰산염(TPS-노나플레이트 PAG; Sigma-Aldrich, Inc., St. Louis, MO사로부터 구입), 및 37.6 mg의 트리스(4-tert-부틸페닐) 술포늄 퍼플루오로-1-부탄술폰산염(SAFC PAG; Sigma-Aldrich, Inc., St. Louis. MO사로부터 구입)과 함께, 희석된 IPA-ST 용액에 첨가하였다. 최종 제제 5를 입자 여과기를 통하여 여과시켰다.
제제 5를 1,500 rpm에서 실리콘 기판에 스핀-코팅한 후 16O℃에서 60 초 동안 베이킹하였다. VASE®를 사용하여, 광학 상수를 측정하였다. 193 nm에서 필름의 n 값은 1.45이다. 193 nm에서 필름의 k 값은 0.083이다. 248 nm에서 필름의 n 값은 1.46이다. 248 nm에서 필름의 k 값은 0.029이다.
그 후, 실시예 1에 설명된 과정을 사용하는 EL 스트리핑, 다크 손실, 및 습식 현상 테스트를 사용하여 필름의 용매 저항성을 테스트하였다. 결과는 아래 표 2에 요약된다.
Figure pct00012
그 후, 패턴화된 필름이 패턴-전이 층으로 작용하는 능력을 평가하기 위하여, CF4, 아르곤, 및 산소 기체의 혼합물을 사용하여 제제 5에 대한 블랭킷 식각 속도(blanket etch rate)를 결정하였다. 표 3은 사용된 조건 및 45-초 식각에 따른 식각 속도를 나타낸다.
Figure pct00013
식각 속도와 기체 혼합물 사이의 상관관계를 분석하고 도 6에 나타냈다. 어떠한 주어진 조건에서의 식각 속도도 일반적으로 동일 조건에서의 유기 레지스트 또는 하부 무-반사 코팅 재료보다 더 늦다. 이러한 하드마스크 제제는 순수한 산소 플라스마 식각에서 우수한 식각 저항을 나타내는 반면, CF4와 산소(약 1:2 비율)의 혼합물은 상당히 빠른 식각을 제공한다.
실시예 7
하드마스크 제제 6의 제조
본 과정에서, 240 mg의 POSS® Octa Amic Acid(평균 입자 크기 1.5 nm) (POSS® Nanostructurcd® Chemical, Hybrid Plastics™, Hattiesburg, MS사로부터 구입), 80 mg의 인-하우스 비닐 에테르 가교제(Brewer Science, Inc., Rolla, MO사로부터 구입, 실시예 9 참조), 9.6 mg의 트리페닐 술포늄 트리플레이트 PAG(Aldrich, St. Louis, MO)를 9.674 그램의 디메틸-아세트아마이드(Aldrich. St. Louis, MO)에 용해시켜 전체 10 그램의 용액을 만들어서, 또 다른 하드마스크 제제를 제조하였다. 상기 용액을 20 mL 유리 바이알에서 하룻밤 동안 실온에서 혼합시킨 후 입자 여과기를 통하여 여과시켰다.
Figure pct00014
POSS® Octa Amic Acid의 화학 구조식
제제 6을 실리콘 기판에 1,500 rpm에서 스핀-코팅한 후 다양한 온도(예를 들면, 13O℃, 155℃, 17O℃)에서 60초 동안 베이킹하였다. 산출된 필름을 빛 노출 없이 포토레지스트 현상제에 침적시켜 다크 손실을 평가하였다. 130℃ 베이킹 이후, 필름은 완전히 스트리핑 되었다(100% 다크 손실). 155℃에서 베이킹 이후, 두께는 87.9 nm에서 39.9 nm로 변화하였으며(54.6% 다크 손실), 170℃ 베이킹 이후, 두께는 72.5 nm에서 70.8 nm로 변화하였다(2.3% 다크 손실).
실시예 8
삼작용성 비닐 에테르 가교제 제제
본 실시예에서, 아래 과정에 따라 삼작용성 비닐 에테르 가교제를 제조하였다.
Figure pct00015
먼저, 6 그램의 에틸렌 글리콜 비닐 에테르 및 7.5 ml의 트리에틸 아민을 40 ml의 에테르에서 혼합시킨 후, 에테르에 용해된 염화트리메스산(trimesic acid chloride)(6 그램)(40%)를 적하시켜 처리하였다. 첨가 이후, 혼합물을 1.5시간 동안 가열하면서 환류시켰다. 잔류 염을 여과에 의해 제거하고, 에테르 용액을 10% NaOH(2 x 25 ml)로 세척한 후, 물(25 ml)로 세척하고, 그 후 무수 마그네슘 술페이트로 건조시켰다. 가압 하에서 용매를 제거하고, 밝은 노란색 오일을 수집하였다. 전체 수득률은 69%이었다.
실시예 9
추가 비닐 에테르 가교제 제제
본 과정에서, 25.15 그램의 테트라메틸렌 글리콜 모노비닐 에테르(Aldrich, St Louis, MO), 22.91 그램의 트리에틸아민(Aldrich, St Louis, MO), 및 250 ml 테트라하이드로푸란("THF"; Aldrich, St. Louis, MO)을 500-ml짜리 2-목 플라스크에 넣어, 또 다른 삼작용성 비닐 에테르 가교제를 제조하였다. 상기 플라스크에 교반 막대, 첨가 깔때기, 콘덴서, 및 질소 유입구 및 출구를 장착하였다. 상기 플라스크를 얼음물 욕조에 담그고, 질소를 흘려보내면서 용액을 교반시켰다.
그 후, 20.00 그램의 1,3-5-벤젠트리카르보닐 트리클로라이드(Aldrich, St. Louis, MO)를 250-ml 엘렌마이어 플라스크 안에서 50 ml THF에 용해시켰다. 이러한 용액을 500-ml 2-목 플라스크 위에 놓인 첨가 깔때기로 옮기고 테트라메틸렌 글리콜 모노비닐 에테르/트리에틸아민/THF 용액에, 교반하면서, 첨가가 완료될 때까지, 약 15분 동안 적가하였다. 접촉할 때 백색 침전물이 형성되었다. 상기 플라스크를 얼음 욕조에서 꺼내고 슬러리를 플라스크 내에서 실온이 되게 하였는데, 이는 약 16시간이 걸렸다. 그 후 슬러리를 4시간 동안 가열하면서 환류시켰다. 그 후 플라스크를 가열하는 것을 멈추고, 실온까지 냉각시켰다. 그 후 슬러리를 흡입 여과 장치를 사용하여 여과시키고, 회전 증발기를 사용하여 농축시켜 점착성인 노란색 액체를 산출하였다.
이러한 액체를 100 ml의 디에틸에테르(Aldrich, St. Louis, MO)에 용해시키고 수성인 12.5% TMAH(Aldrich. St. Louis, MO) 25-ml로 2회 세척하였다. 에테르 층을 분별 깔때기를 사용하여 빼낸 후 탈이온수 50-ml로 2회 세척하였다. 에테르 층을 가라앉게 하고 수집하였다. 에테르 층을 5.0 g의 활성 염기 알루미나와 혼합시켜 건조시켰다. 혼합물을 1시간 동안 교반하고 중력 여과하였다. 맑은 노란색 액체가 회전증발기에서 농축되어 노란색의 점착성 오일을 산출하였다. 전체 산출량은 약 29.28 그램이었다(77% 수득률).

Claims (26)

  1. (a) 표면을 갖는 기판을 제공하는 단계;
    (b) 선택사항으로, 상기 표면 위에 하나 이상의 중간층을 형성하는 단계;
    (c) 하드마스크 조성물을, 중간층이 있는 경우에는 상기 중간층에 근접하여 도포하거나, 또는 중간층이 없는 경우에는 상기 기판 표면에 근접하여 도포하는 단계, 여기서 상기 하드마스크 조성물은 용매계에 용해되거나 분산된 비-중합체 나노입자를 포함함;
    (d) 상기 하드마스크 조성물을 베이킹하여 하드마스크 층을 산출하는 단계;
    (e) 상기 하드마스크 층을 복사선(radiation)에 노출시켜 상기 하드마스크의 노출된 영역을 산출하는 단계; 및
    (f) 상기 하드마스크 층을 현상제와 접촉시켜 상기 하드마스크 층의 상기 노출된 영역을 제거하는 단계;
    를 포함하는, 마이크로전자 구조물 제조 방법.
  2. 청구항 1에 있어서, 상기 노출시키는 단계 이전에, 이미지 층을 상기 하드마스크 층에 도포하는 단계를 더욱 포함하는, 마이크로전자 구조물 제조 방법.
  3. 청구항 2에 있어서, 상기 노출 단계는 상기 이미지 층의 노출된 영역을 산출하고 상기 접촉 단계는 상기 하드마스크 층의 상기 노출된 영역 및 상기 이미지 층의 상기 노출된 영역을 동시에 제거함을 특징으로 하는, 마이크로전자 구조물 제조 방법.
  4. 청구항 1에 있어서, 상기 베이킹 단계는 상기 조성물 내 나노입자를 가교결합시키고, 상기 나노입자의 가교결합된 매트릭스를 산출하여 상기 하드마스크 층을 형성함을 특징으로 하는, 마이크로전자 구조물 제조 방법.
  5. 청구항 4에 있어서, 상기 노출단계는 상기 매트리스를 가교결합 분해하는 단계를 포함하는, 마이크로전자 구조물 제조 방법.
  6. 청구항 1에 있어서, 상기 중간층은 스핀-온 탄소층, 무정형 탄소층, 하부 무-반사 코팅, 및 평탄화 층으로 구성된 군으로부터 하나 이상 선택되는, 마이크로전자 구조물 제조 방법.
  7. 청구항 6에 있어서, 상기 노출 단계는 상기 중간층의 노출된 영역을 산출하고 상기 접촉 단계는 상기 하드마스크 층의 상기 노출된 영역 및 상기 중간층의 상기 노출된 영역을 동시에 제거함을 특징으로 하는, 마이크로전자 구조물 제조 방법.
  8. 청구항 1에 있어서, 상기 하드마스크 층은 상기 노출 단계 이전에 염기 현상제에 대한 초기 용해도를 가지며, 상기 하드마스크 층의 상기 노출된 영역은 염기 현상제에 대한 최종 용해도를 가지며, 상기 최종 용해도는 상기 초기 용해도보다 더 큼을 특징으로 하는, 마이크로전자 구조물 제조 방법.
  9. 청구항 1에 있어서, 상기 나노입자는 실리콘, 티타늄, 하프늄, 아연, 지르코늄, 주석, 알루미늄, 세륨, 탄탈, 바나듐, 게르마늄, 카드뮴, 셀레늄, 이들의 산화물, 수산화물, 셀레나이드(selenide), 및 황화물로 구성된 군으로부터 하나 이상 선택되는 금속을 포함하는, 마이크로전자 구조물 제조 방법.
  10. 청구항 1에 있어서, 상기 하드마스크 조성물은 상기 나노입자를 갖는 상기 용매계에 분산되거나 용해된 비닐 에테르 가교제를 더욱 포함하는, 마이크로전자 구조물 제조 방법.
  11. 마이크로전자 소자 제조에 유용한 조성물에 있어서, 상기 조성물은
    용매계에 용해되거나 분산된 비-중합체 나노입자를 포함하며, 여기서 상기 조성물은 현상제 가용성임을 특징으로 하는, 조성물.
  12. 청구항 11에 있어서, 상기 나노입자는 평균 입자 크기가 약 15 nm 미만임을 특징으로 하는, 조성물.
  13. 청구항 11에 있어서, 상기 나노입자는 실리콘, 티타늄, 하프늄, 아연, 지르코늄, 주석, 알루미늄, 세륨, 탄탈, 바나듐, 게르마늄, 카드뮴, 셀레늄, 이들의 산화물, 수산화물, 셀레나이드, 및 황화물로 구성된 군으로부터 하나 이상 선택되는 금속을 포함하는, 조성물.
  14. 청구항 11에 있어서, 상기 나노입자는 콜로이드 실리카, 폴리헤드랄 올리고머릭 실세스퀴옥산 분자, 및 이들의 조합으로 구성된 군으로부터 선택됨을 특징으로 하는, 조성물.
  15. 청구항 11에 있어서, 상기 나노입자는 산 작용기(acid functional group)를 포함함을 특징으로 하는, 조성물.
  16. 청구항 15에 있어서, 상기 산 그룹은 하이드록실 그룹, 카르복실 그룹, 페놀류, 플루오로알코올 및 플루오로아크릴아마이드로 구성된 군으로부터 하나 이상 선택됨을 특징으로 하는, 조성물.
  17. 청구항 11에 있어서, 상기 조성물은, 조성물 전체의 중량을 100중량%로 취한 것을 기준으로, 약 0.1 중량% 내지 약 5중량%의 상기 나노입자를 포함하는, 조성물.
  18. 청구항 11에 있어서, 상기 나노입자를 갖는 상기 용매계에 분산되거나 용해된 비닐 에테르 가교제를 더욱 포함하는, 조성물.
  19. 청구항 11에 있어서, 상기 조성물은 광산 발생제를 더욱 포함하는, 조성물.
  20. 표면을 갖는 기판;
    선택사항으로, 상기 기판 표면 상부의 하나 이상의 중간층; 및
    중간층이 있는 경우에는 상기 중간층에 근접하거나 또는 중간층이 없는 경우에는 상기 기판 표면에 근접한 하드마스크 층, 여기서 상기 하드마스크 층은 가교결합된 비-중합체 나노입자를 포함하며 현상제 가용성임;
    을 포함하는, 마이크로전자 구조물.
  21. 청구항 20에 있어서, 상기 나노입자는 비닐 에테르 가교제와 가교결합됨을 특징으로 하는, 마이크로전자 구조물.
  22. 청구항 20에 있어서, 상기 하드마스크 층에 근접하는 이미지 층을 더욱 포함하는, 마이크로전자 구조물.
  23. 청구항 20에 있어서, 상기 하드마스크 층은 패턴(pattern)을 포함하는, 마이크로전자 구조물.
  24. 청구항 23에 있어서, 상기 패턴은 비아(via) 및 트랜치(trench)로 구성된 군으로부터 선택되는, 마이크로전자 구조물.
  25. 청구항 23에 있어서, 상기 패턴화된 하드마스크 층에 근접한 이미지 층을 더욱 포함하는, 마이크로전자 구조물.
  26. 청구항 20에 있어서, 상기 기판은 실리콘, SiGe, SiO2, Si3N4, 알루미늄, 텅스텐, 텅스텐 실리사이드, 비소화갈륨, 게르마늄, 탄탈, 탄탈 질화물, 산호(coral), 블랙 다이아몬드, 인 또는 붕소 도핑된 유리, 및 이들의 조합으로 구성된 군으로부터 선택되는, 마이크로전자 구조물.
KR1020107026188A 2008-04-23 2009-04-21 마이크로리소그래피용 감광성 하드마스크 KR101697790B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US4730208P 2008-04-23 2008-04-23
US61/047,302 2008-04-23
PCT/US2009/041282 WO2009132023A2 (en) 2008-04-23 2009-04-21 Photosensitive hardmask for microlithography

Publications (2)

Publication Number Publication Date
KR20110020780A true KR20110020780A (ko) 2011-03-03
KR101697790B1 KR101697790B1 (ko) 2017-02-01

Family

ID=41217392

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107026188A KR101697790B1 (ko) 2008-04-23 2009-04-21 마이크로리소그래피용 감광성 하드마스크

Country Status (7)

Country Link
US (1) US7939244B2 (ko)
JP (1) JP5739325B2 (ko)
KR (1) KR101697790B1 (ko)
CN (1) CN102016724B (ko)
DE (1) DE112009000979B4 (ko)
TW (1) TWI440069B (ko)
WO (1) WO2009132023A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013078211A1 (en) * 2011-11-21 2013-05-30 Brewer Science Inc. Assist layers for euv lithography
KR20140078551A (ko) * 2012-12-17 2014-06-25 도쿄엘렉트론가부시키가이샤 성막 방법, 컴퓨터 기억 매체 및 성막 장치

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8206996B2 (en) * 2006-03-28 2012-06-26 Lam Research Corporation Etch tool process indicator method and apparatus
WO2012044921A1 (en) 2010-10-01 2012-04-05 St. Jude Children's Research Hospital Methods and compositions for typing molecular subgroups of medulloblastoma
US8647809B2 (en) * 2011-07-07 2014-02-11 Brewer Science Inc. Metal-oxide films from small molecules for lithographic applications
JP6410256B2 (ja) 2012-01-19 2018-10-24 ブルーワー サイエンス アイ エヌ シー. アダマンチル基を含む非ポリマー性反射防止組成物
CN104380194B (zh) * 2012-04-16 2019-05-31 布鲁尔科技公司 用于导向自组装的硅硬掩模层
CN102723260B (zh) * 2012-06-18 2015-07-01 中国电子科技集团公司第四十六研究所 一种可自剥离的氮化物半导体材料生长方法
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
US9541834B2 (en) * 2012-11-30 2017-01-10 Rohm And Haas Electronic Materials Llc Ionic thermal acid generators for low temperature applications
US9348228B2 (en) 2013-01-03 2016-05-24 Globalfoundries Inc. Acid-strippable silicon-containing antireflective coating
WO2014165530A1 (en) * 2013-04-03 2014-10-09 Brewer Science Inc. Highly etch-resistant polymer block for use in block copolymers for directed self-assembly
CN106104754B (zh) 2014-01-16 2020-07-28 布鲁尔科技公司 用于直接自组装的高chi嵌段共聚物
US9330221B2 (en) * 2014-05-23 2016-05-03 Globalfoundries Inc. Mask-aware routing and resulting device
US9583358B2 (en) 2014-05-30 2017-02-28 Samsung Electronics Co., Ltd. Hardmask composition and method of forming pattern by using the hardmask composition
KR102287343B1 (ko) * 2014-07-04 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
KR102287344B1 (ko) 2014-07-25 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
KR20160029900A (ko) 2014-09-05 2016-03-16 삼성전자주식회사 반도체 소자의 제조 방법
KR102384226B1 (ko) 2015-03-24 2022-04-07 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴 형성방법
KR102463893B1 (ko) 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US10712483B2 (en) 2015-08-24 2020-07-14 Samsung Electronics Co., Ltd. Photosensitive compositions, quantum dot polymer composite pattern prepared therefrom, and electronic devices including the same
KR102631400B1 (ko) 2015-10-22 2024-01-29 삼성전자주식회사 감광성 조성물, 이로부터 제조된 양자점-폴리머 복합체 패턴, 및 이를 포함하는 전자 소자
KR102527764B1 (ko) 2015-12-17 2023-05-02 삼성전자주식회사 감광성 조성물, 이를 제조하기 위한 방법, 및 이로부터 제조된 양자점-폴리머 복합체 패턴
KR20170098173A (ko) * 2016-02-19 2017-08-29 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법
US10120277B2 (en) * 2016-02-19 2018-11-06 Jsr Corporation Radiation-sensitive composition and pattern-forming method
JP6389839B2 (ja) * 2016-03-23 2018-09-12 株式会社先端ナノプロセス基盤開発センター 感光性組成物およびパターン形成方法
US9929012B1 (en) 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
US11034847B2 (en) 2017-07-14 2021-06-15 Samsung Electronics Co., Ltd. Hardmask composition, method of forming pattern using hardmask composition, and hardmask formed from hardmask composition
KR102433666B1 (ko) 2017-07-27 2022-08-18 삼성전자주식회사 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
KR102486388B1 (ko) 2017-07-28 2023-01-09 삼성전자주식회사 그래핀 양자점의 제조방법, 상기 제조방법에 따라 얻어진 그래핀 양자점을 포함한 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
JP7045381B2 (ja) * 2017-08-30 2022-03-31 富士フイルム株式会社 パターン形成方法、イオン注入方法、積層体、及び、電子デバイスの製造方法
WO2019241402A1 (en) * 2018-06-13 2019-12-19 Brewer Science, Inc. Adhesion layers for euv lithography

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030203314A1 (en) * 2002-04-29 2003-10-30 Michael Sebald Process for producing hard masks
KR20060064007A (ko) * 2003-11-25 2006-06-12 도오꾜오까고오교 가부시끼가이샤 화학증폭형 포지티브 감광성 열경화성 수지 조성물, 경화물품의 형성 방법, 및 기능 소자의 제조 방법
JP2007025012A (ja) * 2005-07-13 2007-02-01 Nippon Zeon Co Ltd 樹脂組成物
KR20080028335A (ko) * 2006-09-26 2008-03-31 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. 포토리소그래피용 코팅 조성물

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05163021A (ja) * 1991-12-13 1993-06-29 Ishihara Sangyo Kaisha Ltd フォトレジスト用酸化チタン微粉末、およびそれを使用するフォトレジスト用組成物
JP3798080B2 (ja) 1996-08-20 2006-07-19 富士写真フイルム株式会社 ベンゾアゼピン構造を有する芳香族三級アミン化合物
JP4022312B2 (ja) * 1998-05-08 2007-12-19 株式会社Kri レジスト組成物およびパターン形成方法
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US7070914B2 (en) 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
US20040185674A1 (en) * 2003-03-17 2004-09-23 Applied Materials, Inc. Nitrogen-free hard mask over low K dielectric
US7364832B2 (en) 2003-06-11 2008-04-29 Brewer Science Inc. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
TW200510934A (en) * 2003-06-20 2005-03-16 Zeon Corp Radiation-sensitive resin composition and method for forming pattern using the composition
US7223517B2 (en) * 2003-08-05 2007-05-29 International Business Machines Corporation Lithographic antireflective hardmask compositions and uses thereof
US7550097B2 (en) * 2003-09-03 2009-06-23 Momentive Performance Materials, Inc. Thermal conductive material utilizing electrically conductive nanoparticles
US7270931B2 (en) * 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
US7241682B2 (en) * 2004-02-27 2007-07-10 Taiwan Seminconductor Manufacturing Co., Ltd. Method of forming a dual damascene structure
US6900134B1 (en) * 2004-03-18 2005-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming openings in a substrate using bottom antireflective coatings
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US20070207406A1 (en) * 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20050255410A1 (en) 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US7638266B2 (en) * 2004-08-12 2009-12-29 International Business Machines Corporation Ultrathin polymeric photoacid generator layer and method of fabricating at least one of a device and a mask by using said layer
US20060166132A1 (en) * 2005-01-27 2006-07-27 Meagley Robert P Ultraviolet light transparent nanoparticles for photoresists
US7341939B2 (en) * 2005-02-18 2008-03-11 Taiwan Semiconductor Maunfacturing Co., Ltd. Method for patterning micro features by using developable bottom anti-reflection coating
US7524606B2 (en) * 2005-04-11 2009-04-28 Az Electronic Materials Usa Corp. Nanocomposite photoresist composition for imaging thick films
CN100437160C (zh) * 2005-07-22 2008-11-26 鸿富锦精密工业(深圳)有限公司 彩色光阻的制造方法
KR100703007B1 (ko) * 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
US7914974B2 (en) * 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
US8168372B2 (en) * 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
KR101332227B1 (ko) * 2006-11-29 2013-11-22 주식회사 동진쎄미켐 유기 반사방지막 형성용 단량체, 중합체 및 이를 포함하는유기 조성물
US8053368B2 (en) * 2008-03-26 2011-11-08 International Business Machines Corporation Method for removing residues from a patterned substrate
US7919225B2 (en) * 2008-05-23 2011-04-05 International Business Machines Corporation Photopatternable dielectric materials for BEOL applications and methods for use
US8455176B2 (en) * 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
US8182978B2 (en) * 2009-02-02 2012-05-22 International Business Machines Corporation Developable bottom antireflective coating compositions especially suitable for ion implant applications
US8313889B2 (en) * 2009-04-01 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning method using metallic compound mask layer
US20100255412A1 (en) * 2009-04-06 2010-10-07 Sam Xunyun Sun Photo-imaging Hardmask with Negative Tone for Microphotolithography
US8911932B2 (en) * 2009-04-13 2014-12-16 Sam Xunyun Sun Photo-imageable hardmask with positive tone for microphotolithography
US8822347B2 (en) * 2009-04-27 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Wet soluble lithography

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030203314A1 (en) * 2002-04-29 2003-10-30 Michael Sebald Process for producing hard masks
KR20060064007A (ko) * 2003-11-25 2006-06-12 도오꾜오까고오교 가부시끼가이샤 화학증폭형 포지티브 감광성 열경화성 수지 조성물, 경화물품의 형성 방법, 및 기능 소자의 제조 방법
JP2007025012A (ja) * 2005-07-13 2007-02-01 Nippon Zeon Co Ltd 樹脂組成物
KR20080028335A (ko) * 2006-09-26 2008-03-31 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. 포토리소그래피용 코팅 조성물

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013078211A1 (en) * 2011-11-21 2013-05-30 Brewer Science Inc. Assist layers for euv lithography
KR20140078551A (ko) * 2012-12-17 2014-06-25 도쿄엘렉트론가부시키가이샤 성막 방법, 컴퓨터 기억 매체 및 성막 장치

Also Published As

Publication number Publication date
DE112009000979B4 (de) 2014-12-11
JP5739325B2 (ja) 2015-06-24
WO2009132023A2 (en) 2009-10-29
CN102016724A (zh) 2011-04-13
KR101697790B1 (ko) 2017-02-01
TWI440069B (zh) 2014-06-01
US20090297784A1 (en) 2009-12-03
DE112009000979T5 (de) 2011-02-17
CN102016724B (zh) 2014-07-02
US7939244B2 (en) 2011-05-10
JP2011519063A (ja) 2011-06-30
TW201003735A (en) 2010-01-16
WO2009132023A3 (en) 2010-01-28

Similar Documents

Publication Publication Date Title
KR101697790B1 (ko) 마이크로리소그래피용 감광성 하드마스크
JP5357186B2 (ja) 多重暗視野露光によるハードマスクのパターン形成のためのオントラックプロセス
JP6109164B2 (ja) リソグラフィー用途用の小分子由来の金属酸化物フィルム
EP2399169B1 (en) Acid-sensitive, developer-soluble bottom anti-reflective coatings
JP7008160B2 (ja) 金属酸化物ナノ粒子及び有機ポリマーを含むスピンオン材料の組成物
CN105492972A (zh) 作为硬掩模和填充材料的稳定的金属化合物、其组合物以及使用方法
KR101697789B1 (ko) 감광성이며 현상액-용해성인 193-nm 리소그래피를 위한 이중층 바닥 반사-방지 코팅을 사용하여 마이크로일렉트로닉 구조물을 제작하는 방법
KR20150008098A (ko) 유도된 자기-조립을 위한 실리콘 하드마스크 층
WO2014171984A2 (en) Silicon-containing antireflective coatings including non-polymeric silsesquioxanes
CN112034681A (zh) 有机膜形成用组成物、图案形成方法、以及聚合物
Ouattara et al. EUV assist layers for use in multilayer processes

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant