CN102016724A - 用于微型光刻的光敏性硬掩模 - Google Patents

用于微型光刻的光敏性硬掩模 Download PDF

Info

Publication number
CN102016724A
CN102016724A CN200980114886XA CN200980114886A CN102016724A CN 102016724 A CN102016724 A CN 102016724A CN 200980114886X A CN200980114886X A CN 200980114886XA CN 200980114886 A CN200980114886 A CN 200980114886A CN 102016724 A CN102016724 A CN 102016724A
Authority
CN
China
Prior art keywords
hard mask
layer
composition
nano particle
mask layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200980114886XA
Other languages
English (en)
Other versions
CN102016724B (zh
Inventor
徐昊
R-M·L·梅尔卡多
D·J·格雷罗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Brewer Science Inc
Original Assignee
Brewer Science Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brewer Science Inc filed Critical Brewer Science Inc
Publication of CN102016724A publication Critical patent/CN102016724A/zh
Application granted granted Critical
Publication of CN102016724B publication Critical patent/CN102016724B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/114Initiator containing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/128Radiation-activated cross-linking agent containing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/151Matting or other surface reflectivity altering material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24851Intermediate layer is discontinuous or differential
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24893Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including particulate material

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Polymerisation Methods In General (AREA)

Abstract

本发明提供了一种新颖的硬掩模组合物,该组合物包含分散或溶解在溶剂体系中的非聚合物型的含金属的纳米颗粒,还提供了将这些组合物在微电子结构中用作硬掩模层的方法。所述组合物是光敏性的,能够一经辐射曝光就变得可溶于显影剂。本发明的硬掩模层与光刻胶层同时形成图案,为随后的图案转移提供抗等离子体蚀刻性。

Description

用于微型光刻的光敏性硬掩模
相关申请的交叉参考
本申请要求2008年4月23日提交的名为“用于微型光刻的光敏性硬掩模(PHOTOSENSITIVE HARDMASK FOR MICROLITHOGRAPHY)”的临时申请系列号第61/047,302号的优先权,该申请参考结合入本文中。
发明领域
本发明一般涉及可以使用极薄的光刻胶层形成微电子结构的组合物和微型光刻法。
背景技术
现有技术说明
通过显微光刻法制造的半导体结构的密度和尺寸反映了微电子制造的进展。对于高密度和小临界尺寸(CD)的需求不断将光刻技术推向其极限。为了跟上半导体工业的步伐,需要将下一代的形成图案材料和创新性的光刻法综合用于高分辨率光刻。由于临界特征尺寸减小到32纳米以及低于32纳米,而另一方面,印刷的线路的长宽比有一定的限制,以避免可能发生的线路破坏,因此人们广泛接受采用薄的光刻胶,以获得较好的分辨率和大的焦深(DOF)。人们采用瑞利定律定义图案分辨率和焦深(DOF):
分辨率=k1λ/NA;以及
DOF=k2λ/NA2
其中λ是辐射波长,NA是曝光器具的数值孔径,k1和k2是特定工艺的常数。瑞利的理论表明,用短波长和大数值孔径曝光的曝光器具能够获得更好的图案分辨率。正因为这个原理,微电子工业逐渐地采用短曝光波长。但是,瑞利定律还表明分辨率的提高会造成DOF降低。使用薄的光刻胶会造成k1值减小,k2值增大,由此获得较好的分辨率和大的DOF。但是,减小的光刻胶厚度无法提供足够的抗蚀性以将图案转移到基片中,对于193纳米的ArF光刻尤为如此。由于透光性的要求,不能在ArF抗蚀剂内加入芳族结构,因此大部分ArF抗蚀剂的蚀刻速度甚至比以前的光刻胶更快。为了获得更好的分辨率,需要更薄的光刻胶,而为了获得图案转移需要足够的蚀刻余量,为了解决此二者之间的矛盾,目前仅有几个工艺和材料的解决方案。
在一种方法中,在多层蚀刻层叠体中添加了含硅或者含金属的硬掩模,以帮助提供完全的图案转移。通常使用反应离子蚀刻(RIE)打开光刻胶之下的硬掩模层。很明显,所述硬掩模-光刻胶蚀刻选择性决定了光刻胶可以有多薄。不幸的是,在常用的硬掩模等离子体蚀刻化学条件下,几乎所有的目前可采用的光刻胶仍然会较快地蚀刻。因此,仍然需要相当厚的光刻胶来进行高分辨率光刻。
另一种解决方案是使用可溶于显影剂的下层材料,从而省去在其他情况下需要采用的蚀刻步骤。文献已描述可各向同性显影的光敏性底部减反射涂层。但是,在可各向同性显影的底部减反射涂层中,很难控制下部凹进现象。对于光敏性的可各向异性显影的底部减反射涂层,一个主要的问题是当将所述减反射涂层涂覆在基片的形貌上的时候该涂层的清除以及CD均匀性。另一方面,如果将其涂覆在旋涂碳(SOC)平面化层顶上,这些有机底部减反射涂料无法有效地用作硬掩模。
更近一些时候,直到人们能够采用小于193纳米(例如13.5纳米)的曝光波长之前,对下一个印刷节点进行多次曝光的技术成为了仅有的可行的选择。人们已经研究并报道了许多用于多次曝光技术的工艺方案。一些方案使用明视场掩模,其中只有少部分的光刻胶,例如线条受到保护而不被曝光,而剩余部分的光刻胶都曝光。然后使得所述光刻胶与显影剂接触,除去光刻胶的曝光部分,从而仅在硬掩模层上留下未曝光的光刻胶部分(即线条)。通过蚀刻除去除了位于光刻胶的未曝光部分之下的区域以外的硬掩模层,将所述图案转移到硬掩模中。重复该过程,直至获得所需的图案。在暗视场曝光工艺中,大部分光刻胶受到保护未被曝光,而仅有小部分光刻胶曝光,在显影后被除去。与明视场的情况相同,随后必须使用蚀刻工艺将所述图案转移到硬掩模中。
同样,ArF浸没光刻以及双重图案形成一起提供了更为现实的32纳米和22纳米半节距节点制造的方案。但是,即使与EUV光刻相比,现有的平版印刷-蚀刻-平版印刷-蚀刻(LELE)工艺也是非常昂贵的。
因此,许多现有的工艺仍然需要干蚀刻步骤,将图案转移到下面的层中。所述干蚀刻步骤使得工艺复杂,提高了相关的成本和时间。因此,本领域需要一种保护材料,该保护材料可用作硬掩模层,用于平民化层之上,或者用于多次曝光工艺中,由此省去蚀刻步骤,以便使用极薄的光刻胶层用来提高DOF和CD控制。人们还需要可以用于ArF浸没光刻以及双重图案形成的硬掩模层。
发明内容
本发明通过广泛地提供了用来形成微电子结构的组合物,用来形成所述结构的方法,以及该结构,克服了这些问题。本发明提供了一种硬掩模组合物,所述组合物能够减小所需的光刻胶厚度,甚至省去所述光刻胶,与此同时,能够通过省去蚀刻步骤而缩短工艺时间。另外,本发明提供了一种材料,所述材料可以简单地通过旋涂施加,与常规的氮化硅/氧化硅化学气相沉积(CVD)工艺相比,所述材料需要较少的时间,而且较为廉价。另外,特别是当本发明与多次图案形成工艺相结合的时候,本发明可以继续将CD缩小到并低于32纳米的节点,同时通过省去蚀刻步骤,还降低现有的多层制造的成本。
更具体来说,本发明提供了一种可以用来形成微电子器件的组合物。所述组合物包含溶解或分散在溶剂体系中的非聚合物型纳米颗粒。较佳的是,所述组合物可溶于显影剂。
本发明还提供了形成微电子结构的方法。所述方法包括提供具有表面的基片。任选地在所述基片的表面上形成一层或多层中间层。硬掩模组合物施加在与所述中间层(如果有的话)相邻的位置,或者与基片表面相邻的位置(如果没有中间层的话)。所述硬掩模组合物包含溶解或分散在溶剂体系中的非聚合物型纳米颗粒。对硬掩模组合物进行烘烤,在中间层(如果存在的话)上形成硬掩模层,或者在基片表面(如果没有中间层的话)上形成硬掩模层。对所述硬掩模层进行辐射曝光,形成硬掩模层的曝光部分。较佳的是,使得所述硬掩模层与显影剂接触,从而除去硬掩模层的曝光部分。
本发明还提供了一种微电子结构,所述结构包括具有表面的基片,在所述基片表面上任选地包括一层或多层中间层,以及与所述中间层(如果存在的话)相邻的硬掩模层,或者与所述基片表面相邻的(如果不存在中间层)硬掩模层。所述硬掩模层包括交联的非聚合物型纳米颗粒。较佳的是,所述硬掩模层可溶于显影剂。
附图说明
本专利或申请文件包括至少一幅彩色的附图。本专利或专利申请公开的包括彩色附图的副本将在请求和支付所需的费用之后由专利局提供。
图1(A)-(D)是本发明方法形成的结构(未按比例)的示意图;
图2是实施例1的硬掩模制剂2的层厚度(单位为纳米)-曝光剂量(单位为毫焦/厘米2)的对比曲线;
图3是在实施例3中使用硬掩模制剂2形成的图案的扫描电子显微(SEM)照片;
图4是实施例4的硬掩模制剂3的层厚度(单位为纳米)-曝光剂量(单位为毫焦/厘米2)的对比曲线;
图5是实施例5的三层层叠体(底部减反射涂层,硬掩模制剂4,以及光刻胶)的厚度(单位为纳米)-曝光剂量(单位为毫焦/厘米2)的对比曲线;以及
图6显示了实施例6中使用CF4,Ar和O2气体混合物的制剂5的蚀刻速率(单位为纳米/分钟)。
具体实施方式
本发明的组合物
本发明的组合物是可热固化的(即可交联的),并且在用选定波长的辐射曝光之后,可溶于碱性显影剂(即可湿显影的)。也就是说,所述组合物在固化后优选都不溶于有机溶剂和光刻胶显影剂,但是一经辐射曝光就可解交联(decrosslink)并可以用显影剂除去(即所述硬掩模组合物是光敏性的且可溶于显影剂的)。这使得硬掩模层的曝光部分和未曝光部分具有不同的溶解速率,使曝光的部分能被除去,同时不会除去未被曝光的部分。在本文中使用的术语“可溶于显影剂”或者“可湿显影的”表示所述组合物一经曝光就能够变得可溶于碱性显影剂,因此可以如本文所述基本上用常规的水性显影剂除去。
更详细地,本发明的组合物包含分散或溶解在溶剂体系中的非聚合物型纳米颗粒。以所述组合物的总重量为100重量%计,所述组合物优选包含约0.1-5重量%的纳米颗粒,优选约1-3重量%的纳米颗粒,更优选约1.5-2.5重量%的纳米颗粒。在本文中使用的术语“纳米颗粒”包括最大尺寸(任一维度)约为1-100纳米,以单独单元的形式按照其传输和性质起作用的颗粒,包括超细固体颗粒,胶体颗粒,两种或更多种共价结合的原子的聚集体(即分子),表面上带有电荷的颗粒(即多原子离子),以及其他微细的微粒材料。合适的纳米颗粒可以从市场上购得,可以由以下来源得到:纳米颗粒的水性或有机胶体分散体(例如购自美国得克萨斯州,休斯敦市,尼桑化学公司(Nissan Chemical,Houston,TX)的胶体二氧化硅),干粉末(例如购自美国密西西比州哈迪斯堡(Hattiesburg,MS)的混合塑料公司(Hybrid PlasticsTM)的POSS
Figure BPA00001250271800051
 NANOSTRUCTURED
Figure BPA00001250271800052
化学品),以及/或者美国纽约州罗切斯特市(Rochester,NY)的Meliorum。所述干粉末通常可以以微米尺寸的聚集体形式获得,然后进行分散,以获得纳米尺度。或者可以使用纳米粉末。在本文中使用的术语“非聚合物型”表示离散的纳米颗粒,其不会形成约超过10个聚合重复单元,但是在所述组合物中仍然保持分离和独立。优选用于本发明组合物的纳米颗粒的平均粒度约小于15纳米,更优选约为1-10纳米,更加优选约为1-5纳米。在本文中使用的“平均粒度”定义为纳米颗粒的最大的表面与表面之间的尺寸(也就是说,对于球形颗粒,则表示直径)。
纳米颗粒优选包含金属,可以包含任意种类的金属(包括元素的金属)或金属合金。优选的金属选自下组:硅(Si),钛(Ti),铪(Hf),锌(Zn),锆(Zr),锡(Sn),铝(Al),铈(Ce),钽(Ta),钒(V),锗(Ge),镉(Cd),硒(Se),上述元素的氧化物、氢氧化物、硒化物、硫化物,以及它们的组合。以所述组合物的固体总重量为100重量%计,所述组合物优选包含约4-80重量%的金属,更优选约为20-80重量%的金属,更加优选约为60-80重量%重量的金属。
所述纳米颗粒还优选包含酸官能团。更优选地,所述纳米颗粒的各个表面用一种或更多种酸基团官能化(即酸官能化的纳米颗粒)。优选的酸基团选自羟基(-OH),羧基(-COOH),酚类,氟代醇,氟代丙烯酰胺,以及它们的组合。
Figure BPA00001250271800061
合适的纳米颗粒可以在市场上购得,具有酸官能团。或者,所述纳米颗粒可以通过已知的方法,例如配体交换来官能化。较佳的是,所述纳米颗粒每个颗粒包含至少约3个酸基团,更优选每个颗粒包含约5个或更多个酸基团,更加优选每个颗粒包含约10个或更多个酸基团。
特别优选的组合物包含溶解或分散在溶剂体系中的选自下组的纳米颗粒:胶体二氧化硅(SiO2)纳米颗粒,多面体低聚硅倍半氧烷(POSS)分子,以及它们的混合物。更优选地,这些纳米颗粒用选自羟基、羧基及其组合的多种酸基团官能化。优选的POSS分子选自:羧酸(例如POSS
Figure BPA00001250271800062
八酰胺酸(Octa Amic Acid)),分子二氧化硅(例如八(四甲基铵)五环[9.5.13,9.15,15.17,13]八硅氧烷1,3,5,7,9,11,13,15-八(环化物(cyloxide))水合物),例如OctaTMA POSS
Figure BPA00001250271800063
),以及硅烷醇(例如,TriSilanolCyclopentyl POSS
Figure BPA00001250271800064
,1,3,5,7,9,11,14-七乙基三环[7.3.3.1(5,11)]七硅氧烷-内-3,7,14-三醇,例如TriSilanolEthyl POSS1,3,5,7,9,11,14-七异丁基三环[7.3.3.1(5,11)]七硅氧烷-内-3,7,14-三醇,例如TriSilanolIsobutyl POSS1,3,5,7,9,11,14-七异辛基三环[7.3.3.1(5,11)]七硅氧烷-内-3,7,14-三醇,例如TriSilanolIsooctyl POSS
Figure BPA00001250271800067
1,3,5,7,9,11,14-七-苯基三环[7.3.3.1(5,11)]七硅氧烷-内-3,7,14-三醇,例如TriSilanoIPhenyl POSS
Figure BPA00001250271800071
以及三环[7.3.3.3(3,7)]八硅氧烷-5,11,14,17-四醇-1,3,5,7,9,11,14,17-八苯基,例如TetraSilanolPhenyl POSS
Figure BPA00001250271800072
),这些试剂均购自混合塑料公司(Hybrid PlasticsTM)。
所述组合物还优选包含与所述纳米颗粒一起分散或溶解在溶剂体系中的交联剂(在本文中,术语交联剂与术语“交联试剂”可互换使用)。优选的交联剂是乙烯基醚交联剂。特别优选的交联剂是多官能的(二官能-,三官能-和四-官能)。市售乙烯基醚的一个例子包括以商品名VECTomerTM销售的(美国密苏里州圣路易斯市的艾尔德里奇公司(Aldrich;St.Louis,MO))乙烯基醚。也可以如本文所述制备合适的乙烯基醚交联剂。以所述组合物的总重量为100重量%计,所述组合物中交联剂的含量约为0.1-5重量%,优选约为0.5-2.5重量%,更优选约为1-2重量%。
更优选当存在乙烯基醚交联剂的时候,所述乙烯基醚交联剂具有以下结构式:
R′-(X-O-CH=CH2)n
式中R′选自芳基(优选C6-C14)和烷基(优选C1-C18,更优选C1-C10),各X独立地选自烷基(优选C1-C18,更优选C1-C10),烷氧基(优选C1-C18,更优选C1-C10),羰基,以及上述两种或更多种基团的组合,n至少是2,优选是2-6。最优选的乙烯基包括选自下组的那些:乙二醇乙烯基醚,三羟甲基丙烷三乙烯基醚,1,4-环己烷二甲醇二乙烯基醚,及其混合物。另一种优选的乙烯基醚具有选自下组的结构式:
Figure BPA00001250271800073
Figure BPA00001250271800081
优选的溶剂体系包含选自下组的溶剂:乳酸乙酯(EL),丙二醇甲基醚乙酸酯(PGMEA),丙二醇甲基醚(PGME),丙二醇正丙基醚(PnP),二甲基乙酰胺,环己酮,以及它们的混合物。优选的是,所述溶剂体系的沸点约为50-250℃,更优选约为100-175℃。以所述组合物的总重量为100重量%计,所述溶剂体系的用量应约为90-99.9重量%,优选约为95-99重量%,更加优选约为97.5-98.5重量%。以所述组合物的总重量为100重量%计,所述组合物的固体含量约为0.1-90重量%,优选约为1-5重量%,更优选约为1.5-2.5重量%。
纳米颗粒的交联优选是热引发的,通常使用与所述交联剂和纳米颗粒一起分散或溶解在溶剂体系中的酸催化剂完成。优选的催化剂包括磺酸(例如对甲苯磺酸,苯乙烯磺酸),磺酸盐(例如对甲苯磺酸吡啶鎓,三氟甲磺酸吡啶鎓,3-硝基苯磺酸吡啶鎓),及其混合物。以所述组合物的固体总重量为100重量%计,所述组合物可包含约0-5重量%的催化剂,优选约为0.01-2重量%的催化剂,更优选约为0.02-1重量%的催化剂。较佳的是,所述催化剂与纳米颗粒和交联剂一起,在环境条件下,在溶剂体系中溶解或分散大约1-18小时。
在优选的实施方式中,随后可以将成酸剂加入所述组合物中。或者,可以同时简单地将组合物中的组分分散或溶解在溶剂体系中。优选的成酸剂是光致成酸剂(“PAG”;包括离子型的和/或非离子型的)。任何能够在存在辐射的情况下形成酸的PAG都是合适的。优选的PAG选自下组:三(全氟甲磺酰基)甲基二-(对叔丁基苯基)碘鎓(例如,DTBPI-C1;其购自美国俄亥俄州凡达黎亚市的日间化学实验室有限公司(DAYCHEM Laboratories,Inc.,Vandalia,OH)),鎓盐(例如全氟磺酸三苯基锍,例如九氟丁磺酸三苯基锍(triphenyl sulfonium nonaflate)和三氟甲磺酸三苯基锍(triphenyl sulfonium triflate)),以及肟-磺酸盐(例如奇巴公司(CIBA)以商品名CGI
Figure BPA00001250271800091
销售的),三嗪(例如购自翠化学公司(Midori Kagaku Company)的TAZ108
Figure BPA00001250271800092
),及其混合物。以所述组合物的固体总重量为100重量%计,所述组合物优选包含约0-10重量%的成酸剂,较优选约为1-8重量%,更优选约为2-5重量%。
尽管热致成酸剂(“TAG”)可以包含在本发明的组合物中,但是在优选的实施方式中,所述组合物基本不含TAG。也就是说,如果包含任意TAG,则这些TAG的含量极低,以所述组合物的总重量为100重量%计,约小于0.5重量%,优选约为0重量%。
优选的组合物还包含猝灭剂。优选的猝灭剂选自下组:三乙醇胺,三乙胺,三甲醇胺,三甲胺,三异丙醇胺,三丙胺,三叔丁醇胺,三叔丁胺,三正丁醇胺,三正丁胺,二乙醇胺,二乙胺,二甲醇胺,二甲胺,二异丙醇胺,二异丙胺,二叔丁醇胺,二叔丁胺,二正丁醇胺,二正丁胺,乙醇胺,乙胺,甲醇胺,甲胺,异丙醇胺,异丙胺,叔丁醇胺,叔丁胺,正丁醇胺和正丁胺,以及它们的组合。以所述组合物的总重量为100重量%计,所述减反射涂层组合物优选包含约0-5重量%的猝灭剂,优选约为0.25-4重量%,更加优选约为0.5-2重量%。组合物中可以任选包含的其它的组分包括表面活性剂、增粘剂、抗氧化剂、光引发剂、以及上述组分的组合。所述组合物中也可以包含聚合物。可以用于所述组合物的聚合物的例子可以选自下组:丙烯酸酯、甲基丙烯酸酯、苯乙烯、丙烯酰胺、甲基丙烯酰胺,以及它们的组合。合适的聚合物的分子量优选约为1,000-50,000道尔顿,更优选约为3,000-25,000道尔顿,更优选约为4,000-15,000道尔顿。但是,优选所述组合物基本不含聚合组分(即包含大于100个重复单元的组分)。因此,以所述组合物的固体总重量为100重量%计,本发明的组合物优选包含约小于5重量%的聚合物,更优选包含约小于2重量%的聚合物。
本发明的方法和结构
图1(A)-1(D)显示了本发明的一个优选的实施方式。在此方法中,提供了具有表面10a的基片10。在本发明中可以使用任意的微电子基片。示例性的基片10包括选自下组的那些:硅,SiGe,SiO2,Si3N4,铝,钨,硅化钨,砷化镓,锗,钽,氮化钽,珊瑚,黑金刚石,掺杂磷或硼的玻璃,以及上述材料的混合物。所述方法包括将本发明的组合物施加于所述基片10,在基片10的表面10a上形成所述组合物的层12。所述组合物可以通过任何已知的施涂方法施涂,一个优选的施涂方法是在大约500-5000rpm(优选约为1000-3000rpm)的转速下旋涂所述组合物,旋涂持续时间约为15-90秒(优选约为30-60秒)。可以将所述组合物直接施涂在基片表面10a上,或者施涂已在基片表面上形成的一层或多层任选的中间层(图中未显示)上。合适的中间层包括选自下组的那些:旋涂碳层(SOC),无定形碳层,底部减反射涂层,平面化层,以及上述层的组合。无论如何,所述基片10可以具有平坦的表面,或者可以包括形貌特征(通孔,接触孔,凸起特征等)。在本文中使用的″形貌″表示基片表面之内或之上的结构的高度或深度。
在施涂硬掩模组合物之后,优选通过以下方法进行固化步骤:在大约110-250℃、更优选约130-205℃的温度下加热大约10-120秒(优选大约30-90秒)的时间,在所述组合物(即固化的硬掩模层12)中形成纳米颗粒的交联的基质。例如,在一些实施方式中,纳米颗粒上的酸官能团是羧基,所述交联剂是乙烯基醚交联剂,交联的纳米颗粒基质包含缩醛键。烘烤之后,硬掩模层12的厚度优选约为1-100纳米,更优选约为10-80纳米,更优选约为20-40纳米。
所述硬掩模层12优选具有吸光性。具体来说,用于本发明方法的硬掩模层12的k值(复折射率的虚数部分)至少约为0.05,优选约为0.1-0.3,更优选约为0.15-0.25,n值(复折射率的实数部分)至少约为1.45,优选约为1.55-2.25,更优选约为1.65-2。这些值可以在很宽的波长范围内获得,包括约小于500纳米的波长(例如365纳米,248纳米,193纳米,157纳米或13.5纳米)。
较佳的是,所述固化的硬掩模层12充分交联,使其基本不溶于常规的溶剂,例如EL,PGMEA,PGME,PnP,环己酮,丙酮,γ-丁内酯(GBL),以及它们的混合物。因此,当进行剥离测试的时候,固化的硬掩模层12的剥离百分数约小于5%,优选约小于1%,更优选约为0%。剥离测试包括首先测定固化层的厚度(取五个不同的位置的测量值的平均值)。这是初始平均膜厚度。接着,用溶剂(例如乳酸乙酯)在膜上淋洗约30秒,然后在大约500-3,000rpm的转速下离心干燥约20-60秒以除去溶剂。使用椭圆偏振法在晶片上五个不同的点测量厚度,得到这些测量值的平均值。这是平均最终膜厚度。
剥离的量是初始平均膜厚度与最终平均膜厚度之间的差值。剥离百分数为:
Figure BPA00001250271800111
所述固化的硬掩模层12也优选基本上不溶于本文所述的常规的光刻胶显影剂。使用与上文关于剥离测试所述相同的步骤和计算方式,评价固化的硬掩模层在显影剂中的溶解度。但是,没有用EL之类的溶剂进行淋洗,而是将固化层在0.26N的氢氧化四甲基铵(TMAH)显影剂中浸泡60秒。固化层中的任何厚度损失都定义为“暗损失”。所述固化层的暗损失优选约小于10%,较优选约小于5%,更优选约为0%。
硬掩模层12对于基片10还应具有高蚀刻选择性,以提供足够的等离子体阻挡。这是因为在此工艺中随后施加的光刻胶用来仅通过曝光和湿显影使硬掩模层12形成图案,而在常规的方法中,光刻胶也作为等离子体蚀刻阻挡层。因此,当使用O2等离子体作为蚀刻剂的时候,硬掩模层12对于基片10的蚀刻选择性应至少约为10∶1,优选至少约为20∶1,更优选约为25∶1至100∶1。另外,当CF4等离子体作为蚀刻剂的时候,所述硬掩模层12对于光刻胶(例如193纳米光刻胶)的蚀刻选择性至少约为1,优选至少约为1.5,更优选约为2-4。当使用O2等离子体作为蚀刻剂的时候,硬掩模层12的蚀刻速率应约小于30纳米/分钟,更优选约为0-10纳米/分钟。
然后可以对所述固化的硬掩模层施加光刻胶组合物,形成成像层14。制得的层叠体16示于图1(B)。然后对所述成像层14进行施涂后的烘烤(″PAB″),所述烘烤是在至少约85℃、优选约90-120℃的温度下进行大约30-90秒。合适的成像组合物包括可在市场上购得的光刻胶(例如Pi6-001(日本川崎市神流川(Kawasaki shi,Kanagawa)的TOK公司);ARX3001(加利福尼亚州森尼韦尔(Sunnyvale,CA)的JSR微型公司);AM2073J(JSR微型公司)),或者任何其它的光敏性组合物。
然后可以通过以下方式使得成像层14形成图案:用合适波长的光对其进行曝光,然后使得曝光后的光刻胶显影。更具体来说,使用设置在成像层14表面上方的掩模18,对成像层14进行曝光。掩模18具有开放区域18a,所述开放区域设计用来允许辐射(hv)通过掩模18,与成像层14接触。掩模18剩余的实心部分18b设计用来在某些区域防止辐射与成像层14的表面接触。本领域技术人员能够很容易地理解,开放区域18a和实心部分18b是根据将要在成像层14上、最终在基片10中形成的所需图案设计的。
较佳的是,当对成像层14进行辐射(即光)曝光的时候,对本发明的硬掩模层12也进行辐射曝光。在曝光的时候,所述光敏性硬掩模层12中的纳米颗粒基质发生“解交联”。也就是说,当纳米颗粒和交联剂在热交联的时候在它们之间形成的键断裂。因此,在曝光的时候,受到辐射曝光的硬掩模层12和成像层14的部分同时变得可溶于水性显影剂中。曝光之后,优选对成像层14和硬掩模层12进行曝光后的烘烤(PEB),烘烤温度约为85-130℃,更优选约为90-110℃,烘烤时间约为30-90秒。
然后使得成像层14和硬掩模层12的曝光部分(通过上述工艺使该部分可溶)与光刻胶显影剂接触,以除去曝光的部分。在除去成像层14的同时,成像层14的曝光部分下方的硬掩模层12的曝光部分也被除去,以便同时在成像层14和硬掩模层12中形成所需的图案20。所述图案20可以是通孔、沟槽、线条、间隔等,最终会采用蚀刻法或离子注入法转移到基片10上。较佳的是,成像层14以及硬掩模层12的至少约95%的曝光部分会被显影剂除去,更优选至少约99%,更加优选约100%会被除去。较佳的是,如果使用可溶于显影剂的中间层(例如可溶于显影剂的底部减反射涂层),则也可以将中间层的曝光部分清除,同时在成像层14、硬掩模层12和中间层(图中未显示)中形成所需的图案20。
合适的显影剂是有机或无机碱性溶液,例如氢氧化钾(KOH)、TMAH,优选包含浓度等于或小于0.26N的TMAH水溶液。一些这样的显影剂是市售产品,商品名为PD523AD(购自美国华盛顿州,摩斯湖的摩斯湖工业有限公司(Moses Lake Industries,Inc.,Moses Lake,WA)),MF-319(购自美国马萨诸塞州的西普力(Shipley,Massachusetts)),MF-320(购自西普力)以及NMD3(购自日本的TOK)。较佳的是,硬掩模层12在曝光之后,在0.26N的TMAH显影剂中的溶解速率约为50-250纳米/秒,更优选为100-200纳米/秒。
然后可以在形成图案的层叠体22上进行常规的蚀刻、金属化等操作,完成器件的制造。如果需要进行多次曝光工艺,还可以使用施涂在与形成图案的硬掩模层相邻的第二成像层重复所述曝光-显影工艺。
在另外的实施方式中,可以采用ArF浸没光刻法(未显示)使光刻胶形成图案。在曝光过程中辐射所通过的介质不是空气(常规光刻法的情况),而是液体。通过光刻系统的光学投射元件(即透镜)对成像层14进行辐射曝光,浸没液体与所述光刻系统的光学元件的至少一部分以及该结构的一部分(即层叠体16)接触。更优选地,所述液体填充所述系统中最后一个光学元件与成像层14之间的间隙,使得光学元件浸没在液体中。合适的浸没液体的折射率优选大于1(优选约为1-2,更优选约为1.3-1.4),所述浸没液体选自水(优选纯水),有机溶剂及其混合物。浸没光刻系统是本领域已知的,包括购自AmphibianTM Systems(美国纽约州,罗切斯特市(Rochester))的Amphibian Interferometer。
实施例
以下实施例描述了根据本发明的优选方法。然而应理解,提供的这些实施例是阐述性的,不应视作对本发明整体范围的限制。
实施例1
硬掩模制剂I
在此操作过程中,通过以下方式配制了光敏性硬掩模:首先用5毫升(购自美国密苏里州圣路易斯市的哈克洛斯化学品公司(Harcros Chemicals,St.Louis,MO)稀释3.5克胶体二氧化硅的异丙醇溶液(约30重量%的SiO2,平均粒度为10-15纳米)(OrganosilicasolTM IPA-ST;购自美国得克萨斯州,休斯敦市的尼桑化学公司(Nissan Chemical,Houston,TX))。然后将350毫克三官能乙烯基醚交联剂(见实施例8)与5毫克对甲苯磺酸吡啶鎓(PPTS;购自美国威斯康辛州的艾尔德里奇公司(Aldrich,Milwaukee,WI))加入该溶液中。该混合物在玻璃小瓶中,在室温下搅拌过夜,然后用PGME进一步稀释至总溶液重量为56克。接着,加入20毫克三乙醇胺(TEA;购自美国威斯康辛州密尔沃基市的艾尔德里奇公司)和26毫克PAG三(全氟甲磺酰基)甲基二-(对叔丁基苯基)碘鎓(DTBPI-C1;购自美国俄亥俄州,凡达黎亚市的日间化学实验室有限公司(DAYCHEM Laboratories,Inc.,Vandalia,OH))。该最终制剂通过颗粒过滤器过滤。
制剂1以2,000rpm的转速旋涂在硅基片上,然后在130℃烘烤60秒。使用可变角度光谱椭圆偏振仪(VASE;J.A.沃拉姆有限公司(J.A.Woollam Co.,Inc.))测得膜的光学常数。膜在193纳米下的n值=1.40。膜在193纳米下的k值=0.075。膜在248纳米下的n值=1.45。膜在248纳米下的k值=0.021。
然后对膜的耐溶剂性进行测试。如上文所述对基片涂覆制剂1,测量膜的初始厚度。然后用EL对膜进行30秒的淋洗(购自美国密苏里州圣路易斯市的哈克洛斯化学品公司(Harcros Chemicals,St Louis,MO))。测量并记录所得膜的厚度。为了评价暗损失,在另一个基片上涂覆制剂1,测量并记录膜的初始厚度。然后所述膜在0.26N的TMAH光刻胶显影剂(PD523AD;购自美国购自美国华盛顿州,摩斯湖的摩斯湖工业有限公司)中浸没60秒。测量并记录膜的厚度。为了评价膜的湿显影,如上文所述在第三块基片上涂覆制剂1,测量膜的初始厚度。然后用波长248纳米的水银-氙气灯对所述膜进行曝光,然后在130℃进行60秒的曝光后烘烤(PEB),然后使用PD523AD显影60秒。测量所得的厚度。下表1所示的结果表明,制剂1具有良好的耐溶剂性,暗损失小,但是在曝光之后可以用碱性显影剂除去。
表1
Figure BPA00001250271800151
实施例2
硬掩模制剂2和光敏性测试
在此操作过程中,通过以下方式制备第二硬掩模制剂:将10毫克DTBPI-C1 PAG加入10毫克的上文制备的实施例1的硬掩模制剂1中,制得更高光敏性的制剂2。该制剂以2,000rpm的转速旋涂在硅基片上,然后在130℃烘烤60秒。然后通过248纳米滤光器对所述膜进行各种时间长度(即5,8,10和12秒)的紫外光曝光。在130℃进行60秒的PEB,并使用PD523AD进行显影之后,测量曝光区域的剩余厚度(单位为纳米),对曝光剂量(单位为毫焦/厘米2)作图。图2所示的代表性的对比曲线清楚地表明,在曝光剂量达到25毫焦/厘米2之前和之后,显影剂溶解度存在鲜明的对比。
实施例3
使用制剂2在减反射涂层材料上进行成像
在此操作过程中,使用以上实施例2的硬掩模制剂2制备了一种结构,并使其形成图案。首先,以2500rpm的转速将减反射涂料(ARC
Figure BPA00001250271800152
 29A;购自美国密苏里州罗拉市的布鲁尔科学有限公司(Brewer Science,Inc.,Rolla,MO))旋涂在硅晶片上,然后在205℃进行烘烤。所述减反射涂层的厚度为80纳米。以2000rpm的转速将制剂2旋涂在ARC
Figure BPA00001250271800153
 29A涂层顶上,然后在130℃烘烤60秒。通过248纳米的滤光器对膜层叠体进行紫外光曝光,使得曝光>25毫焦/厘米2(根据图2的对比曲线)。在130℃进行60秒的PEB并且使用PD523AD进行显影之后,所得的图案在光学显微镜下照相,示于图3,表明使用硬掩模制剂2可以分辨出正性微型图案(最小达到10微米的线条)。
实施例4
制剂3以及用市售光刻胶进行的测试
在此操作过程中,制备了第三硬掩模制剂,并且使用市售的光刻胶进行测试。通过将15毫克DTBPI-C1 PAG加入10克制剂1中,制备了光敏性更高的硬掩模制剂3。该制剂以2,500rpm的转速旋涂在硅基片上,然后在130℃烘烤60秒。以1750rpm的转速将ArF光刻胶(AM2073J;购自美国加利福尼亚州森尼韦尔市的JSR微型公司(JSR Micro,Sunnyvale,CA))旋涂在所述硬掩模层顶上,然后在112℃下烘烤60秒。然后通过248纳米滤光器对所述膜层叠体进行各种时间长度(即0,2,4和6秒)的紫外光曝光。在130℃进行60秒的PEB,并使用PD523AD进行显影之后,测量剩余厚度(单位为纳米),对曝光剂量(单位为毫焦/厘米2)作图。图4所示的代表性的对比曲线清楚地表明,当曝光剂量达到大约10毫焦/厘米2的时候,制剂3和光刻胶一同被清除掉。
实施例5
制剂4和用可溶于显影剂的减反射下层以及顶部的ArF光刻胶进行测试
在此操作过程中,用10毫升PnP(购自购自美国密苏里州圣路易斯市的哈克洛斯公司)稀释3.5克IPA-ST,制备了第四种硬掩模制剂。然后将350毫克内部生产的乙烯基醚交联剂(得自美国密苏里州罗拉市的布鲁尔科学有限公司)加入上述溶液中。下文的实施例9描述了该交联剂的制备。用PnP对混合物进行进一步的稀释,稀释至总溶液重量达到56克,然后加入7毫克TEA。该最终制剂4通过颗粒过滤器过滤。
首先,以1500rpm的转速将底部减反射涂料(BSI.W07046B;购自美国密苏里州罗拉市的布鲁尔科学有限公司(Brewer Science,Inc.,Rolla,MO))旋涂在硅晶片上,然后在160℃烘烤60秒。以2000rpm的转速将制剂4旋涂在底部减反射涂层顶上,然后在150℃烘烤60秒。以1300rpm的转速将ArF光刻胶AM2073J旋涂在硬掩模层顶上,然后在110℃烘烤60秒。
然后通过248纳米滤光器对所得的膜层叠体进行各种时间长度(即0,2,4和6秒)的紫外光曝光。在130℃进行90秒的PEB,并使用PD523AD进行显影之后,测量曝光区域的剩余厚度(单位为纳米),对曝光剂量(单位为毫焦/厘米2)作图。如图5所示,即使未将PAG分子加入制剂4中,由于光致成酸剂的扩散,所述制剂4仍然会与顶部光刻胶层和底部可显影的减反射层一起溶解于显影剂中。
实施例6:
制剂5的制备和相应的测试
通过用94.423克PGME对5克IPA-ST进行稀释,制备硬掩模制剂5。接着,将500毫克实施例9制备的内部的乙烯基醚交联剂加入所述稀释的IPA-ST溶液,同时还加入11.5毫克TEA,27.9毫克全氟-1-丁磺酸三苯基锍(九氟丁磺酸TPS PAG;购自美国密苏里州圣路易斯的希格玛-艾尔德里奇有限公司(Sigma-Aldrich,Inc.,St.Louis,MO)),以及37.6毫克全氟-1-丁磺酸三(4-叔丁基苯基)锍(SAFC PAG;购自美国密苏里州圣路易斯的希格玛-艾尔德里奇有限公司)。该最终制剂5通过颗粒过滤器过滤。
制剂5以1500rpm的转速旋涂在硅基片上,然后在160℃烘烤60秒。使用VASE
Figure BPA00001250271800171
测量光学常数。膜在193纳米下的n值=1.45。膜在193纳米下的k值=0.083。膜在248纳米下的n值=1.46。膜在248纳米下的k值=0.029。
然后使用实施例1所述的步骤,用EL剥离,暗损失和湿显影测试对膜的耐溶剂性进行评价。下列表2中总结了结果。
表2
Figure BPA00001250271800172
然后使用CF4,氩气和氧气的混合物测定制剂5的覆盖层蚀刻速率,以评价形成图案的膜作为图案转移层的能力。下表3显示了根据45秒蚀刻所用的条件以及蚀刻速率。
表3
Figure BPA00001250271800181
对蚀刻速率和气体混合物之间的关系进行了分析,示于图6。在任意特定条件下,在相同条件下,蚀刻速率通常比有机抗蚀剂或底部减反射涂层材料慢。所述硬掩模制剂在纯氧等离子体蚀刻中表现出极佳的抗蚀刻性,而CF4与氧气的混合物(比例约为1∶2)提供了相当快的蚀刻。
实施例7
硬掩模制剂6的制备
在此操作过程中,通过以下方式制备另一种硬掩模制剂:将240毫克POSS
Figure BPA00001250271800182
八酰胺酸(平均粒度1.5纳米)(POSS
Figure BPA00001250271800183
 Nanostructured
Figure BPA00001250271800184
 Chemical,购自美国美国密西西比哈迪斯堡(Hattiesburg,MS)的混合塑料公司(Hybrid PlasticsTM)),80毫克内部的乙烯基醚交联剂(美国密苏里州罗拉市的布鲁尔科学有限公司;见实施例9),9.6毫克三氟甲磺酸三苯基锍PAG(美国密苏里州圣路易斯市的艾尔德里奇公司)溶解在9.674克二甲基乙酰胺(美国密苏里州圣路易斯市的艾尔德里奇公司)中,制备总计10克溶液。该溶液在20毫升的玻璃小瓶中,在室温下混合过夜,然后通过颗粒过滤器过滤。
POSS
Figure BPA00001250271800186
八酰胺酸的化学结构
制剂6以1500rpm的转速旋涂在硅基片上,然后在各种温度(例如130℃,155℃,170℃)烘烤60秒。制得的膜在没有光照的情况下,在光刻胶显影剂中浸泡,以评价暗损失。在130℃进行烘烤以后,膜完全剥离(100%暗损失)。在155℃进行烘烤之后,厚度从87.9纳米改变为39.9纳米(54.6%暗损失),在170℃进行烘烤之后,厚度从72.5纳米改变为70.8纳米(2.3%暗损失)。
实施例8
三官能乙烯基醚交联剂制剂
在本实施例中,根据以下反应式制备三官能乙烯基醚交联剂。
Figure BPA00001250271800191
首先将6克乙二醇乙烯基醚和7.5毫升三乙胺在40毫升醚中混合,用均苯三酰氯(6克)的醚溶液(40%)滴加处理。加完之后,该混合物在回流条件下加热1.5小时。过滤除去残留的盐,然后醚溶液用10%的NaOH(2×25毫升)洗涤,然后用水(25毫升)进行洗涤,然后用无水硫酸镁进行干燥。在加压条件下除去溶剂,收集到浅黄色油状物。总产率为69%。
实施例9
另外的乙烯基醚交联剂制剂
在本实施例中,将以下组分加入500毫升的双颈烧瓶中,制得另一种三官能乙烯基醚交联剂:25.15克1,4-丁二醇单乙烯基醚(美国密苏里州圣路易斯市的艾尔德里奇公司(Aldrich;St.Louis,MO)),22.91克三乙胺(美国密苏里州圣路易斯市的艾尔德里奇公司)和250毫升四氢呋喃(″THF″;Aldrich,美国密苏里州圣路易斯市的艾尔德里奇公司)。所述烧瓶装有搅拌子,加料漏斗,冷凝器以及氮气进口和出口。将所述烧瓶浸没在冰水浴中,所述溶液在氮气流下进行搅拌。
接着,在250毫升的锥形烧瓶中,将20.00克1,3,5-苯三碳酰氯(美国密苏里州圣路易斯市的艾尔德里奇公司)溶解在50毫升THF中。将该溶液转移到所述500毫升的双颈烧瓶的加料漏斗中,向搅拌的1,4-丁二醇单乙烯基醚/三乙胺/THF溶液中滴加大约15分钟,直至加完。一接触就形成白色沉淀。然后将所述烧瓶从冰浴移出,使得所述浆液在烧瓶中升温至室温,这大约需要16小时。然后将浆液加热回流4个小时。然后将烧瓶从加热装置移出,使其冷却至室温。然后使用吸滤装置对浆液进行过滤,使用旋转蒸发仪浓缩,制得粘性黄色液体。
所述液体溶解在100毫升二乙基醚(美国密苏里州圣路易斯市的艾尔德里奇公司)中,用12.5%的TMAH水溶液(美国密苏里州圣路易斯市的艾尔德里奇公司)洗涤两次,每次用量25毫升。用分液漏斗对醚层萃取,然后用去离子水洗涤两次,每次50毫升去离子水。使醚层沉降,并进行收集。将醚层与5.0克活性的碱性氧化铝混合,从而对醚层进行干燥。对所述混合物搅拌1小时,重力过滤。在旋转蒸发仪中将透明的黄色液体浓缩,制得黄色粘性油状物。总产量约为29.28克(产率77%)。

Claims (26)

1.一种形成微电子结构的方法,该方法包括:
(a)提供具有表面的基片;
(b)任选地在所述表面上形成一层或多层中间层;
(c)如果存在所述中间层的话,施加与所述中间层相邻的硬掩模组合物,或者如果不存在中间层的话,施加与所述基片表面相邻的硬掩模组合物,所述硬掩模组合物包含溶解或分散在溶剂体系中的非聚合物型纳米颗粒;
(d)对所述硬掩模组合物进行烘烤,以制得硬掩模层;
(e)对所述硬掩模层进行辐射曝光,形成所述硬掩模的曝光部分;以及
(f)使得所述硬掩模层与显影剂接触,以除去所述硬掩模层的所述曝光部分。
2.如权利要求1所述的方法,所述方法还包括在所述曝光之前,将成像层施加于所述硬掩模层。
3.如权利要求2所述的方法,其特征在于,所述曝光形成所述成像层的曝光部分,所述接触同时除去了所述硬掩模层的所述曝光部分以及所述成像层的所述曝光部分。
4.如权利要求1所述的方法,其特征在于,所述烘烤包括使得所述组合物中的纳米颗粒交联,形成所述纳米颗粒的交联基质,形成所述硬掩模层。
5.如权利要求4所述的方法,其特征在于,所述曝光包括使得所述基质解交联。
6.如权利要求1所述的方法,其特征在于,所述中间层选自下组:旋涂碳层,无定形碳层,底部减反射涂层,平面化层,以及上述层的组合。
7.如权利要求6所述的方法,其特征在于,所述曝光形成所述中间层的曝光部分,所述接触同时除去了所述硬掩模层的所述曝光部分以及所述中间层的所述曝光部分。
8.如权利要求1所述的方法,其特征在于,所述硬掩模层在所述曝光之前,在碱性显影剂中具有初始溶解度,所述硬掩模层的所述曝光部分在碱性显影剂中具有最终溶解度,所述最终溶解度大于所述初始溶解度。
9.如权利要求1所述的方法,其特征在于,所述纳米颗粒包括选自下组的金属:硅,钛,铪,锌,锆,锡,铝,铈,钽,钒,锗,镉,硒,上述元素的氧化物、氢氧化物、硒化物、硫化物,以及它们的组合。
10.如权利要求1所述的方法,其特征在于,所述硬掩模组合物还包含与所述纳米颗粒一起分散或溶解在所述溶剂体系中的乙烯基醚交联剂。
11.一种可以用来形成微电子器件的组合物,所述组合物包含:
溶解或分散在溶剂体系中的非聚合物型纳米颗粒,
所述组合物是可溶于显影剂的。
12.如权利要求11所述的组合物,其特征在于,所述纳米颗粒的平均粒度约小于15纳米。
13.如权利要求11所述的组合物,其特征在于,所述纳米颗粒包括选自下组的金属:硅,钛,铪,锌,锆,锡,铝,铈,钽,钒,锗,镉,硒,上述元素的氧化物、氢氧化物、硒化物、硫化物,以及它们的组合。
14.如权利要求11所述的组合物,其特征在于,所述纳米颗粒选自下组:胶体二氧化硅,多面体低聚硅倍半氧烷分子,以及它们的混合物。
15.如权利要求11所述的组合物,其特征在于,所述纳米颗粒包括酸官能团。
16.如权利要求15所述的组合物,其特征在于,所述酸基团选自:羟基、羧基、酚类、氟代醇、氟代丙烯酰胺,以及它们的混合物。
17.如权利要求11所述的组合物,以所述组合物总重量为100重量%计,所述组合物包含约0.1-5重量%的所述纳米颗粒。
18.如权利要求11所述的组合物,所述组合物还包含与所述纳米颗粒一起分散或溶解在所述溶剂体系中的乙烯基醚交联剂。
19.如权利要求11所述的组合物,所述组合物还包含光致成酸剂。
20.一种微电子结构,该结构包括:
具有表面的基片;
位于所述基片表面上的任选的一层或多层中间层;以及
硬掩模层,如果存在所述中间层,则所述硬掩模层与所述中间层相邻,或者如果不存在中间层,则所述硬掩模层与所述基片表面相邻,所述硬掩模层包含交联的非聚合物型纳米颗粒,并且可溶于显影剂。
21.如权利要求20所述的结构,其特征在于,所述纳米颗粒用乙烯基醚交联剂交联。
22.如权利要求20所述的结构,所述结构还包括与所述硬掩模层相邻的成像层。
23.如权利要求20所述的结构,其特征在于,所述硬掩模层包括图案。
24.如权利要求23所述的结构,其特征在于,所述图案选自通孔和沟槽。
25.如权利要求23所述的结构,所述结构还包括与所述形成图案的硬掩模层相邻的成像层。
26.如权利要求20所述的结构,其特征在于,所述基片选自:硅,SiGe,SiO2,Si3N4,铝,钨,硅化钨,砷化镓,锗,钽,氮化钽,珊瑚,黑金刚石,掺杂磷或硼的玻璃,以及上述材料的混合物。
CN200980114886.XA 2008-04-23 2009-04-21 用于微型光刻的光敏性硬掩模 Active CN102016724B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US4730208P 2008-04-23 2008-04-23
US61/047,302 2008-04-23
PCT/US2009/041282 WO2009132023A2 (en) 2008-04-23 2009-04-21 Photosensitive hardmask for microlithography

Publications (2)

Publication Number Publication Date
CN102016724A true CN102016724A (zh) 2011-04-13
CN102016724B CN102016724B (zh) 2014-07-02

Family

ID=41217392

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980114886.XA Active CN102016724B (zh) 2008-04-23 2009-04-21 用于微型光刻的光敏性硬掩模

Country Status (7)

Country Link
US (1) US7939244B2 (zh)
JP (1) JP5739325B2 (zh)
KR (1) KR101697790B1 (zh)
CN (1) CN102016724B (zh)
DE (1) DE112009000979B4 (zh)
TW (1) TWI440069B (zh)
WO (1) WO2009132023A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103852973A (zh) * 2012-11-30 2014-06-11 罗门哈斯电子材料有限公司 用于低温应用的离子型热酸生成剂
CN104380194A (zh) * 2012-04-16 2015-02-25 布鲁尔科技公司 用于导向自组装的硅硬掩模层
CN105264642A (zh) * 2013-04-03 2016-01-20 布鲁尔科技公司 用于定向自组装的嵌段共聚物中的高度耐蚀刻的聚合物嵌段
CN112368645A (zh) * 2018-06-13 2021-02-12 布鲁尔科技公司 用于euv光刻的粘附层

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8206996B2 (en) * 2006-03-28 2012-06-26 Lam Research Corporation Etch tool process indicator method and apparatus
US9005907B2 (en) 2010-10-01 2015-04-14 St. Jude Children's Research Hospital Methods and compositions for typing molecular subgroups of medulloblastoma
US8647809B2 (en) * 2011-07-07 2014-02-11 Brewer Science Inc. Metal-oxide films from small molecules for lithographic applications
EP2783389B1 (en) * 2011-11-21 2021-03-10 Brewer Science, Inc. Structure comprising assist layers for euv lithography and method for forming it
CN104137235B (zh) 2012-01-19 2017-02-22 布鲁尔科技公司 含金刚烷基的非聚合物减反射组合物
CN102723260B (zh) * 2012-06-18 2015-07-01 中国电子科技集团公司第四十六研究所 一种可自剥离的氮化物半导体材料生长方法
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
JP5827939B2 (ja) * 2012-12-17 2015-12-02 東京エレクトロン株式会社 成膜方法、プログラム、コンピュータ記憶媒体及び成膜装置
US9348228B2 (en) * 2013-01-03 2016-05-24 Globalfoundries Inc. Acid-strippable silicon-containing antireflective coating
WO2015109224A1 (en) 2014-01-16 2015-07-23 Brewer Science Inc. High-chi block copolymers for directed self-assembly
US9330221B2 (en) * 2014-05-23 2016-05-03 Globalfoundries Inc. Mask-aware routing and resulting device
US9583358B2 (en) 2014-05-30 2017-02-28 Samsung Electronics Co., Ltd. Hardmask composition and method of forming pattern by using the hardmask composition
KR102287343B1 (ko) * 2014-07-04 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
KR102287344B1 (ko) 2014-07-25 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
KR20160029900A (ko) 2014-09-05 2016-03-16 삼성전자주식회사 반도체 소자의 제조 방법
KR102384226B1 (ko) 2015-03-24 2022-04-07 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴 형성방법
KR102463893B1 (ko) * 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US10712483B2 (en) 2015-08-24 2020-07-14 Samsung Electronics Co., Ltd. Photosensitive compositions, quantum dot polymer composite pattern prepared therefrom, and electronic devices including the same
KR102631400B1 (ko) 2015-10-22 2024-01-29 삼성전자주식회사 감광성 조성물, 이로부터 제조된 양자점-폴리머 복합체 패턴, 및 이를 포함하는 전자 소자
KR102527764B1 (ko) 2015-12-17 2023-05-02 삼성전자주식회사 감광성 조성물, 이를 제조하기 위한 방법, 및 이로부터 제조된 양자점-폴리머 복합체 패턴
KR20170098173A (ko) * 2016-02-19 2017-08-29 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법
US10120277B2 (en) * 2016-02-19 2018-11-06 Jsr Corporation Radiation-sensitive composition and pattern-forming method
JP6389839B2 (ja) * 2016-03-23 2018-09-12 株式会社先端ナノプロセス基盤開発センター 感光性組成物およびパターン形成方法
US9929012B1 (en) 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
US11034847B2 (en) 2017-07-14 2021-06-15 Samsung Electronics Co., Ltd. Hardmask composition, method of forming pattern using hardmask composition, and hardmask formed from hardmask composition
KR102433666B1 (ko) 2017-07-27 2022-08-18 삼성전자주식회사 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
KR102486388B1 (ko) 2017-07-28 2023-01-09 삼성전자주식회사 그래핀 양자점의 제조방법, 상기 제조방법에 따라 얻어진 그래핀 양자점을 포함한 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
WO2019044510A1 (ja) * 2017-08-30 2019-03-07 富士フイルム株式会社 パターン形成方法、イオン注入方法、積層体、キット、レジスト下層膜形成用組成物、レジスト組成物、及び、電子デバイスの製造方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05163021A (ja) * 1991-12-13 1993-06-29 Ishihara Sangyo Kaisha Ltd フォトレジスト用酸化チタン微粉末、およびそれを使用するフォトレジスト用組成物
JP3798080B2 (ja) * 1996-08-20 2006-07-19 富士写真フイルム株式会社 ベンゾアゼピン構造を有する芳香族三級アミン化合物
JP4022312B2 (ja) * 1998-05-08 2007-12-19 株式会社Kri レジスト組成物およびパターン形成方法
US7070914B2 (en) * 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
US6844131B2 (en) * 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
DE10219122B4 (de) 2002-04-29 2005-01-05 Infineon Technologies Ag Verfahren zur Herstellung von Hartmasken
US20040185674A1 (en) * 2003-03-17 2004-09-23 Applied Materials, Inc. Nitrogen-free hard mask over low K dielectric
US7364832B2 (en) * 2003-06-11 2008-04-29 Brewer Science Inc. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
TW200510934A (en) * 2003-06-20 2005-03-16 Zeon Corp Radiation-sensitive resin composition and method for forming pattern using the composition
US7223517B2 (en) * 2003-08-05 2007-05-29 International Business Machines Corporation Lithographic antireflective hardmask compositions and uses thereof
US7550097B2 (en) * 2003-09-03 2009-06-23 Momentive Performance Materials, Inc. Thermal conductive material utilizing electrically conductive nanoparticles
US7270931B2 (en) * 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
JP4131864B2 (ja) * 2003-11-25 2008-08-13 東京応化工業株式会社 化学増幅型ポジ型感光性熱硬化性樹脂組成物、硬化物の形成方法、及び機能素子の製造方法
US7241682B2 (en) * 2004-02-27 2007-07-10 Taiwan Seminconductor Manufacturing Co., Ltd. Method of forming a dual damascene structure
US6900134B1 (en) * 2004-03-18 2005-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming openings in a substrate using bottom antireflective coatings
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US20050255410A1 (en) * 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20070207406A1 (en) * 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US7638266B2 (en) * 2004-08-12 2009-12-29 International Business Machines Corporation Ultrathin polymeric photoacid generator layer and method of fabricating at least one of a device and a mask by using said layer
US20060166132A1 (en) * 2005-01-27 2006-07-27 Meagley Robert P Ultraviolet light transparent nanoparticles for photoresists
US7341939B2 (en) * 2005-02-18 2008-03-11 Taiwan Semiconductor Maunfacturing Co., Ltd. Method for patterning micro features by using developable bottom anti-reflection coating
US7524606B2 (en) * 2005-04-11 2009-04-28 Az Electronic Materials Usa Corp. Nanocomposite photoresist composition for imaging thick films
JP2007025012A (ja) * 2005-07-13 2007-02-01 Nippon Zeon Co Ltd 樹脂組成物
CN100437160C (zh) * 2005-07-22 2008-11-26 鸿富锦精密工业(深圳)有限公司 彩色光阻的制造方法
KR100703007B1 (ko) * 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
US7914974B2 (en) * 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
US8168372B2 (en) * 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
US8455178B2 (en) * 2006-09-26 2013-06-04 Rohm And Haas Electronic Materials Llp Coating compositions for photolithography
KR101332227B1 (ko) * 2006-11-29 2013-11-22 주식회사 동진쎄미켐 유기 반사방지막 형성용 단량체, 중합체 및 이를 포함하는유기 조성물
US8053368B2 (en) * 2008-03-26 2011-11-08 International Business Machines Corporation Method for removing residues from a patterned substrate
US7919225B2 (en) * 2008-05-23 2011-04-05 International Business Machines Corporation Photopatternable dielectric materials for BEOL applications and methods for use
US8455176B2 (en) * 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
US8182978B2 (en) * 2009-02-02 2012-05-22 International Business Machines Corporation Developable bottom antireflective coating compositions especially suitable for ion implant applications
US8313889B2 (en) * 2009-04-01 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning method using metallic compound mask layer
US20100255412A1 (en) * 2009-04-06 2010-10-07 Sam Xunyun Sun Photo-imaging Hardmask with Negative Tone for Microphotolithography
US8911932B2 (en) * 2009-04-13 2014-12-16 Sam Xunyun Sun Photo-imageable hardmask with positive tone for microphotolithography
US8822347B2 (en) * 2009-04-27 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Wet soluble lithography

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
KENNETH E.GONSALVES等: "Organic–Inorganic Nanocomposite:Unique Resists for Nanolithography", 《ADV.MATER.》 *
M.AZAM ALI等: "High sensitivity nanocomposite resists for EUV lithography", 《MICROELECTRONIC ENGINEERING》 *

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104380194A (zh) * 2012-04-16 2015-02-25 布鲁尔科技公司 用于导向自组装的硅硬掩模层
CN104380194B (zh) * 2012-04-16 2019-05-31 布鲁尔科技公司 用于导向自组装的硅硬掩模层
CN103852973A (zh) * 2012-11-30 2014-06-11 罗门哈斯电子材料有限公司 用于低温应用的离子型热酸生成剂
CN103852973B (zh) * 2012-11-30 2018-07-31 罗门哈斯电子材料有限公司 用于低温应用的离子型热酸生成剂
CN105264642A (zh) * 2013-04-03 2016-01-20 布鲁尔科技公司 用于定向自组装的嵌段共聚物中的高度耐蚀刻的聚合物嵌段
CN105264642B (zh) * 2013-04-03 2018-03-09 布鲁尔科技公司 用于定向自组装的嵌段共聚物中的高度耐蚀刻的聚合物嵌段
CN112368645A (zh) * 2018-06-13 2021-02-12 布鲁尔科技公司 用于euv光刻的粘附层
US11972948B2 (en) 2018-06-13 2024-04-30 Brewer Science, Inc. Adhesion layers for EUV lithography

Also Published As

Publication number Publication date
CN102016724B (zh) 2014-07-02
TWI440069B (zh) 2014-06-01
DE112009000979B4 (de) 2014-12-11
JP2011519063A (ja) 2011-06-30
TW201003735A (en) 2010-01-16
DE112009000979T5 (de) 2011-02-17
US7939244B2 (en) 2011-05-10
KR20110020780A (ko) 2011-03-03
KR101697790B1 (ko) 2017-02-01
JP5739325B2 (ja) 2015-06-24
US20090297784A1 (en) 2009-12-03
WO2009132023A3 (en) 2010-01-28
WO2009132023A2 (en) 2009-10-29

Similar Documents

Publication Publication Date Title
CN102016724B (zh) 用于微型光刻的光敏性硬掩模
CN101971102B (zh) 用来通过多次暗视场曝光对硬掩模进行图案化的在线法
TWI567489B (zh) 用於微影應用之小分子的金屬氧化物薄膜
CN103353707B (zh) 用于光致抗蚀剂的涂料组合物
CN104380194B (zh) 用于导向自组装的硅硬掩模层
US7855045B2 (en) Immersion topcoat materials with improved performance
TWI606098B (zh) 可溶性金屬氧化物羧酸鹽之旋轉塗佈組合物及其使用方法
EP2399169B1 (en) Acid-sensitive, developer-soluble bottom anti-reflective coatings
US7521172B2 (en) Topcoat material and use thereof in immersion lithography processes
TWI541265B (zh) 抗反射塗料組合物及其方法
US20080076059A1 (en) Antireflective coating compositions
CN101952936B (zh) 用于193纳米光刻法的双层光敏性可溶于显影剂的底部减反射涂层
TW201011078A (en) An antireflective coating composition
TWI786656B (zh) 在矽基板上塗佈硬遮罩組合物之方法
US20070117040A1 (en) Water castable-water strippable top coats for 193 nm immersion lithography
TW200903579A (en) Electronic device manufacture
CN108139673A (zh) 包含金属氧化物的材料、其制备方法及其使用方法
CN100352870C (zh) 有机抗反射涂料聚合物及其制造方法,含其的涂料组合物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant