CN104380194A - 用于导向自组装的硅硬掩模层 - Google Patents

用于导向自组装的硅硬掩模层 Download PDF

Info

Publication number
CN104380194A
CN104380194A CN201380031543.3A CN201380031543A CN104380194A CN 104380194 A CN104380194 A CN 104380194A CN 201380031543 A CN201380031543 A CN 201380031543A CN 104380194 A CN104380194 A CN 104380194A
Authority
CN
China
Prior art keywords
layer
hard mask
region
self assembly
self
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380031543.3A
Other languages
English (en)
Other versions
CN104380194B (zh
Inventor
王玉宝
M·A·霍基
D·J·古尔瑞罗
V·克里西那莫西
R·C·考克斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Brewer Science Inc
Original Assignee
Brewer Science Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brewer Science Inc filed Critical Brewer Science Inc
Publication of CN104380194A publication Critical patent/CN104380194A/zh
Application granted granted Critical
Publication of CN104380194B publication Critical patent/CN104380194B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00349Creating layers of material on a substrate
    • B81C1/0038Processes for creating layers of materials not provided for in groups B81C1/00357 - B81C1/00373
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B1/00Devices without movable or flexible elements, e.g. microcapillary devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Nanotechnology (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

提供了用于导向自组装图案化技术的组合物,其在工艺中无需独立的减反射涂层和刷中性层。还提供导向自组装的方法,其中可将自组装材料,例如导向自组装嵌段共聚物,直接施涂到硅硬掩模中性层,然后自组装以形成所需的图案。本文还批露了导向自组装图案化的结构。

Description

用于导向自组装的硅硬掩模层
相关申请的交叉参考
本申请要求于2012年4月16日提交的、题为“用于导向自组装的硅硬掩模层”(SILICON HARDMASK LAYER FOR DIRECTED SELF ASSEMBLY)的美国临时专利申请系列号61/624,805的优先权,该文的全部内容通过引用纳入本文。
背景
发明领域
本发明涉及在制造微电子结构中形成导向自组装图案的新方法和在所述方法中所用的硬掩模中性层。
相关技术的描述
目前,使用193纳米浸没式扫描仪时,对于致密线条和间隔而言,单一图案化光学照相平版印刷技术的真正分辨率极限是37纳米。但是,一种称为导向自组装(DSA)的新型非照相平版印刷图案化技术已经能形成<15nm的图案。DSA具有使某些分子自重排成有序的、纳米尺度结构的能力。这种自组装趋于形成高度规整和延伸的交替线条阵列或者倾斜的紧密堆积的圆圈构造。提出将包含至少两种不同组分的嵌段共聚物用作DSA材料,其可使用退火来对齐。一般地,自组装基于嵌段中的一种对下面的表面和/或空气界面的亲和性或偏爱。这通常得到平行的薄片状层。预图案化技术例如化学外延法或图形外延法可与DSA一起使用,从而对由退火嵌段共聚物层形成的交替图案进行去无规化(de-randomize),这使得这种技术甚至对IC制造是更加有用的。在图形外延法,使用晶片表面形貌(例如光刻胶线条/凹槽),来引导自组装过程。这样,DSA可特别用于线条/间隔频率倍增(multiplication)技术。在化学外延法,待施涂DSA材料的层的表面能的局部变化主宰了嵌段共聚物将怎样对齐。因为这种方法的灵活性,DSA迅速成为用于集成电路(IC)制造中形成<20纳米图案的前沿技术,且将来这些非照相平版印刷技术将变得越来越重要。
但是,现有的DSA工艺流程需要使用多种层,这使得这种工艺变复杂。具体来说,嵌段共聚物的DSA通常需要有机、中性“刷"层,其施涂在堆叠件中且位于嵌段共聚物层下面,从而以垂直于基片表面的方式诱导图案形成。对于典型的PS-嵌段-PMMA嵌段共聚物,这种刷层通常由已固化了长时间段的苯乙烯和甲基丙烯酸酯的无规共聚物(PS-r-PMMA)组成。刷层通常到施涂堆叠件上,该堆叠件已经包含旋涂碳,硬掩模层,和底部减反射涂层(用于照相平版印刷辅助的DSA技术)。然后,将嵌段共聚物DSA施涂到刷层的顶部并涂覆到约200-400埃的厚度,并退火。退火过程导致嵌段共聚物自我重排成交替有序结构。
常规的DSA工艺见图1。如上所述,常常在堆叠件中使用底部减反射涂层来控制进行照相平版印刷预图案化时的反射。这种预图案常常由标准光刻技术形成,例如光刻胶的图案化。在工艺流程中还包括无机层来促进图案转移过程(例如,CVD硬掩模)。这些层各自增加了工艺的复杂程度,和用于层之间的化学匹配的挑战。多层工艺还增加了DSA流程的时间长度和成本。
因此,本领域仍需要改善的用于DSA图案化微电子基片的组合物和方法。
概述
本发明总体涉及使用导向自组装形成微电子结构的方法。所述方法包括提供具有表面的基片的晶片堆叠件;邻近所述基片表面上的一个或多个任选的中间层;以及硬掩模层,如果存在所述中间层,该硬掩模层邻近所述中间层,如果不存在所述中间层,该硬掩模层在所述基片表面上。将自组装组合物直接施涂到所述硬掩模层顶部,和允许自组装成直接邻近所述硬掩模层的自组装层。所述自组装层包括第一自组装的区域和不同于所述第一自组装的区域的第二自组装的区域。
本文还批露了一种微电子结构。所述结构包括具有表面的基片;邻近所述基片表面上的一个或多个任选的中间层;以及硬掩模层,如果存在所述中间层,该硬掩模层邻近所述中间层,如果不存在所述中间层,该硬掩模层在所述基片表面上;以及直接形成于所述硬掩模层顶部上的自组装层。所述自组装层包括第一自组装的区域和不同于所述第一自组装的区域的第二自组装的区域。
附图简要说明
图1是常规DSA工艺的示意图;
图2(A)-(C)是根据本发明形成的微电子结构(未按比例绘制)的示意图;
图3(A)-(F)是根据本发明的实施方式形成的微电子结构(未按比例绘制)的示意图;
图4(A)-(F)是根据本发明的另一实施方式形成的微电子结构(未按比例绘制)的示意图;
图5是来自实施例5的在硬掩模2上在PS-嵌段-PMMA嵌段共聚物(BCP)中形成的DSA图案的SEM图像;
图6是来自实施例6的在SOC110D-311材料上的硬掩模2上在PS-嵌段-PMMA BCP中形成的DSA图案的SEM图像(300,000放大倍数);
图7是来自实施例6的在SOC110D-311材料上的硬掩模2上在PS-嵌段-PMMA BCP中形成的DSA图案的SEM图像(100,000放大倍数);
图8是来自实施例9的在SOC110D-311材料上的硬掩模3上在PS-嵌段-PMMA BCP中形成的DSA图案的SEM图像(300,000放大倍数);
图9是来自实施例9的在SOC110D-311材料上的硬掩模3上在PS-嵌段-PMMA BCP中形成的DSA图案的SEM图像(100,000放大倍数);
图10是来自实施例9的在PS-嵌段-PMMA BCP中形成的DSA图案的SEM图像(300,000放大倍数),显示13.2纳米/线条间隔;
图11显示了实施例11中在表面改性之后硬掩模中性层对齐和非对齐能力;
图12是来自实施例13的在SOC110D-311材料上的硬掩模4上在PS-嵌段-PMMA BCP中形成的DSA图案的SEM图像(300,000放大倍数);
图13是来自实施例15的在SOC110D-311材料上的硬掩模5上在PS-嵌段-PMMA BCP中形成的DSA图案的SEM图像(300,000放大倍数);
图14是来自实施例16的对在光刻胶预图案之间施涂的PS-嵌段-PMMA BCP进行退火后形成的致密薄层图案的SEM图像(3倍放大倍数);
图15显示了表明在涂覆的照相平版印刷预图案上PS-嵌段-PMMA BCP的离焦(through focus)和通过剂量性能的SEM图像。
图16显示了表明在实施例17中实施的接触孔收缩工艺的SEM图像。
优选实施方式详述
本发明涉及用于DSA工艺的新材料,以及用于DSA图案化的新方法和结构。实施方式在工艺中无需使用独立的中性刷层或者减反射涂层,和允许将DSA层直接施涂到硬掩模顶部。本发明还提供用于促进DSA图案化技术的新的化学外延法和/或图形外延法方法。图2(A)-(C)显示了使用发明性硬掩模和方法来形成多层层叠件和图案化。参考图2(A),提供具有表面10a的基片10。所述基片10可以包括平坦的表面,或者可以包括形貌(通孔,接触孔,凸起特征等)。在本文中,"形貌"表示基片表面10a之内或之上的结构的高度或深度。任意微电子基片10可用于本发明的,包括选自下组的那些:硅,SiGe,SiO2,Si3N4,SiON,铝,钨,硅化钨,砷化镓,锗,钽,氮化钽,Ti3N4,铪,HfO2,钌,磷化铟,珊瑚,黑金刚石,含磷玻璃,或掺杂硼的玻璃,α-碳以及上述材料的混合物。
可在基片10的表面10a上存在或形成任选的中间层12。所述中间层12可通过任何已知的施涂方法来形成,一种优选的方法是在大约1,000-约5,000rpm的转速下旋涂所述组合物(优选转速为约1,250-约1,750rpm),持续时间为约30-约120秒(优选为约45-约75秒)。合适的中间层12包括选自下组的那些:旋涂碳层,无定形碳层,平坦化层,以及上述层的组合。还可使用多种中间层(未显示)。在一种或更多种实施方式,中间层12存在于所述堆叠件中和包括富碳层。术语“富碳”指层由下述组合物形成,以组合物中固体总重量为100重量%计,所述组合物包括大于约50重量%的碳,优选地大于约70重量%的碳,以及更优选地约75-约80重量%的碳。合适的富碳层选自下组:旋涂碳层(SOC),无定形碳层和碳平坦化层。示例性富碳层将适于形成厚的层和优选地以组合物的总重量作为100重量%为基准计,固含量为约0.1%-约70%,更优选地约5%-约40%,和甚至更优选地约10%-约30重量%。在施涂富碳组合物之后,优选地将它加热到约100℃-约300℃,和更优选地约160℃-约250℃的温度,并保持约30秒-约120秒,优选地约45秒-约60秒的时间段,从而蒸发溶剂。在烘烤之后,富碳层12的平均厚度可为约10nm-约50,000nm,优选地约50nm-约5,000nm,更优选地约50nm-约1,500nm,和甚至更优选地约50-约300nm。
然后,邻近中间层12(当存在时)形成硬掩模中性层14,如在图2(A)中所示。当不存在中间层12时,该硬掩模中性层14直接邻近基片表面10a形成(未显示)。优选地,所述硬掩模层14可通过旋涂硬掩模组合物来形成,其旋涂速度为约1,000-约5,000rpm(优选地约1,250-约1,750rpm),旋涂时间段为约30-约120秒(优选地约45-约75秒)。使用旋涂的硬掩模组合物避免了在DSA技术中通常使用的传统CVD硬掩模的复杂性。以所述固化层的总重量为100重量%计,示例性硬掩模组合物将具有高硅含量,为至少约10重量%硅,优选地约20%-约45重量%硅,和更优选地约22%-约38重量%硅。硬掩模组合物通常包括溶解或分散于溶剂系统的含硅聚合物,其中本文使用术语“聚合物”同时包括聚合物和低聚物,其骨架为连接的单体重复单元和重量百分数为约800-约100,000道尔顿。含硅聚合物包括交替硅和氧原子重复单元,和优选地由硅前体材料例如硅烷,硅氧烷,和倍半硅氧烷的聚合来制备。如下文所更加详细描述,含硅聚合物包含部分(和优选地侧基部分),其与自组装层是兼容的。可使用前体的不同组合来合成在聚合物骨架中包含至少两种不同单体重复单元的共聚物。应理解,具有所需的兼容的部分前体可取决于自组装组合物的特定性质来选择,从而在所述聚合物中的至少一种共聚单体包括与自组装组合物兼容的的部分。
在一种或更多种实施方式,示例性前体材料包括选自下组的那些:
及其组合,其中各R独立地选自下组:-H,烷基(优选地C1-C6烷基,更优选地C1-C3烷基),乙酸酯基团,及其组合;和各R1独立的是可兼容的部分。优选地,至少一种所选择的前体材料具有R1取代基,该R1取代基是可兼容的部分。如本文所使用,术语“可兼容的部分,”是指连接到所述聚合物(和优选地从该聚合物侧接)的官能团或部分,其对应于所选择的自组装组合物中一种组分,如下文所更加详细描述。换句话说,可兼容的部分在形式、功能或特征上和自组装组合物中的组分是相同、类似的或等同的,从而在所述可兼容的部分和所述组分之间存在亲和性。在自组装组合物的进行组装时,这种亲和性诱导图案形成。本领域普通技术人员将理解,合适的可兼容的部分可基于待使用的自组装材料来选择。在一种或更多种实施方式,R1可兼容的部分可选自下组:烷基(优选地C1-C20烷基,更优选地C1-C6烷基),光衰减部分,氟化烃,酯,醚,及其组合。例如,合适的光衰减部分包括芳香族基团,例如苯基,萘,蒽,和/或咔唑。这种光衰减部分还可用来形成高折射率涂层。换句话说,设想了可选定可兼容的部分来进行多种功能,包括用作用于导向自组装的可兼容的部分,以及提供光吸收。还应理解,可同时在硬掩模中使用大于一种可兼容的部分,和在一些实施方式,优选地在硬掩模组合物中包括至少两种不同可兼容的部分。
一些市售的硬掩模可用于本发明。其它优选地硬掩模层包含选自下组的单体的共聚物:苯乙基三甲氧基硅烷(PETMS),2-(甲氧甲酰基)乙基三甲氧基硅烷(CMETMS),四乙氧基硅烷(TEOS),甲基三甲氧基硅烷,和/或苯基三甲氧基硅烷。
还应理解可通过改变聚合物(例如,通过改变如上所述的可兼容的部分)的取代基来定制含硅聚合物(以及由此的硬掩模层14)的官能度和功能。在一种或更多种实施方式,含硅聚合物包括基团将下面三种性能特征中的至少两种,和更优选地全部三种性能特征赋予硬掩模层14:光吸收;在氟化蚀刻剂中的耐蚀刻性;和/或兼容性(即,表面能)来诱导图案形成。还应理解,硬掩模的特征可需要改性,取决于在工艺中待用的DSA材料的性能特征。
在一种或更多种实施方式,所述含硅聚合物优选地是支化聚合物且具有一种或更多种取代基侧链,和更优选地具有形成笼型结构的2-D和/或3-D支化,该笼型结构包含交替硅和氧原子限定笼(骨架)和适当的从其侧接的取代基。在一种或更多种实施方式,2-D或3-D结构包括下述重复单元
和任选的
其中各R1独立的是如上所定义的可兼容的部分。含硅聚合物通常包括端基,其为侧接的取代基,或-OH基。特别优选地含硅聚合物将包括下述结构通式:
其中m指单体重复单元但不涉及在骨架(笼)中的分布或位置,“弯弯曲曲的”线条表示其它聚合物骨架结构,其可将封端的-OH基团(端帽)和单体重复单元相连,和各R1独立的是如上所定义的可兼容的部分。组合物中可存在的添加剂包括表面能改性剂,发色团,流动改性剂,粘附改性剂。这种添加剂可作为共聚单体存在于硬掩模聚合物中,或者仅仅是物理混合进入该组合物中。
合适用于硬掩模组合物的溶剂系统包括选自下的溶剂:丙二醇单甲醚(PGME),丙二醇单甲醚乙酸酯(PGMEA),γ-丁内酯(GBL),环己酮,环戊酮,乳酸乙酯(EL),乙酰乙酸乙酯(EAA),乙酸正丁酯,甲基异丁基甲醇(MIBC),2-庚酮,异丙醇(IPA),甲基乙基酮(MEK),及其混合物。优选的是,所述溶剂体系的沸点约为80-210℃,更优选约为90-205℃。以所述组合物中所有成分的总重量为100重量%计,所述溶剂体系的优选用量为约30-约99.9重量%,优选地约90-约99.5重量%,和甚至更优选地约98-约99重量%。在一种或更多种实施方式,以组合物的总重量作为100重量%为基准计,所述硬掩模组合物的固含量为约0.1%-约70%,更优选地约0.5%-约10%,和甚至更优选地约1%-约2重量%。
含硅聚合物和下述的任选成分一起分散或溶解于溶剂系统中:表面活性剂、酸或碱催化剂、单体、聚合物、纳米颗粒和/或交联剂。示例性催化剂包括苄基三乙基氯化铵(benzyltriethylammonium chloride)、乙酸铵、醋酸锂、四甲基氢氧化铵、碱性盐等。在组合物中可包括交联剂;但是本发明提供的独特优势在于使用交联试剂不是必须的。即,硬掩模提供所必须的固化和/或在该硬掩模中或硬掩模自身的交联,以形成具有高交联密度的硬掩模层。在一种或更多种实施方式,以固体的总重量作为100重量%为基准计,硬掩模组合物优选地包括小于约3重量%交联试剂,更优选地小于约1重量%交联试剂,和甚至更优选地小于约0.1重量%交联试剂,和甚至更优选地基本上不含交联试剂。如本文所使用,术语“交联试剂(crosslinking agent)”和“交联剂(crosslinker)”可互换使用,且包括氨基树脂、甲酚、环氧树脂(当存在时除在溶胶-凝胶化合物本身存在的那些以外)、多元醇、酐、甘油醚、乙烯基醚、三聚氰胺、甘脲、苯并胍胺及其混合物。
和实施方式无关,在施涂硬掩模之后,优选地将它加热到约100℃-约300℃,和更优选地约150℃-约250℃的温度,并保持约30秒-约120秒,优选地约45秒-约60秒的时间段,从而蒸发溶剂和优选地固化交联硬掩模层14。在一种或更多种实施方式,交联的硬掩模层14包括硅氧烷交联剂。在一种或更多种实施方式,硬掩模组合物不是光敏的,且在暴露于光或辐射时没有发生化学或物理变化。例如,在一些实施方式,固化的硬掩模层是不可溶于显影剂的,且当曝光以后也不能变成可溶于显影剂的。
烘烤之后,硬掩模层14的平均厚度(如在5个点所测得的)优选地为约5nm-约50,000nm,更优选地约5nm-约1000nm,和甚至更优选地约10nm-约100nm。所得硬掩模层14优选地在很宽的波长范围内具有光吸收性质,包括约小于500纳米的波长(例如365纳米,248纳米,193纳米,157纳米或13.5纳米)。优选地,硬掩模层14的k值(复折射率的虚部)至少约为0.05,优选约为0.1-0.3,更优选约为0.15-0.25,n值(复折射率的实部)至少约为1.45,优选约为1.55-2.25,更优选约为1.65-2。这些值可在如上所述的宽范围的波长内获得。如下文所更加详细描述,硬掩模层14将具有高交联密度和高耐溶剂性。
应理解,发明性硬掩模中性层14的形成避免了需要CVD硬掩模层的复杂性,其需要特殊的机器和后续地施涂刷中性层,这需要几小时的退火和溶剂淋洗以形成适用于DSA的结构。因此,和现有技术相比,旋涂-施涂硬掩模中性层提供显著的优势。
优选地,自组装层16可直接施涂在硬掩模层14顶部上。换句话说,不存在间插层,例如在两层之间的减反射层或刷中性层,从而自组装层16与硬掩模层14的至少一部分表面直接接触,如在图2(A)所示。自组装层16可使用任何适用于DSA的材料来形成。一般地,这种材料包含两种或更多种不互溶的化合物(例如,聚合物共混物)或自组装化合物包括至少两种组分,这两种组分具有不同的(常常是相反的)特征例如官能度、极性或水亲和性、耐蚀刻性等,这允许两种化合物或组分以合理方式分离(主要是纳米相的分离)和对齐,以及选择性地去除一种化合物或组分。嵌段共聚物特别适用于DSA技术,因为可将它们合成为包含至少两种不同的嵌段且允许各组分在适当的条件对齐,和在对齐之后选择性去除该各组分。在一种或更多种实施方式,自组装组合物包括嵌段共聚物,其包括至少一种在O2气中具有高蚀刻速率嵌段,和至少一种在O2气中具有低蚀刻速率的第二嵌段。聚苯乙烯-嵌段-聚甲基丙烯酸酯共聚物(PS-嵌段-PMMA)是一种适用于本发明的的示例DSA材料。这些嵌段共聚物分子通常包括连接到PMMA链(string)的PS链。其它在DSA嵌段共聚物中常用的嵌段包括聚乳酸(PLA),聚(环氧乙烷)(PEO),聚二甲基硅氧烷(PDMS),聚乙烯基吡咯烷酮(PVP;P2VP)等。还可将改性的嵌段共聚物用于本发明。例如,可改性独立的嵌段来构建共聚物嵌段。换句话说,独立的嵌段自身将在其单一嵌段单元内包括共聚物,且这种共聚物嵌段可连接到另一独立的嵌段以构建改性的嵌段共聚物。例如,可用乙烯基苯并环丁烯改性聚苯乙烯嵌段,从而构建聚(苯乙烯-共聚-乙烯基苯并环丁烯)嵌段,然后可将该嵌段连接到PMMA嵌段,如上所述。例如,一旦退火之后,这种改性可增加聚苯乙烯嵌段交联密度,和增强第一和第二嵌段的不同特征以促进在自组装之后选择性去除一种嵌段。应理解,实际上可使用合适的共聚物或改性的共聚物的任意组合,以形成具有功能性不同的嵌段的嵌段共聚物,以用于自组装。因此,取决于所选择的DSA材料,可相互调节硬掩模层14和自组装层16,从而在自组装时,硬掩模可兼容的部分诱导图案形成。
自组装组合物通常包括分散或溶解于溶剂系统的自组装化合物,用于浇铸成结构。合适的溶剂包括上文用于硬掩模层的任意所述溶剂,以及PGME,PGMEA,GBL,环己酮,环戊酮,EL,EAA,乙酸正丁酯,MIBC,2-庚酮,IPA,MEK,及其混合物。优选地,交联的硬掩模层14基本上不溶于DSA层16所用的溶剂,以及在常用光刻胶显影剂。这允许直接邻近硬掩模14形成自组装层16。因此,当进行剥落测试的时候,交联的硬掩模层14的剥落百分数约小于5%,优选约小于1%,更优选约为0%。剥落测试包括首先测定厚度(取层14的五个不同位置的测量值的平均值)。这是初始平均膜厚度。接下来,用溶剂或显影剂将膜淋洗约30秒,然后在大约500-3,000rpm的转速下旋转干燥约20-60秒以除去溶剂。使用椭圆光度法再次测量在晶片上那五个不同的点的厚度,得到这些测量值的平均值。这是最终平均膜厚度。剥落的量是初始平均膜厚度与最终平均膜厚度之间的差值。剥落百分数为:
然后允许自组装层16进行自组装,见图2(B)。可使用任意合适的技术来实施自组装,包括热退火,溶剂退火,微波退火,及其组合。在一种或更多种实施方式,通过加热到足够高的温度,和优选地在自组装化合物玻璃化转变温度(Tg)以上,来对自组装层16进行退火。在一种或更多种实施方式,将自组装层16加热到约100℃-约350℃(优选地约150℃-约250℃)的温度,并保持约30秒-约10分钟(优选地约1分钟-约5分钟)的时间段。应理解,具体的退火条件将取决于所用的特定自组装材料,且可相应地改变。
再次参考图2(B),在退火和自组装,自组装化合物的不同组分根据它们的不同的特征分离,从而自组装的或“退火的”层16'基本上分离成至少两种不同自组装的“退火的”区域。具体来说,在一种或更多种实施方式,自组装层的16'包括第一自组装的退火的区域16a和第二自组装的退火的区域16b,其相对于基片10垂直取向。例如,就PS-嵌段-PMMA嵌段共聚物而言,在进行自组装时,各链的PMMA侧相互牵拉,而链的PS侧对在硬掩模14中可兼容的部分(即,苯基)有亲和性。取决于所用的技术,这可导致PMMA部分团在一起以形成PMMA圆柱或层(16a),基本上垂直于基片取向,且在聚苯乙烯(16b)的基质内。去除PMMA(16a),将得到具有纳米-尺寸的孔或凹槽的聚苯乙烯表面(16b)。因此,第一和第二自组装的区域16a和16b相互之间是组成上(即,化学地,和优选地物理地)不同的,然后可选择性地去除第一或第二自组装的区域中的任一种,从而产生图案20。例如,第一组装的区域16a可具有在湿的或干的蚀刻剂中的第一蚀刻速率,第二组装的区域16b具有在湿的或干的蚀刻剂中的第二蚀刻速率,其中第一和第二蚀刻速率不同。具体来说,第一蚀刻速率可比第二蚀刻速率更快或更慢,取决于所用的蚀刻剂。因此,可选择合适的蚀刻剂来选择性去除第一或第二组装的区域16a或16b中的一种,而第一或第二组装的区域16a或者16b中的另一种基本上完整的留在硬掩模层14上(至少没有显著的从硬掩模层14去除),形成图案20。
例如,如在图2(C)所示,可选择性去除第一自组装的区域16a,从而在图案化堆叠件18'上的自组装层16'中形成图案20。图案20通常包括特征例如,在退火自组装层16'中的凹槽,间隔,通孔,和/或接触孔。有利的是,这些特征的各平均(均值)特征尺寸为小于约30nm。如本文所使用,术语“特征尺寸”指在堆叠件的横截面SEM上测量的特征的平均(均值)宽度(因此在孔的情况下,宽度等于孔直径)。可使用各种去除技术,取决于特定的自组装化合物,例如湿法或者干法蚀刻。在一种或更多种实施方式,可通过干法蚀刻(例如,使用O2等离子体)去除第一自组装的区域16a。然后,可将图案20向下转移进入硬掩模层14和富碳层12,和最终转移进入基片10(未显示)。在一种或更多种实施方式,硬掩模层14应在氟化气体蚀刻剂中具有高蚀刻速率和在氧气蚀刻剂中具有低蚀刻速率。例如,硬掩模层14的蚀刻速率至少应约为自组装层16'的第二自组装的区域16b蚀刻速率的0.75倍,当将富氟等离子体(例如,CF4)用作蚀刻剂时。硬掩模层14的蚀刻速率至少还应至少约为富碳中间层12蚀刻速率的5倍,当将富氧等离子体用作蚀刻剂时。
图3还显示了使用照相平版印刷-辅助的(例如,图形外延法)自组装的本发明的实施方式。如上所述,使用基片10,任选的中间层(例如,富碳层)12,和硬掩模层14来制备堆叠件18。然后可以对所述固化的硬掩模层14施加光敏性组合物,形成成像层22。制得的层叠体18示于图3(A)。适于用作成像层22的光敏的组合物包括任意组合物,其在暴露于至少约1mJ/cm2辐射之后能图案化,例如,光刻胶,减反射成像层等。然后可对所述成像层22进行施涂后的烘烤("PAB"),所述烘烤是在至少约80℃、优选约100-140℃的温度下进行约10秒-约120秒(优选地约30秒-约60秒)的时间段。成像层22的厚度优选地为约10nm-约300nm,更优选地约20nm-约150nm,和甚至更优选地约30nm-约100nm。
如图3(A)所述,然后可对成像层22进行图案化,例如通过暴露于适当波长的辐射(例如,在光学照相平版印刷的情况下是光),然后对成像层22的未暴露的部分进行显影。在一种实施方式中,如图3(A)所示,使用位于成像层22上面的掩模24来对成像层22进行暴露。掩模24具有开口区域24a,设计成允许辐射(hv)穿过掩模24并接触成像层22以形成成像层22的暴露的部分,其变得不溶于溶剂(当使用负性光刻胶时)。掩模24的剩余实心部分24b设计成防止辐射在某些区域接触成像层22,以形成成像层的仍然溶于溶剂的未暴露的部分。本领域普通技术人员易于理解,开口区域24a和实心部分24b的排布基于待在成像层22形成的所需的图案来设计,尽管本发明的方法特别适于暗场暴露,其中大多数的成像层14屏蔽辐射以形成凸起特征例如线条和柱子。曝光之后,优选对成像层22进行曝光后的烘烤(PEB),烘烤温度为约80℃-约150℃,更优选地约100℃-约130℃,烘烤时间段为约30秒-约60秒。
曝露时,成像层22中暴露于辐射的部分会变不可溶于有机(非碱性)溶剂显影剂。如图3(B)所示,然后使暴露的成像层22接触溶剂以去除未暴露的部分,以在成像层22中形成所需的预图案20'。或者,当使用正性光刻胶时,成像层22的曝光的部分可在曝光工艺中变得可溶于水性碱性显影剂,在这种情况下,去除过程与上述过程相反。即,在显影中去除曝光的部分来形成图案(未显示)。无论在哪种实施方式中,优选地通过显影剂除去成像层中至少约95%,更优选的是至少约99%,以及甚至更优选的是约100%的未曝光的(或者有时是曝光的)部分。合适的非碱性溶剂显影剂包括乙酸正丁酯,丙酸正丁酯,丁酸异丁酯和/或酮(例如,2-庚酮)。用于正性成像层的合适碱性显影剂是有机或无机碱性溶液,例如氢氧化钾(KOH)、四甲基氢氧化铵(TMAH),优选包含浓度等于或小于0.26N的TMAH水溶液。一些这样的显影剂是市售产品,商品名为PD523AD(购自美国华盛顿州,摩斯湖的摩斯湖工业有限公司(Moses Lake Industries,Inc.)),MF-319(购自美国马萨诸塞州的西普力(Shipley,Massachusetts)),MF-320(购自西普力)以及NMD3(购自日本的TOK)。
因此,当将那些选择的成像层22部分从堆叠件18'去除时,预图案形成导致在成像层22下面的部分硬掩模层14揭开或者暴露。图3(B)显示了图案化堆叠件18'。所得预图案20'优选地包括在硬掩模层14上形成的凸起特征22'(例如,线条,柱子,方形岛,或者其结合)。这些特征22'与成像层22的暴露部分在化学上是相同的,且各自由各侧壁22a和各顶部表面22b限定。应理解,在替代实施方式中,可使用任何其它合适的图案化工艺来图案化成像层22和形成凸起特征22',包括多次图案化工艺,以及浸没照相平版印刷。如上所述,还应理解,还可使用正性抗蚀剂(resist)或者光敏材料,而不是本文所述的负性成像层22。在这种情况下,成像层22的未暴露的部分仍然是不可溶的,而暴露的部分变得可溶和用显影剂去除。还可使用其它图案化方法,包括新兴技术,例如压印(imprint)照相平版印刷,纳米压印(nano-imprint)照相平版印刷,热压印照相平版印刷,和压模图案转移。这些技术使用图案化的模具来转移图案,而不是如上所述的依赖照相平版印刷图案化。
与实施方式无关,一旦形成了预图案20',可将自组装组合物16施涂到图案化的堆叠件18',从而它流入凸起特征22'(直接邻近硬掩模14)之间的间隔,和邻近凸起特征22'的侧壁22a,如在图3(C)所示。在一种或更多种实施方式,还可将自组装组合物16面涂在凸起特征22'的顶部表面22b。但是,在其它种实施方式中,优选地不将自组装组合物16面涂在凸起特征22'的顶部表面22b。换句话说,将自组装组合物16沉积在凸起特征22'和邻近的特征侧壁22a之间,但不存在于凸起特征22'的顶部表面22b。结果,凸起特征22'的顶部表面22b仍然易于通过溶剂或蚀刻去除,无需蚀刻-返回步骤或者自组装层16的其它改性,以暴露预图案20'。
然后可对自组装组合物16进行如上所述的自组装或退火,以在自组装的或退火的层16'中产生第一自组装的区域16a和第二自组装的区域16b,且第一或第二自组装的区域中的一种邻近所述凸起特征侧壁22a,且第一或第二自组装的区域16a,16b中的另一种远离凸起特征22'。如在图3(D)所示,第一自组装的区域16a远离(即,不接触)凸起特征侧壁22a,而第二自组装的区域16b邻近凸起特征侧壁22b。例如,在PS-嵌段-PMMA嵌段共聚物自组装材料的情况下,苯乙烯(16b)将对齐邻近光刻胶侧壁22b,而PMMA嵌段(16a)相互牵拉并在苯乙烯的相邻自组装的区域之间分开。
然后,可除去第一或第二自组装的区域16a,16b中的任一种,产生图案20。例如,参考图3(E)和(F),然后可去除第一自组装的区域16a以产生在图案化堆叠件18'上的所述自组装的自组装层16'中的图案20,然后可将该图案向下转移进入硬掩模14'和富碳中间层12'。应理解,可去除第二自组装的区域16b而不是第一自组装的区域16a。无论如何,然后最终可将图案20转移进入基片10(未显示)。
本发明的优势之一在于可从中性层来改性硬掩模中性层的表面性质,这促进自组装材料和非对齐层进行对齐,进行退火或者自组装时,在该非对齐层上的自组装材料不进行对齐。参考图4,显示是了改性硬掩模层14用于化学外延法的一种实施方式。制备堆叠件,其包括基片10,任选的中间层12,硬掩模中性层14,和成像层22,如上所述。然后图案化成像层22。在图4(A)中所示的实施方式显示了用于图案化成像层22的光学照相平版印刷技术,使用具有开口区域24a的掩模24,其设计成允许辐射(hv)穿过掩模24和接触成像层22以形成成像层22暴露的部分,该部分变得可溶于显影剂(当使用正性光刻胶时)。掩模24的剩余实心部分24b设计成防止辐射在某些区域接触成像层22,以形成成像层的仍然不溶于显影剂的未暴露的部分。同样,如上所述,本领域技术人员能够很容易地理解,开放区域24a和实心部分24b是根据将要在成像层22中形成的所需预图案来设计的。曝光之后,优选对成像层22进行曝光后的烘烤(PEB),烘烤温度为约80℃-约150℃,更优选地约100℃-约130℃,烘烤时间段为约30秒-约60秒。
当使用正性光刻胶时,然后通过碱性显影剂接触去除成像层22'的暴露的部分,见图4(B)。可使用替代的图案化成像层22的方法,如上所述,目标是去除成像层22的选择部分以构建预图案20'。因此,当将那些选择的成像层22部分从堆叠件18'去除时,预图案形成导致在成像层22下面的部分硬掩模层14揭开或者暴露。成像层22'邻近硬掩模14剩余部分用作用于硬掩模中性层14表面改性掩模。在一种或更多种实施方式,使用照相平版印刷和碱性显影剂淋洗对成像层22进行图案化。或者,可使用其它合适的方法对成像层进行图案化,然后接触碱性显影剂溶液。无论如何,使硬掩模层14的暴露的部分接触碱性显影剂溶液(独立的或者在显影剂淋洗之时)。如图4(C)所示,然后去除成像层22'剩余部分(例如,使用溶剂),形成具有表面改性的区域26a和未改性的区域26b的硬掩模层14,其中表面改性的区域26a对应于图案化成像层22时揭开的硬掩模14的那些部分。优选地,接触碱性显影剂改变硬掩模层14的表面能。在一种或更多种实施方式,增加表面能和导致硬掩模14的表面改性的区域26a失去它们在自组装工艺用作中性层和诱导对齐的能力。但是,硬掩模14未改性的区域26b,在进行图案化和与显影剂接触时其仍然被成像层22覆盖,且仍然保留它们的中性层性质。因此,表面改性的区域26a对应于硬掩模14上的非对齐区域,而未改性的区域26b对应于硬掩模14上的对齐区域。因此,主动对齐区域26b在自组装时具有变成用于图案形成的导向结构的能力。
如图4(D)所示,然后将自组装层16直接形成于表面改性的硬掩模层14顶部,从而使自组装层16直接接触表面改性的区域26a和未改性的区域26b。然后如上所述的对自组装层16进行自组装,以允许组分进行自组装。因为表面改性,自组装层16将仅自组装进入层16中那些部分的第一自组装的区域16a和第二自组装的区域16b,其邻近硬掩模14的未改性的区域26b,见图4(E)。换句话说,自组装层16邻近硬掩模14表面改性的区域26b的部分在进行退火或者自组装时没有分离或分开进入图案,且是“未组装的”或者“非对齐的”。然后可选择性去除第一或第二自组装的区域16a,16b中的一种,然后将所得图案20蚀刻进入硬掩模层14'和任选的中间层12',见图4(F)。最终将该图案20转移进入基片10(未显示)。
应理解,在上述各种方法中,自组装或退火导致自组装层16进行纳米相分离,这允许形成通常使用常规光学照相平版印刷技术无法获得的纳米尺寸的图案。还应理解,尽管本发明的方法显示了在自组装层16中形成至少两种不同的退火或者自组装的区域,但还设想了可制备其它DSA材料,其可分离进入大于两种不同的相,包括第三和第四退火的或者自组装的区域。
通过阅读本文所述的发明和下面的工作实施例,本发明的各种实施方式的其它优势对于本领域普通技术人员而言是显而易见的。除非另以其它方式说明,应理解本文所述的各种实施方式相互间不必是相互排斥的。例如,在一种实施方式中描述或显示的特征,也可包含于其它实施方式,但不是必须包含。因此,本发明包括本文所述的具体实施方式的各种组合和/或整合。
此外,虽然附图显示以及说明书描述了某些优选的实施方式,但是应理解这些揭示仅仅作为示例。参照本发明的理想化实施方式的截面示意图描述了本文所述的实施方式。因此,可以考虑根据制造技术和/或容差而对所示的形状进行变化。无意将本发明的原理限制于特定批露的实施方式。例如,在图中,为了清晰起见,放大了层和区域的尺寸和相对尺寸。此外,本发明的实施方式不应理解为仅限于图中所示的具体形状,而是应该包括例如由于制造导致的形状偏差。例如,显示成矩形的蚀刻区域可具有圆形或弯曲特征。因此,图中所示的区域本身是示意图,其形状并不表示设备的区域的精确形状,除非另有明确说明,不应对本文所述的实施方式的范围构成限制。
文中所用的术语“和/或”在用于两项或多项的罗列时,表示所述项中的任何一项可以单独使用,或者可以使用所述项中的两项或多项的任意组合。例如,如果描述一种组合物含有或排除组分A、B和/或C,则该组合物可只含有或排除A;只含有或排除B;只含有或排除C;含有或排除A和B的组合;含有或排除A和C的组合;含有或排除B和C的组合;或含有或排除A、B和C的组合。
本发明说明书还使用数值范围来定量某些与本发明实施方式相关的参数。应理解,当给出数值范围时,这些范围可以理解为作为仅仅叙述了该范围下限值的权利要求限定和仅仅叙述了该范围上限值的权利要求限定的文字支持。例如,所揭示的约10至100的数值范围可以对叙述为“大于约10”(无上限)的权利要求和叙述为“小于约100”(无下限)的权利要求提供支持。
实施例
以下实施例描述了根据本发明的方法。然而应理解,这些实施例通过举例的方式提供,其所含的任何内容都不应视作对本发明整体范围的限制。
实施例1
聚合物1的合成
在本实施例中,通过将8.01克的苯乙基三甲氧基硅烷(格勒斯特公司(Gelest Inc),宾夕法尼亚州莫利斯维尔(Morrisville)),5.33克的2-(甲氧甲酰基)乙基三甲氧基硅烷(格勒斯特公司),和60.11克的PGMEA(超纯解决方案公司(Ultra Pure Solutions,Inc.,加利福尼亚州卡斯特维尔(Castroville))加入两颈圆底烧瓶中,来合成硅硬掩模共聚物。在5分钟的时段内,将5.50克的3N乙酸溶液(在水中的17.6%乙酸,奥德里奇(Aldrich)公司,密苏里州圣路易斯市的)添加到烧瓶,同时搅拌溶液。该圆底烧瓶配备有蒸馏头、蒸馏柱、和收集瓶。将溶液在97.5℃下加热6.5小时以完成反应,然后允许冷却到室温。总共收集到64.8克的溶液,且估计固含量为15.00%。
实施例2
硬掩模1的合成
通过混合4.00克的聚合物1,1.50克苄基三乙基氯化铵在PGME中的0.4%溶液(BTEAC,奥德里奇公司),18.40克的PGME,和16.50克的PGMEA制备1.50%固体溶液来制备硬掩模制剂。将制剂旋涂到4英寸硅晶片上,旋涂速度为1500rpm,旋涂时间为60秒,然后在230℃的热台上烘烤60秒。这个过程产生~400埃膜。
实施例3
聚合物2的合成
在本实施例中,通过将8.00克的苯乙基三甲氧基硅烷,5.45克的2-(甲氧甲酰基)乙基三甲氧基硅烷,和3.19克的四乙氧基硅烷(格勒斯特公司)添加到在两颈圆底烧瓶的60.70克的PGME来合成硅共聚物。在5分钟的时段内,将6.91克的3N乙酸溶液(在水中的17.6%乙酸)添加到烧瓶,同时搅拌溶液。该圆底烧瓶配备有蒸馏头、蒸馏柱、和收集瓶。将溶液在97.5℃下加热6.0小时以完成反应,然后允许冷却到室温。总共收集到68.0克的溶液,且估计固含量为15.78%。
实施例4
硬掩模2的合成
通过混合4.00克的聚合物2,1.58克的0.4%BTEAC溶液(在PGME中)19.99克的PGME,和16.96克的PGMEA制备1.50%固体溶液来制备硬掩模制剂。将制剂旋涂到4英寸硅晶片上,旋涂速度为1500rpm,旋涂时间为60秒,然后在230℃的热台上烘烤60秒。
实施例5
在硬掩模2上的导向自组装
将硬掩模2旋涂到300毫米硅晶片上,旋涂速度为1500rpm,旋涂时间为60秒,然后在230℃的热台上烘烤60秒,形成膜厚约为300埃。将嵌段共聚物组合物(在PGMEA中的1%PS-嵌段-PMMA溶液(25,000道尔顿分子量);聚合物之源公司(Polymer Source),加拿大魁北克)旋涂到硬掩模层上,旋涂速度为1500rpm,旋涂时间为60秒,形成硬掩模和DSA层的总膜厚约为725埃。在230℃热台上实施5分钟的热退火。然后对晶片进行O2等离子体蚀刻,功率为20W,真空度为0.45托(Torr),且5.25sccm气体流动5秒,以在SEM上产生高对比度。图5显示了在硬掩模2上的DSA层中形成的图案。
实施例6
在旋涂碳层上的硬掩模2上的DSA
在TEL CLEAN TRACK ACT12TM轨道上,将旋涂碳(SOC;SOC110D-311;布鲁尔科技公司(Brewer Science Inc.),罗拉(Rolla),密苏里州)旋涂到300毫米硅晶片上,旋涂速度为1500rpm,旋涂时间为30秒,然后在205℃的热台上烘烤60秒,形成膜厚约为110纳米。然后通过旋涂将硬掩模2涂覆到SOC层顶部,旋涂速度为1500rpm,旋涂时间为30秒,然后在250℃的热台上烘烤60秒,形成膜厚约为40纳米。然后通过旋涂用PS-嵌段-PMMA嵌段共聚物(1%PS-嵌段-PMMA在PGMEA中的溶液,AZ电子材料公司(AZ Electronic Materials),新泽西州布朗彻伯格(Branchburg)),形成31nm的目标厚度。然后,在氮气气氛下,于250℃热台上实施5分钟的热退火。在KLA eCD CD-SEM上检测晶片。图6和7显示了在嵌段共聚物DSA层中形成的图案。
实施例7
聚合物3的合成
在本实施例中,通过将8.07克的苯乙基三甲氧基硅烷,5.37克的2-(甲氧甲酰基)乙基三甲氧基硅烷,和8.51克的四乙氧基硅烷和60.50克的PGME,添加到两颈圆底烧瓶中来合成硅共聚物。在5分钟的时段内,将9.21克的3N乙酸溶液(在水中的17.6%乙酸)添加到烧瓶,同时搅拌。该圆底烧瓶配备有蒸馏头、蒸馏柱、和收集瓶。将溶液在97.5℃下加热5.0小时以完成反应,然后允许冷却到室温。总共收集到70.0克的溶液,且估计固含量为17.51%。
实施例8
硬掩模3的合成
通过混合4.00克的聚合物3,1.75克的0.4%BTEAC溶液(在PGME中)18.18克的PGME,和23.23克的PGMEA制备1.50%固体溶液来制备硬掩模制剂。将制剂旋涂到4英寸硅晶片上,旋涂速度为1500rpm,旋涂时间为60秒,然后在230℃的热台上烘烤60秒。这个过程产生~400埃膜。
实施例9
在SOC上的硬掩模3上的DSA
在TEL CLEAN TRACK ACT12TM轨道上,将SOC110D-311(布鲁尔科技公司(Brewer Science Inc.)旋涂到300毫米硅晶片上,旋涂速度为1500rpm,旋涂时间为30秒,然后在205℃的热台上烘烤60秒,形成膜厚约为110纳米。然后通过旋涂将硬掩模3涂覆到SOC层顶部,旋涂速度为1500rpm,旋涂时间为30秒,然后在250℃的热台上烘烤60秒,形成膜厚约为40纳米。然后通过旋涂用PS-嵌段-PMMA嵌段共聚物(1%PS-嵌段-PMMA在PGMEA中的溶液,AZ电子材料公司),形成约31nm的目标厚度。一旦将嵌段共聚物涂覆到晶片上,就在氮气气氛下,于250℃热台上实施5分钟的热退火。然后,在KLA eCD CD-SEM上检测晶片。图8和9显示了在DSA层中形成的图案。图10显示了在嵌段共聚物中形成的DSA图案,显示13.2nm/线条间隔。
实施例10
溶剂测试和表面能对图案形成的影响
在本实施例中,将硬掩模中性层暴露于常用于正性或者负性显影的溶剂。使用H2O和二碘甲烷(MI)的接触角测量来获得表面能测量。使用测角计测量分发到硬掩模中性层表面上的静态液体的形状。使用液体-固体-蒸汽(LSV)界面处的切线的斜率和液滴形状来测定接触角和表面能的值。对于各接触角测试,取每基片的3次测量的平均。
将硬掩模中性层涂覆到110-nm旋涂碳(SOC)基片上,且厚度为30nm,并在240℃下烘烤1分钟。然后将各溶剂在表面上施涂30秒(总停留时间)。然后在标准Brewer100CB旋涂机/烘烤板工具上,进行150℃下烘烤30秒的旋涂干燥去除步骤。在溶剂加工后重复用于表面能计算的接触角测量。我们同时使用了负性(2-庚酮,乙酸正丁酯)和正性(TMAH)显影剂。对于正性显影剂测试,首先将193-nm光刻胶(JSR 1682J)施涂到硬掩模中性层,然后进行遮盖的曝光(17mJ/cm2),和用2.38%TMAH溶液显影60秒。
下文的表显示了接触不同显影剂和溶剂之后硬掩模层表面的不同接触角(表面能)。
表.暴露于显影剂和溶剂之前和之后的硬掩模中性层的表面能
使用用于负性光刻胶显影的溶剂,表面能或接触角变化最小(1-1.5%)。但是,在使用正性显影剂进行的测试中,我们观察到总表面能有5-7%的变化范围。使用TMAH显影剂时观察到的变化是显著的,因为它降低了硬掩模中性层导向嵌段共聚物进行自组装和诱导图案形成的能力。为了理解这种影响,我们使用正性抗蚀剂和分发在用硬掩模涂覆的晶片上印刷了开孔的方形,如下所述。
实施例11
使用改性的硬掩模中性层的化学外延法
通过在TEL CLEAN TRACK ACT12TM轨道上,将SOC110D-311旋涂到300毫米硅晶片上,旋涂速度为1500rpm,旋涂时间为30秒,然后在205℃的热台上烘烤60秒,形成膜厚约为110纳米,来制备多层堆叠件。然后通过旋涂将硬掩模2涂覆到SOC层顶部,旋涂速度为1500rpm,旋涂时间为30秒,然后在250℃的热台上烘烤60秒,形成膜厚约为40纳米。将正性光刻胶(PAR855;住友化学(Sumitomo Chemicals),日本)施涂到硬掩模,施涂速度为2,100rpm,施涂时间为40秒,然后在100℃的热台上烘烤60秒。然后,在ASML1250扫描仪中,使用11x 11矩阵在50mJ/cm2下对涂覆的晶片进行曝光。曝光之后,将晶片在105℃下进行曝光后烘烤60秒,骤冷,并使用TMAH OPD262(富士胶片电子材料(Fuji Film ElectronicMaterials),比利时兹韦恩德雷赫特(Zwijndrecht))显影60秒。
光刻胶的暴露的区域(11x11正方形)被揭开向下到硬掩模,而未暴露的区域仍然被光刻胶覆盖。然后使用光刻胶去除溶剂(RER500(EL/MEK溶剂);富士胶片电子材料(Fuji Film Electronic Materials),比利时兹韦恩德雷赫特(Zwijndrecht))将晶片淋洗60秒同时在400rpm下旋涂。然后将晶片在100℃热台上烘烤45秒来干燥,得到完全不含光刻胶的晶片。
然后通过旋涂用在PGMEA中的PS-嵌段-PMMA嵌段共聚物溶液(AZ电子材料公司(AZ Electronic Materials),新泽西州布朗彻伯格)涂覆晶片。一旦将嵌段共聚物涂覆到晶片上,就在氮气气氛下,于250℃热台上实施5分钟的热退火,形成31纳米的膜厚。在KLA eCD CD-SEM上检测晶片。
图11表明硬掩模中暴露于显影剂(正方形之内)的部分没有发生图案化。换句话说,嵌段共聚物没有自我对齐。但是,在未暴露的区域(其中硬掩模没有接触显影剂),硬掩模仍然用作中性层和仍然能引导嵌段共聚物层的图案化。结果表面在显影剂接触硬掩模中性层的区域有清楚的轮廓。这些区域不再诱导BCP对齐,而没有用抗蚀剂覆盖的区域仍然显示图案形成。硬掩模中性层改变表面能值的能力不必然是这些材料的负面性质,但相反可用于将来的化学外延法流程。
实施例12
聚合物4的合成
在本实施例中,通过将10.00克的苯乙基三甲氧基硅烷,4.30克的甲基三甲氧基硅烷,10.52克的四乙氧基硅烷,和60.00克的PGME,添加到两颈圆底烧瓶中来合成硅共聚物。在5分钟的时段内,将11.36克的3N乙酸溶液(在水中的17.6%乙酸)添加到烧瓶,同时搅拌。该圆底烧瓶配备有蒸馏头、蒸馏柱、和收集瓶。将溶液在97.5℃下加热5.0小时以完成反应,然后允许冷却到室温。总共收集到63.5克的溶液,且估计固含量为19.08%。
实施例13
硬掩模4的合成
通过混合3.50克的聚合物4,1.67克的0.4%BTEAC溶液(在PGME中)17.65克的PGME,和22.17克的PGMEA制备1.50%固体溶液来制备硬掩模制剂。将制剂旋涂到4英寸硅晶片上,旋涂速度为1500rpm,旋涂时间为60秒,然后在230℃的热台上烘烤60秒。这个过程产生~400埃膜。
还将硬掩模4涂覆到旋涂碳层(SOC110D-311)顶部,然后涂覆PS-嵌段-PMMA嵌段共聚物DSA层和进行热退火,如上所述。所得图案形成示于图12。
实施例14
聚合物5的合成
在本实施例中,通过将8.01克的苯基三甲氧基硅烷,4.30克的乙酰氧基甲基三乙氧基硅烷,6.92克的四乙氧基硅烷,和60.93克的PGME,添加到两颈圆底烧瓶中来合成硅共聚物。在5分钟的时段内,将9.66克的3N乙酸溶液(在水中的17.6%乙酸)添加到烧瓶,同时搅拌。该圆底烧瓶配备有蒸馏头、蒸馏柱、和收集瓶。将溶液在97.5℃下加热5.0小时以完成反应,然后允许冷却到室温。总共收集到75.8克的溶液,且估计固含量为15.43%。
实施例15
硬掩模5的合成
通过混合4.00克的聚合物5,1.54克的0.4%BTEAC溶液(在PGME中)15.56克的PGME,和20.47克的PGMEA制备1.50%固体溶液来制备硬掩模制剂。将制剂旋涂到4英寸硅晶片上,旋涂速度为1500rpm,旋涂时间为60秒,然后在230℃的热台上烘烤60秒。这个过程产生~400埃膜。
还将硬掩模5涂覆到旋涂碳层(SOC110D-311)顶部,然后涂覆PS-嵌段-PMMA嵌段共聚物DSA层和进行热退火,如上所述。所得图案形成示于图13。
实施例16
线条/间隔节距倍增
我们使用具有负性光刻胶(富士胶片电子材料)的图形外延法工艺流程来评估硬掩模中性层使用预图案化以形成线条/间隔的能力的性能。在氮气气氛下,使用TEL CLEAN TRACK ACT12TM,将硬掩模中性层涂覆到100-nmSOC层顶部,然后涂覆光刻胶,其图案化成100-nm间隔和600-nm节距。然后将嵌段共聚物DSA层施涂到图案化的光刻胶,然后进行热退火。通过在实验室共混市售PS-嵌段-PMMA嵌段共聚物(L0=25nm;聚合物之源公司)和PGMEA以获得1%固含量溶液来制备嵌段共聚物组合物。
除了抗蚀剂以外,所有的层都在240℃下烘烤1分钟(对于嵌段共聚物,最高达5分钟)。抗蚀剂在200℃下硬烘烤5分钟。在ASML XT 1250D上于0.85NA下使用双光束照明(dipole illumination)(σo=0.93,σI=0.69)来实施用于线条/间隔的预图案化。CD SEM检测(图14)表明退火之后,在预图案之间形成线条和间隔,且节距约为26纳米。
实施例17
接触孔收缩
在具有目标CD为86纳米且节距为130纳米的图案化晶片上测试接触孔收缩。在氮气下,使用TEL CLEAN TRACK ACT12TM,首先使用硬掩模中性层在100纳米SOC层顶部形成多层堆叠件,然后形成负性抗蚀剂(SG-N003i抗蚀剂;TOK,日本东京),在添加嵌段共聚物DSA层之前对其进行图案化。除了抗蚀剂以外,所有的层都在240℃下烘烤1分钟(对于嵌段共聚物,最高达5分钟)。抗蚀剂在200℃下硬烘烤5分钟。通过在实验室共混市售PS-嵌段-PMMA嵌段共聚物(L0=25nm;聚合物之源公司)和PGMEA以获得1%固含量溶液来制备嵌段共聚物组合物。
在Sokudo DUO轨道上制备接触孔预图案,该轨道和ASML NXT 1950i扫描仪NA 1.30连接,使用Quasar 30照明(σo=0.80,σI=0.65)。图15显示了退火之后接触孔预图案上的嵌段共聚物的离焦(through focus)和通过剂量性能。通过聚焦和剂量范围,观察到PMMA核和PS之间的良好分离。
在DSA图案化中的最后一步是图案转移。首先蚀刻PMMA核,以暴露HM NL。然后,必须将开放的图案转移到HM NL、SOC和最终转移到下面的基片。使用牛津等离子体实验室(Oxford PlasmaLab)80+蚀刻工具来用于蚀刻图案转移加工。基于遮盖的基片来计算本体蚀刻速率,以测定蚀刻速率,然后测定DOE加工的功率、压力和流量设定。在富氧等离子体中进行短时间(<10秒)处理来实施PMMA的去除,得到约为25纳米的接触孔开口。因为PS和PMMA之间不良的蚀刻偏差,损失了大于30%的PS厚度。但是,HM NL提供蚀刻益处。剩余的PS和HM NL之间的蚀刻选择性大得多,使用低功率(~200瓦),结合Ar:CF4(1:3)的低压力(10-15毫托)气流来成功地蚀刻透过HM NL。在HM蚀刻过程之后,使用低功率(~200瓦特),中等压力(30毫托)和气体比例为1:1:3的N2:Ar:O2来实现110-nm SOC材料蚀刻。我们能打开40nm的HM NL,然后打开100nm的SOC。最终的CD比初始的86-纳米抗蚀剂开孔小~60纳米。图16总结了接触孔收缩的全部过程。

Claims (29)

1.一种使用导向自组装形成微电子结构的方法,所述方法包括:
提供晶片堆叠件,所述堆叠件包括:
具有表面的基片;
在所述基片表面上的一个或多个任选的中间层;以及
硬掩模层,如果存在所述中间层,该硬掩模层邻近所述中间层,如果不存在所述中间层,该硬掩模层在所述基片表面上;和
将自组装组合物直接施涂到所述硬掩模层顶部,
所述自组装组合物自组装成直接邻近所述硬掩模层的自组装层,其中所述自组装层包括第一自组装的区域和不同于所述第一自组装的区域的第二自组装的区域。
2.如权利要求1所述的方法,其特征在于,还包括去除所述第一自组装的区域或第二自组装的区域中的一种,以形成在所述自组装层的图案。
3.如权利要求2所述的方法,其特征在于,还包括将所述图案转移进入所述硬掩模层、若存在中间层时转移进入所述中间层以及转移进入所述基片,其中所述图案包括选自下组的多个特征:具有平均特征尺寸小于约30nm的凹槽、间隔、通孔、接触孔。
4.如权利要求1所述的方法,其特征在于,所述晶片堆叠件还包括预图案,其包括在所述硬掩模层顶部的多个凸起特征,所述凸起特征是隔开的,且各自由各侧壁和顶部表面限定,其中将所述自组装组合物直接施涂到所述硬掩模层顶部,在所述凸起特征之间的间隔中。
5.如权利要求4所述的方法,其特征在于,所述多个凸起特征通过下述来形成:
施涂光敏性组合物以形成在所述硬掩模层上的成像层;和
在将所述自组装组合物施涂到所述硬掩模之前,图案化所述成像层以形成所述预图案。
6.如权利要求5所述的方法,其特征在于,所述图案化包括:
使所述成像层暴露于辐射,形成所述成像层的曝光和未曝光的部分;以及
使所述成像层与有机非碱性溶剂接触,从而去除所述未暴露的部分。
7.如权利要求1所述的方法,其特征在于,所述硬掩模层包括表面,其具有表面改性的区域和未改性的区域,所述第一和第二自组装的区域邻近所述未改性的区域。
8.如权利要求7所述的方法,其特征在于,在将所述自组装组合物施涂到所述硬掩模层之前,所述表面改性的区域和未改性的区域通过下述形成:
施涂光敏性组合物以形成在所述硬掩模层上的成像层;和
图案化所述成像层以形成所述预图案,其中所述图案化包括选择性去除部分的所述成像层以揭开部分的所述硬掩模层;
使所述揭开部分的硬掩模层和碱性显影剂接触,以形成所述表面改性的区域;和
从所述硬掩模层去除剩余部分的所述成像层,以产生所述未改性的区域。
9.如权利要求1所述的方法,其特征在于,所述硬掩模层是包含硅氧烷交联剂的交联层。
10.如权利要求1所述的方法,其特征在于,所述硬掩模层由包含溶解或分散于溶剂系统的含硅聚合物的组合物形成。
11.如权利要求10所述的方法,其特征在于,所述含硅聚合物通过选自下组的硅前体材料的聚合来制备:硅烷、硅氧烷、倍半硅氧烷及其组合。
12.如权利要求10所述的方法,其特征在于,所述含硅聚合物还包括选自下组的光衰减部分:苯基、萘、蒽、咔唑及其组合。
13.如权利要求10所述的方法,其特征在于,所述含硅聚合物包括可兼容的部分。
14.如权利要求1所述的方法,其特征在于,所述自组装组合物包括分散或溶解于溶剂系统的嵌段共聚物。
15.如权利要求14所述的方法,其特征在于,所述嵌段共聚物包括至少两种不同的嵌段,该嵌段各选自下组:聚苯乙烯,聚甲基丙烯酸酯,聚乳酸,聚(环氧乙烷),聚二甲基硅氧烷,和聚乙烯基吡咯烷酮。
16.如权利要求14所述的方法,其特征在于,还包括将所述自组装组合物加热到至少所述嵌段共聚物的玻璃化转变温度附近,在所述加热时所述自组装组合物进行自组装。
17.如权利要求1所述的方法,其特征在于,所述自组装组合物包含不互溶的聚合物的共混物。
18.如权利要求1所述的方法,其特征在于,所述第一自组装的区域具有在湿的或干的蚀刻剂中的第一蚀刻速率,和所述第二自组装的区域具有在湿的或干的蚀刻剂中的第二蚀刻速率,且所述第一蚀刻速率不同于所述第二蚀刻速率。
19.一种微电子结构,其包括:
具有表面的基片;
在所述基片表面上的一个或多个任选的中间层;
硬掩模层,如果存在所述中间层,该硬掩模层邻近所述中间层,如果不存在所述中间层,该硬掩模层在所述基片表面上;和
自组装层,其直接形成于所述硬掩模层,所述自组装层包括第一自组装的区域和不同于所述第一自组装的区域的第二自组装的区域。
20.如权利要求19所述的结构,其特征在于,所述结构还包括预图案,其包括在所述硬掩模层顶部的多个凸起特征,所述凸起特征是隔开的,且各自由各侧壁和顶部表面限定,其中将所述自组装层直接形成于所述硬掩模层顶部,在所述凸起特征之间的间隔中。
21.如权利要求19所述的结构,其特征在于,所述硬掩模层包括表面,其具有表面改性的区域和未改性的区域,所述第一和第二自组装的区域邻近在所述硬掩模表面的所述未改性的区域。
22.如权利要求19所述的结构,其特征在于,所述中间层是富碳层。
23.如权利要求19所述的结构,其特征在于,所述硬掩模层由包含溶解或分散于溶剂系统的含硅聚合物的组合物形成,所述聚合物包括下述的重复单元:
和任选的
其中各R1独立地选自下组:烷基、发色团、可兼容的部分及其组合。
24.如权利要求19所述的结构,其特征在于,所述硬掩模层包括含硅氧烷交联剂的交联化合物。
25.如权利要求19所述的结构,其特征在于,所述硬掩模层的k值为至少约0.05,n值为至少约1.45。
26.如权利要求19所述的结构,其特征在于,所述自组装层由包含嵌段共聚物的自组装组合物形成,该嵌段共聚物包括至少两种不同的嵌段,该嵌段各选自下组:聚苯乙烯,聚甲基丙烯酸酯,聚乳酸,聚(环氧乙烷),聚二甲基硅氧烷,和聚乙烯基吡咯烷酮。
27.如权利要求26所述的结构,其特征在于,所述嵌段共聚物是聚苯乙烯-嵌段-聚甲基丙烯酸酯。
28.如权利要求27所述的结构,其特征在于,所述第一自组装的区域包括所述聚甲基丙烯酸酯,且所述第二自组装的区域包括所述聚苯乙烯。
29.如权利要求19所述的结构,其特征在于,所述结构不含底部减反射涂层或中性刷层。
CN201380031543.3A 2012-04-16 2013-04-15 用于导向自组装的硅硬掩模层 Active CN104380194B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261624805P 2012-04-16 2012-04-16
US61/624,805 2012-04-16
PCT/US2013/036548 WO2013158527A1 (en) 2012-04-16 2013-04-15 Silicon hardmask layer for directed self-assembly

Publications (2)

Publication Number Publication Date
CN104380194A true CN104380194A (zh) 2015-02-25
CN104380194B CN104380194B (zh) 2019-05-31

Family

ID=49325368

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380031543.3A Active CN104380194B (zh) 2012-04-16 2013-04-15 用于导向自组装的硅硬掩模层

Country Status (7)

Country Link
US (1) US9249013B2 (zh)
EP (1) EP2839341B1 (zh)
JP (1) JP6284925B2 (zh)
KR (1) KR102121081B1 (zh)
CN (1) CN104380194B (zh)
TW (1) TWI679488B (zh)
WO (1) WO2013158527A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106057651A (zh) * 2015-04-06 2016-10-26 爱思开海力士有限公司 形成不同尺寸图案的方法
CN107868194A (zh) * 2016-09-23 2018-04-03 Sk新技术株式会社 使用嵌段共聚物形成精细图案的方法
CN108400085A (zh) * 2017-02-06 2018-08-14 联华电子股份有限公司 形成半导体元件图案的方法
CN108780739A (zh) * 2016-03-11 2018-11-09 因普里亚公司 预图案化光刻模板、基于使用该模板的辐射图案化的工艺及形成该模板的工艺
CN109613798A (zh) * 2017-09-06 2019-04-12 Imec 非营利协会 制造掩模的方法
CN109880327A (zh) * 2019-03-07 2019-06-14 华东理工大学 一种聚乳酸组合物、其自组装体以及制备方法

Families Citing this family (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2975823B1 (fr) * 2011-05-27 2014-11-21 Commissariat Energie Atomique Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6079263B2 (ja) * 2012-03-07 2017-02-15 Jsr株式会社 レジスト下層膜形成用組成物及びパターン形成方法
JP6070964B2 (ja) * 2012-03-27 2017-02-01 日産化学工業株式会社 自己組織化膜の下層膜形成組成物
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8906802B2 (en) * 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process
JP6454324B2 (ja) 2013-04-03 2019-01-16 ブルーワー サイエンス アイ エヌ シー. 誘導自己組織化用ブロックコポリマーに用いる高エッチング耐性ポリマーブロック
JP6452136B2 (ja) 2013-09-04 2019-01-16 東京エレクトロン株式会社 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9349604B2 (en) * 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
JP6361893B2 (ja) 2013-12-06 2018-07-25 エルジー・ケム・リミテッド ブロック共重合体
US10087276B2 (en) 2013-12-06 2018-10-02 Lg Chem, Ltd. Block copolymer
EP3078654B1 (en) 2013-12-06 2021-07-07 LG Chem, Ltd. Monomer and block copolymer
EP3078689B1 (en) 2013-12-06 2020-12-02 LG Chem, Ltd. Block copolymer
CN106459326B (zh) 2013-12-06 2019-08-13 株式会社Lg化学 嵌段共聚物
EP3078690B1 (en) 2013-12-06 2021-01-27 LG Chem, Ltd. Block copolymer
WO2015084127A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
CN105934455B (zh) * 2013-12-06 2019-01-18 株式会社Lg化学 嵌段共聚物
WO2015084123A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
EP3078694B1 (en) 2013-12-06 2021-01-27 LG Chem, Ltd. Block copolymer
CN105873969B (zh) 2013-12-06 2018-09-04 株式会社Lg化学 嵌段共聚物
EP3078692B1 (en) 2013-12-06 2021-01-27 LG Chem, Ltd. Block copolymer
CN105899560B (zh) 2013-12-06 2018-01-12 株式会社Lg化学 嵌段共聚物
US10239980B2 (en) 2013-12-06 2019-03-26 Lg Chem, Ltd. Block copolymer
TWI573808B (zh) 2013-12-31 2017-03-11 陶氏全球科技責任有限公司 經引導之自組裝圖案形成方法及組成物
CN106104754B (zh) * 2014-01-16 2020-07-28 布鲁尔科技公司 用于直接自组装的高chi嵌段共聚物
US9190285B1 (en) * 2014-05-06 2015-11-17 International Business Machines Corporation Rework and stripping of complex patterning layers using chemical mechanical polishing
KR102270752B1 (ko) 2014-08-11 2021-07-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
WO2016053007A1 (ko) 2014-09-30 2016-04-07 주식회사 엘지화학 패턴화 기판의 제조 방법
US10703897B2 (en) 2014-09-30 2020-07-07 Lg Chem, Ltd. Block copolymer
EP3202798B1 (en) 2014-09-30 2022-01-12 LG Chem, Ltd. Block copolymer
US10287430B2 (en) 2014-09-30 2019-05-14 Lg Chem, Ltd. Method of manufacturing patterned substrate
EP3202797B1 (en) 2014-09-30 2021-07-07 LG Chem, Ltd. Block copolymer
EP3202801B1 (en) 2014-09-30 2021-08-18 LG Chem, Ltd. Block copolymer
CN107075051B (zh) 2014-09-30 2019-09-03 株式会社Lg化学 嵌段共聚物
JP6538158B2 (ja) 2014-09-30 2019-07-03 エルジー・ケム・リミテッド ブロック共重合体
EP3214102B1 (en) 2014-09-30 2022-01-05 LG Chem, Ltd. Block copolymer
CN107075050B (zh) 2014-09-30 2019-08-13 株式会社Lg化学 嵌段共聚物
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9305834B1 (en) * 2014-12-30 2016-04-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits using designs of integrated circuits adapted to directed self-assembly fabrication to form via and contact structures
KR101932799B1 (ko) 2015-02-17 2018-12-26 주식회사 엘지화학 블록 공중합체 자기 조립 패턴의 습식 식각 방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9733566B2 (en) 2015-03-17 2017-08-15 Tokyo Electron Limited Spin-on layer for directed self assembly with tunable neutrality
US9991132B2 (en) 2015-04-17 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique incorporating varied pattern materials
KR102350587B1 (ko) 2015-04-23 2022-01-14 삼성전자 주식회사 미세 패턴 형성 방법
US9904171B2 (en) * 2015-05-22 2018-02-27 I-Shan Ke Resist pattern hardening material and method of fabricating the same
US9558310B2 (en) * 2015-05-28 2017-01-31 International Business Machines Corporation Method and system for template pattern optimization for DSA patterning using graphoepitaxy
US9530663B1 (en) * 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US9349607B1 (en) * 2015-07-07 2016-05-24 United Microelectronics Corp. Method of forming line pattern
US9455177B1 (en) * 2015-08-31 2016-09-27 Dow Global Technologies Llc Contact hole formation methods
CN108369899B (zh) * 2015-11-20 2023-11-17 东京毅力科创株式会社 形成用于亚分辨率基板图案化的蚀刻掩模的方法
JP6643875B2 (ja) 2015-11-26 2020-02-12 東京エレクトロン株式会社 エッチング方法
KR102637883B1 (ko) * 2015-12-11 2024-02-19 아이엠이씨 브이제트더블유 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102611450B1 (ko) 2016-01-26 2023-12-08 삼성전자주식회사 패턴 형성 방법
JP6928764B2 (ja) * 2016-01-28 2021-09-01 東京エレクトロン株式会社 金属酸化物のスピンオン堆積の方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9947597B2 (en) * 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10170591B2 (en) * 2016-06-10 2019-01-01 International Business Machines Corporation Self-aligned finFET formation
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018067671A1 (en) * 2016-10-04 2018-04-12 Brewer Science Inc. Chemically patterned guide layers for use in chemoepitaxy directing of block co-polymers
US9632408B1 (en) 2016-10-12 2017-04-25 International Business Machines Corporation Graphoepitaxy directed self assembly
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11078337B2 (en) 2016-12-14 2021-08-03 Brewer Science, Inc. High-χ block copolymers for directed self-assembly
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10395978B2 (en) * 2017-02-27 2019-08-27 Imec Vzw Method of patterning target layer
US10734239B2 (en) 2017-03-01 2020-08-04 Brewer Science, Inc. High-chi block copolymers with tunable glass transition temperatures for directed self-assembly
KR102308953B1 (ko) 2017-03-10 2021-10-05 주식회사 엘지화학 패턴화 기판의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
WO2019152078A1 (en) * 2018-02-01 2019-08-08 Brewer Science, Inc. Gradient block copolymers for directed self-assembly
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TW202248301A (zh) * 2021-03-31 2022-12-16 日商日產化學股份有限公司 用於定向自組裝之含矽之下層膜形成用組成物
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
FR3134651A1 (fr) * 2022-04-13 2023-10-20 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procédé de fabrication d'un capteur de lumière

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1799131A (zh) * 2003-06-20 2006-07-05 国际商业机器公司 具有包括半导体纳米晶体的浮栅的非易失存储器件
CN101075557A (zh) * 2006-05-18 2007-11-21 联华电子股份有限公司 制作栅极与蚀刻导电层的方法
US7521094B1 (en) * 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
CN101548390A (zh) * 2006-12-05 2009-09-30 国际商业机器公司 完全和均匀地硅化的栅极结构及其形成方法
CN102016724A (zh) * 2008-04-23 2011-04-13 布鲁尔科技公司 用于微型光刻的光敏性硬掩模
US20110159209A1 (en) * 2009-12-24 2011-06-30 Yoshihisa Kawamura Pattern forming method
US20110281085A1 (en) * 2010-05-14 2011-11-17 Tada Yasuhiko Polymer thin film, patterned media, production methods thereof, and surface modifying agents
US20120067843A1 (en) * 2010-09-16 2012-03-22 Kabushiki Kaisha Toshiba Method of forming fine pattern

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7026716B2 (en) * 2003-06-06 2006-04-11 Rensselaer Polytechnic Institute Self-assembled sub-nanolayers as interfacial adhesion enhancers and diffusion barriers
KR100618850B1 (ko) * 2004-07-22 2006-09-01 삼성전자주식회사 반도체 소자 제조용 마스크 패턴 및 그 형성 방법과 미세패턴을 가지는 반도체 소자의 제조 방법
US7371684B2 (en) * 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US7767099B2 (en) * 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
KR100908601B1 (ko) * 2007-06-05 2009-07-21 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용한 기판상 재료의패턴화 방법
JP4654280B2 (ja) * 2008-08-28 2011-03-16 株式会社日立製作所 微細構造体の製造方法
KR101288572B1 (ko) * 2008-12-17 2013-07-22 제일모직주식회사 보관안정성이 우수한 레지스트 하층막용 하드마스크 조성물
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8048795B2 (en) 2009-07-10 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-assembly pattern for semiconductor integrated circuit
US8492239B2 (en) * 2010-01-27 2013-07-23 International Business Machines Corporation Homogeneous porous low dielectric constant materials
US8877430B2 (en) 2010-08-05 2014-11-04 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
JP5721164B2 (ja) * 2010-09-14 2015-05-20 東京応化工業株式会社 ブロックコポリマーを含む層のパターン形成方法
JP6070964B2 (ja) * 2012-03-27 2017-02-01 日産化学工業株式会社 自己組織化膜の下層膜形成組成物

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1799131A (zh) * 2003-06-20 2006-07-05 国际商业机器公司 具有包括半导体纳米晶体的浮栅的非易失存储器件
CN101075557A (zh) * 2006-05-18 2007-11-21 联华电子股份有限公司 制作栅极与蚀刻导电层的方法
CN101548390A (zh) * 2006-12-05 2009-09-30 国际商业机器公司 完全和均匀地硅化的栅极结构及其形成方法
US7521094B1 (en) * 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
CN102016724A (zh) * 2008-04-23 2011-04-13 布鲁尔科技公司 用于微型光刻的光敏性硬掩模
US20110159209A1 (en) * 2009-12-24 2011-06-30 Yoshihisa Kawamura Pattern forming method
US20110281085A1 (en) * 2010-05-14 2011-11-17 Tada Yasuhiko Polymer thin film, patterned media, production methods thereof, and surface modifying agents
US20120067843A1 (en) * 2010-09-16 2012-03-22 Kabushiki Kaisha Toshiba Method of forming fine pattern

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106057651A (zh) * 2015-04-06 2016-10-26 爱思开海力士有限公司 形成不同尺寸图案的方法
CN106057651B (zh) * 2015-04-06 2020-08-14 爱思开海力士有限公司 形成不同尺寸图案的方法
CN108780739A (zh) * 2016-03-11 2018-11-09 因普里亚公司 预图案化光刻模板、基于使用该模板的辐射图案化的工艺及形成该模板的工艺
CN108780739B (zh) * 2016-03-11 2023-09-15 因普里亚公司 预图案化光刻模板、基于使用该模板的辐射图案化的工艺及形成该模板的工艺
CN107868194A (zh) * 2016-09-23 2018-04-03 Sk新技术株式会社 使用嵌段共聚物形成精细图案的方法
TWI735661B (zh) * 2016-09-23 2021-08-11 南韓商Sk新技術股份有限公司 使用嵌段共聚物形成精細圖案之方法
CN108400085A (zh) * 2017-02-06 2018-08-14 联华电子股份有限公司 形成半导体元件图案的方法
CN109613798A (zh) * 2017-09-06 2019-04-12 Imec 非营利协会 制造掩模的方法
CN109880327A (zh) * 2019-03-07 2019-06-14 华东理工大学 一种聚乳酸组合物、其自组装体以及制备方法

Also Published As

Publication number Publication date
TW201407265A (zh) 2014-02-16
JP2015516686A (ja) 2015-06-11
US20130273330A1 (en) 2013-10-17
EP2839341A1 (en) 2015-02-25
EP2839341A4 (en) 2015-11-25
KR102121081B1 (ko) 2020-06-18
WO2013158527A1 (en) 2013-10-24
JP6284925B2 (ja) 2018-02-28
US9249013B2 (en) 2016-02-02
TWI679488B (zh) 2019-12-11
CN104380194B (zh) 2019-05-31
EP2839341B1 (en) 2020-01-15
KR20150008098A (ko) 2015-01-21

Similar Documents

Publication Publication Date Title
CN104380194A (zh) 用于导向自组装的硅硬掩模层
CN103781854B (zh) 用于平版印刷应用的来自小分子的金属氧化物膜
CN102016724B (zh) 用于微型光刻的光敏性硬掩模
US8415083B2 (en) On-track process for patterning hardmask by multiple dark field exposures
CN105264642A (zh) 用于定向自组装的嵌段共聚物中的高度耐蚀刻的聚合物嵌段
EP2399169B1 (en) Acid-sensitive, developer-soluble bottom anti-reflective coatings
US9291909B2 (en) Composition comprising a polymeric thermal acid generator and processes thereof
CN106104754A (zh) 用于直接自组装的高chi嵌段共聚物
TW201227176A (en) Resist underlayer film forming composition containing silicone having protected aliphatic alcohol-containing organic group
CN112368645A (zh) 用于euv光刻的粘附层
US8808969B2 (en) Method of making radiation-sensitive sol-gel materials
CN106662820A (zh) 具有卤代磺酰基烷基的含硅抗蚀剂下层膜形成用组合物
US20100255412A1 (en) Photo-imaging Hardmask with Negative Tone for Microphotolithography
JP2004145262A (ja) 半導体装置の製造方法及びパターンの形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant