KR102121081B1 - 유도된 자기-조립을 위한 실리콘 하드마스크 층 - Google Patents

유도된 자기-조립을 위한 실리콘 하드마스크 층 Download PDF

Info

Publication number
KR102121081B1
KR102121081B1 KR1020147031528A KR20147031528A KR102121081B1 KR 102121081 B1 KR102121081 B1 KR 102121081B1 KR 1020147031528 A KR1020147031528 A KR 1020147031528A KR 20147031528 A KR20147031528 A KR 20147031528A KR 102121081 B1 KR102121081 B1 KR 102121081B1
Authority
KR
South Korea
Prior art keywords
self
layer
assembled
assembly
hardmask
Prior art date
Application number
KR1020147031528A
Other languages
English (en)
Other versions
KR20150008098A (ko
Inventor
유바오 왕
메리 안 하키
더글라스 제이. 게레로
반다나 크리쉬나무티
로버트 씨. 콕스
Original Assignee
브레우어 사이언스 인코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브레우어 사이언스 인코포레이션 filed Critical 브레우어 사이언스 인코포레이션
Publication of KR20150008098A publication Critical patent/KR20150008098A/ko
Application granted granted Critical
Publication of KR102121081B1 publication Critical patent/KR102121081B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00349Creating layers of material on a substrate
    • B81C1/0038Processes for creating layers of materials not provided for in groups B81C1/00357 - B81C1/00373
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B1/00Devices without movable or flexible elements, e.g. microcapillary devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Abstract

유도된 자기-조립 패터닝 기술을 위한 합성물이 제공되는데, 이는 공정에서 별도의 반사 방지 코팅물과 브러쉬 중성 층이 필요 없다. 또한, 유도된 자기-조립을 위한 방법도 제공되는데, 여기서, 유도된 자기-조립 블록 코폴리머와 같은 자기-조립 물질은 실리콘 하드마스크 중성 층에 직접 도포된 후에, 자기-조립 되어 원하는 패턴을 형성한다. 유도된 자기-조립 패턴화된 구조물도 본원에서 개시된다.

Description

유도된 자기-조립을 위한 실리콘 하드마스크 층{SILICON HARDMASK LAYER FOR DIRECTED SELF-ASSEMBLY}
관련 출원에 대한 상호 참조
본 출원은 SILICON HARDMASK LAYER FOR DIRECTED SELF ASSEMBLY 라는 명칭으로 2012년 4월 16일에 출원된 미국 가특허 출원 일련 번호 제61/624,805호의 우선권 이익을 청구하며, 이는 본원 전체가 참조로서 포함된다.
본 개시물은 마이크로전자 구조물 및 이의 사용을 위한 하드마스크 중성 층의 제작에서 유도된 자기-조립 패턴 형성의 새로운 방법에 관한 것이다.
현재, 193-nm 이머젼 스캐너(immersion scanner)를 사용하여, 단일 패터닝 광학 리쏘그래피 기술에 대한 실제 레졸루션 한계(genuine resolution limit)는 덴스 라인(dense line)과 스페이스(space)에 대해 37 nm 이다. 그러나, 유도된 자기-조립(directed self-assembly (DSA))이라 불리는 비교적 새로운 논-리쏘그래피 패터닝 기술은 이미 15 nm 미만인 패턴을 형성할 수 있다. DSA는 스스로 순서에 맞는 나노미터-크기의 구조로 재배열되는 일부 분자의 능력을 사용한다. 이러한 자기-조립 분자는 매우 규칙적이면서 교호하는 라인의 연장된 어레이 또는 조밀한 원의 경사진 컨피규레이션을 형성하는 경향이 있다. 적어도 두 개의 서로 다른 성분을 포함하는 블록 코폴리머는 어닐링을 사용하여 정렬될 수 있는, 제안된 DSA 물질이다. 일반적으로, 자기-조립은 기저 표면 및/또는 공기 인터페이스에 대한, 블록 중 하나의 친화도나 선호도에 기초한다. 전형적으로, 이는 평행한 라멜라 층(lamellar layer)을 초래한다. 케모에피택시(chemoepitaxy)나 그래포에피택시(graphoepitaxy)와 같은 사전-패터닝 기술은 DSA와 함께 사용되어, 어닐링 블록된 코폴리머 층에 의해 형성된 교호하는 패턴의 임의성을 줄여서(de-randomize), 이 기술이 IC 제조에 더욱 유용하게 한다. 그래포에피택시에서, 포토레지스트 라인/트랜지와 같은 웨이퍼 표면상의 토포그래피는 자기-조립 공정을 가이드하는데 사용된다. 따라서, DSA는 라인/스페이스 주파수 멀티플리케이션 기술에 특히 유용할 수 있다. 케모에피택시에서, DSA 물질이 도포된 층의 표면 에너지에서의 국소적 베리에이션은 블록 코폴리머가 얼마나 정렬되는지에 영향을 준다. 이러한 접근의 유연성 때문에, DSA는 집적 회로(IC) 제조에 대해 20 nm 미만의 패턴을 형성하기 위한 선두적인 기술로 빠르게 되고 있고, 이러한 유형의 논-리소그래피 기술은 미래에 점점 더 중요해질 것이다.
그러나, 현재의 DSA 공정 흐름은 공정을 복잡하게 할 수 있는 여러 층을 사용한다. 특히, 전형적으로 블록 코폴리머의 DSA는, 기판 표면에 수직 방식으로 패턴 형성을 유도하기 위하여, 블록 코폴리머 층 아래에 스택된, 유기, 중성 "브러쉬" 층을 요한다. 전형적인 PS-b-PMMA 블록 코폴리머에 대해, 이 브러쉬 층은 장시간에 걸쳐 경화되는 스티렌 및 메틸 메타클레이트(PS-r-PMMA)의 랜덤 코폴리머로 구성된다. 전형적으로, 브러쉬 층은 스핀-온 카본(spin-on carbon), 하드마스크 층 및 바텀 반사 방지 코팅물(리쏘그래피 지원된 DSA 기술을 위함)을 이미 포함하는 스택 위에 적용된다. 블록 코폴리머 DSA 제조는 브러쉬 층의 상면에 대략 200 - 400 Å 의 두께로 코팅되고, 어닐링된다. 어닐링 공정에 의해 블록 코-폴리머는 자체적으로 교호하는 조직화된 구조물로 배열한다.
종래의 DSA 공정은 도 1에 도시된다. 상기에서 언급된 바와 같이, 바텀 반사 방지 코팅물은 스택으로 종종 사용되어, 리쏘그래피 사전-패터닝 동안 반사를 제어한다. 이러한 사전-패턴은 포토레지스트의 패터닝과 같은 표준 포토리쏘그래피 기술에 의해 종종 형성된다. 무기 층도 공정 흐름에 포함되어 패턴 이동 공정(가령, CVD 하드 마스크)을 용이하게 한다. 이들 층의 각각은 공정에서 복잡성의 레벨과 층 사이의 화학적 매칭에 대한 문제를 증가시킨다. 또한, 복수의 층 공정은 DSA 흐름의 시간 기간과 비용을 증가시킨다.
따라서, 마이크로전자 기판의 DSA 패터닝을 위한 개선된 합성물 및 방법이 기술 분야에서 필요하다.
본 개시물은 유도된 자기-조립을 사용하여 마이크러전자 구조물을 형성하는 방법에 관한 것이다. 본 방법은 표면을 가진 기판, 상기 기판 표면 상의 하나 이상의 옵션의 중간 층 및 중간 층이 존재하면 중간 층에 인접하고, 중간 층이 존재하지 않으면, 기판 표면 상에 하드마스크 층을 포함하는 웨이퍼 스택을 제공하는 단계를 포함한다. 자기-조립 합성물은 하드마스크 층의 상단에 직접 도포되어서, 자기-조립이 하드마스크 층에 직접 인접한 자기-조립된 층이 되도록 한다. 자기-조립된 층은 제1 자기-조립된 영역 및 상기 제1 자기-조립된 영역과 상이한 제2 자기-조립된 영역을 포함한다.
또한, 마이크로전자 구조물도 본원에서 개시된다. 본 구조물은 표면을 가진 기판, 상기 기판 표면 상의 하나 이상의 옵션의 중간 층, 기판이 존재하면 기판에 인접하고, 기판이 존재하지 않으면, 기판 상의 하드마스크 층 및 하드마스크 층의 상단에 직접 형성된 자기-조립 층을 포함한다. 자기-조립된 층은 제1 자기-조립된 영역 및 상기 제1 자기-조립된 영역과 상이한 제2 자기-조립된 영역을 포함한다.
도면(도) 1은 종래의 DSA 공정의 개략도이다.
도 2(A) - (C)는 본 발명에 따라 형성된 마이크로전자 구조물(크기 조절 안함)을 도시한 개략도이다.
도 3(A) - (F)는 본 발명의 실시예에 따라 형성된 마이크로전자 구조물(크기 조절 안함)을 도시한 개략도이다.
도 4(A) - (F)는 본 발명의 추가 실시예에 따라 형성된 마이크로전자 구조물(크기 조절 안함)을 도시한 개략도이다.
도 5는 실험예 5로부터의 하드마스크 2 상의 PS-b-PMMA 블록 코폴리머(BCP) 내에 형성된 DSA 패턴의 SEM 이미지이다.
도 6은 실험예 6으로부터의 OpiStack®SOC110D-311 물질 상의 하드마스크 2 상의 PS-b-PMMA BCP 내에 형성된 DSA 패턴의 SEM 이미지(300,000X 확대)이다.
도 7은 실험예 6으로부터의 OpiStack®SOC110D-311 물질 상의 하드마스크 2 상의 PS-b-PMMA BCP 내에 형성된 DSA 패턴의 SEM 이미지(100,000X 확대)이다.
도 8은 실험예 9로부터의 OpiStack®SOC110D-311 물질 상의 하드마스크 2 상의 PS-b-PMMA BCP 내에 형성된 DSA 패턴의 SEM 이미지(300,000X 확대)이다.
도 9는 실험예 9로부터의 OpiStack®SOC110D-311 물질 상의 하드마스크 2 상의 PS-b-PMMA BCP 내에 형성된 DSA 패턴의 SEM 이미지(100,000X 확대)이다.
도 10은 13.2 nm/라인 스페이싱을 나타내는 실험예 9로부터의 S-b-PMMA BCP 내에 형성된 DSA 패턴의 SEM 이미지(300,000X 확대)이다.
도 11은 실험예 11의 표면 수정 이후에, 하드마스크 중성 층의 정렬 및 비정렬 능력을 나타내는 SEM 이미지를 도시한다.
도 12는 실험예 13으로부터의 OpiStack®SOC110D-311 물질 상의 하드마스크 4 상의 PS-b-PMMA BCP 내에 형성된 DSA 패턴의 SEM 이미지(300,000X 확대)이다.
도 13은 실험예 15으로부터의 OpiStack®SOC110D-311 물질 상의 하드마스크 5 상의 PS-b-PMMA BCP 내에 형성된 DSA 패턴의 SEM 이미지(300,000X 확대)이다.
도 14는 실험예 16으로부터의 포토레지스트 사전-패턴 사이에 도포된 PS-b-PMMA BCP를 어닐링한 후에 형성된 빽빽한 라멜라 패턴을 나타내는 SEM 이미지(3X 확대)이다.
도 15는 코팅된 리쏘그래피 사전-패턴상의 PS-b-PMMA BCP의 성능 쓰루 포커스와 도스를 나타낸 SEM 이미지를 도시한다.
도 16은 실험예 17에서 수행된 접촉 홀 쉬링크 공정을 나타내는 SEM 이미지를 도시한다.
본 개시물은 DSA 패터닝을 위한 새로운 방법과 구조물과 함께, DSA 공정에서의 사용을 위한 새로운 물질에 관한 것이다. 본 실시예는 공정 내에서, 분리된 중성 브러쉬 층이나 반사 방지 코팅물에 대한 요구를 제거하고, DSA 층이 하드마스크의 상단에 직접 댈 수 있게 한다. 또한, 본 발명은 DSA 패터닝 기술을 용이하게 하기 위하여, 케모에피택시 및/또는 그래포에피택시의 새로운 방법을 제공한다. 도 2(A) - 2(C)는 창의적인 하드마스크 및 방법을 사용하여 멀티-층 스택 및 패터닝의 형성을 나타낸다. 도 2(A)를 참조하면, 표면(10a)을 가진 기판(10)이 제공된다. 기판(10)은 평면 표면을 포함할 수 있고, 또한, 지형(홀, 접촉 홀, 상승된 피쳐등)을 포함할 수 있다. 본원에서 사용되는 바와 같이, "지형"은 기판 표면(10a) 상이나 기판 표면 내에, 구조물의 높이나 뎁스를 말한다. 실리콘, SiGe, SiO2, Si3N4, SiON, 알루미늄, 텅스텐, 텅스텐 실리사이드, 갈륨 아세나이드, 게르마늄, 탄탈륨, 탄탈륨 니트라이드, Ti3N4, 하프늄, HfO2, 류테늄, 인디움 포스파이드, 코럴, 블랙 다이아몬드, 글래스 포스포러스 또는 보론-도핑된 글래스, 알파-카본 및 이들의 혼합물로 구성된 그룹에서 선택된 것을 포함하는 마이크로전자 기판(10)이 본 발명에서 사용될 수 있다.
옵션의 중간 층(12)은 기판(10)의 표면(10a)상에 있거나 형성될 수 있다. 중간 층(12)은 임의의 알려진 응용 방법에 의해 형성될 수 있는데, 하나의 바람직한 방법은 합성물을 약 1,000 내지 5,000 rpm(바람직하게는, 약 1,250 내지 약 1,750 rpm)의 속력으로, 약 30초 내지 120초(바람직하게는, 약 45초 내지 75초) 동안 스핀-코팅하는 것이다. 적합한 중간 층(12)은 스핀-온 카본 층, 무정형 카본 층, 평탄화 층 및 이들의 조합으로 구성된 그룹으로부터 선택된 것을 포함한다. 또한, 복수의 중간 층도 사용될 수 있다(미도시). 하나 이상의 실시예에서, 중간 층(12)은 스택으로 존재하고, 카본-리치 층을 포함한다. 용어 "카본-리치"는 합성물 중량 100%로 잡고, 전체 고체에 기초하여, 중량 50% 이상, 바람직하게는 70% 이상, 더욱 바람직하게는 75% 내지 80% 이상을 포함하는 합성물로부터 형성된 층을 말한다. 적합한 카본-리치 층은 스핀-온 카본 층(SOC), 무정형 카본 층 및 카본 평탄화 층으로 구성된 그룹으로부터 선택된다. 예시적인 카본-리치 층은 두꺼운 층을 형성하는데 적절할 것이고, 중량 100%로 잡은 합성물의 전체 중량에 기초하여, 바람직하게는, 중량%로, 약 0.1% 내지 약 70%, 바람직하게는 약 5% 내지 약 40%, 더욱 바람직하게는 약 10% 내지 약 30%의 고체 함유량을 가질 수 있다. 카본-리치 합성물이 도포된 후에, 약 30초 내지 약 120초, 바람직하게는 약 45초 내지 약 60초 기간 동안에, 약 100℃ 내지 약 300℃, 바람직하게는 약 160℃ 내지 약 250℃로 가열하여 용매를 증발시키는 것이 바람직하다. 베이킹 이후에 카본-리치 층(12)의 평균 두께는 약 10 nm 내지 약 50,000 nm, 바람직하게는 약 50 nm 내지 약 5,000 nm, 더욱 바람직하게는, 약 50 nm 내지 약 1,500 nm 및 좀 더 바람직하게는, 약 50 nm 내지 약 300 nm인 것이 바람직하다.
그리고 나서, 도 2(A)에 도시된 바와 같이, 하드마스크 중성 층(14)이 가장 위의 중간 층(12)(존재한다면)에 인접(즉, 중간 층의 상단상에)하여 형성된다. 중간 층(12)이 존재하지 않는다면, 하드마스크 중성 층(14)이 기판 표면(10a)에 직접 인접하여 형성된다(미도시). 바람직하게는, 하드마스크 층(14)은 약 1,000 내지 5,000 rpm(바람직하게는, 약 1,250 내지 약 1,750 rpm)의 속력으로, 약 30초 내지 120초(바람직하게는, 약 45초 내지 75초) 동안 스핀-코팅에 의해 형성된다. 스핀-적용된 하드마스크 합성물의 사용에 의해 DSA 기술에서 흔히 사용되는 전통적인 CVD 하드마스크의 복잡성을 피할 수 있다. 예시적인 하드마스크 합성물은, 중량 100%로서 경화된 층의 전체 중량에 기초하여, 적어도 중량 실리콘 약 10%, 바람직하게는 중량 실리콘 약 20% 내지 약 45%, 더욱 바람직하게는 중량 실리콘 약 22% 내지 약 38%의 높은 실리콘 함유량을 포함할 것이다. 하드마스크 합성물은 일반적으로, 용매계에서 녹거나 퍼지는 실리콘-함유 폴리머를 포함할 것이고, 여기서, 용어 "폴리머"는 본원에서, 폴리머 및 링크된 모노머의 반복 유닛의 백본을 가지고 약 800 내지 약 100,000 달톤의 평균 분자량을 가진 올리고머를 아우르는데 사용된다. 실리콘-함유 폴리머는 교호하는 실리콘과 산소 원자의 반복 유닛을 포함하고, 바람직하게는, 실란, 실록산 및 실세스퀴옥산과 같은 실리콘 전구체의 중합으로부터 준비된다. 실리콘-함유 폴리머는, 이하에서 좀 더 자세히 논의될 자기-조립 층과 융화할 수 있는 모이어티(moiety)(및 바람직하게는 펜던트 모이어티)를 포함한다. 전구체의 다양한 조합이 폴리머 백본에서 적어도 두 개의 서로 다른 모노머의 반복 유닛을 포함하는 코폴리머를 합성하는데 사용될 수 있다. 원하는 융화성 모이어티를 가진 전구체가 자기-조립 합성물의 특ㄱ정 성질에 의존하여 선택되어서, 폴리머 내의 적어도 하나의 코모노머가 자기-조립 합성물과 융화할 수 있는 모이어티를 포함한다는 것을 인식할 것이다.
하나 이상의 실시예에서, 예시적인 전구 물질은,
Figure 112014107943104-pct00001
Figure 112014107943104-pct00002
로 구성된 그룹에서 선택되는 것 및 이들의 조합을 포함하고, 여기서 각각의 R은 -H, 알킬(바람직하게는 C1-C6 알킬, 좀 더 바람직하게는 C1-C3 알킬), 아세테이트 그룹 및 이들의 조합으로부터 개별적으로 선택되며, 각각의 R1은 개별적으로 융화성 모이어티이다. 바람직하게는, 선택된 전구 물질의 적어도 하나는 융화성 모이어티인 R1 치환체를 가진다. 본원에서 사용되는 용어 "융화성 모이어티(compatible moiety)"는 이하에서 좀 더 자세히 논의되는, 선택된 자기-조립 합성물 내의 성분 중 하나와 일치하는 폴리머(및 바람직하게는 그 곳에서 나온 펜던트)에 부착된 모이어티 또는 기능성 그룹을 말한다. 다시 말해, 융화성 모이어티는 형태, 기능 또는 특징에 있어서, 자기-조립 합성물 내의 성분과 동일, 유사 또는 등가이므로, 융화성 모이어티와 성분간에는 친화력이 있다. 이러한 친화력은 자기-조립 합성물의 조립 동안에 패턴 형성을 유도한다. 기술 분야에서 당업자는 적절한 융화성 모이어티가 사용될 자기-조립 물질에 기초하여 선택될 수 있다는 것을 인식할 것이다. 하나 이상의 실시예에서, R1 융화성 모이어티는 알킬(바람직하게는 C1-C20 알킬, 더욱 바람직하게는 C1-C6 알킬), 광 감쇠 모이어티, 불화계 탄화수소, 에스테르, 에테르 및 이들의 조합으로 구성된 그룹에서 선택될 수 있다. 예를 들어, 적합한 광 감쇠 모이어티는 페닐, 나프탈렌, 안트라센 및/또는 카르바졸과 같은 방향성 그룹을 포함한다. 이러한 광 감쇠 모이어티는 높은 굴절률 코팅물을 만드는데 사용될 수도 있다. 다시 말해, 융화성 모이어티가 광 흡수를 제공함은 물론, 유도된 자기-조립을 위한 융화성 모이어티로서의 역할을 하는 것을 포함하는 복수의 기능을 수행하기 위해 선택될 수 있다는 것이 구상된다. 또한, 하나 이상의 융화성 모이어티가 동시에 하드마스크에 사용될 수 있다는 것도 인식할 것이고, 일부 실시예에서, 적어도 두 개의 서로 다른 융화성 모이어티가 하드마스크 합성물에 포함된다는 것이 바람직하다.
어떤 시판되는 하드마스크가 본 발명에서 사용될 수 있다. 그 밖의 바람직한 하드마스크 층은 펜에틸트리메톡시실란 (PETMS), 2-(카보메톡시)에틸트리메톡시실란(CMETMS), 테트라에톡시실란(TEOS), 메틸트리메톡시실란 및/또는 페닐트리메톡시실란을 포함하는 그룹에서 선택되는 모노머의 코폴리머를 포함한다.
실리콘-함유 폴리머(와 그래서 하드마스크 층(14))의 기능성 및 기능은 폴리머의 치환기를 바꿈으로써(가령, 상기 언급된 바와 같이 융화성 모이어티를 바꿈으로써) 맞춤될 수 있다는 것을 인식할 것이다. 하나 이상의 실시예에서, 실리콘-함유 폴리머는 패턴 형성을 유도하기 위하여, 적어도 둘, 바람직하게는 세 개 모두의 이하의 속성(광 흡수성, 플루오화 에칭용 시약에서 에칭 저항성, 및/또는 융화성(즉, 표면 에너지))을 하드마스크 층(14)에 주는 그룹을 포함한다. 하드마스크의 특징은 본 공정에서 사용될 DSA 물질의 속성에 의존하여 수정될 필요가 있다는 것을 더욱 인식해야 할 것이다. 하나 이상의 실시예에서, 실로콘-함유 폴리머는, 바람직하게는, 하나 이상의 치환 사이드 체인을 가진 브랜치 폴리머이고, 더욱 바람직하게는, 케이지(cage)(즉, 백본) 및 그로부터 적절한 치환기 펜던트를 형성하는 교호하는 실리콘과 산소 원자를 포함하는 케이지-타입 구조를 형성하는 2-D 및/또는 3-D 브랜칭을 가진다. 하나 이상의 실시예에서, 2-D 또는 3-D 구조는,
Figure 112014107943104-pct00003
및 옵션으로
Figure 112014107943104-pct00004
의 반복되는 유닛을 포함하며, 여기서, 각각의 R1은 개별적으로 상기에서 정의된 융화성 모이어티이다. 전형적으로, 실리콘-함유 폴리머는 펜던트 치환기인 말단 그룹 또는 -OH 그룹을 포함한다. 특히 바람직한 실리콘-함유 폴리머는 이하의 구조식을 포함할 것인데,
Figure 112014107943104-pct00005
여기서, m 은 백본(케이지)에서의 분포나 위치와 관계없이, 모노머의 반복 유닛을 나타내며, "구불구불한(squiggly)" 라인은 모노머의 반복 유닛에 연결되는 말단 -OH 그룹(말단 캡)일 수 있는 추가 폴리머 백본 구조를 나타내며, 각각의 R1은 상기에서 정의된 바와 같이, 개별 융화성 모이어티이다. 합성물에 존재할 수 있는 첨가제는 표면 에너지 변형제(modifier), 크로모포어(chromophore), 흐름 변형제, 부착 변형제등을 포함한다. 이러한 첨가제는 하드마스크 폴리머내에 코-모노머로서 존재하거나, 또는, 간단히 합성물 내로 물리적으로 혼합될 수 있다.
하드마스크 합성물에서 사용을 위한 적절한 용매계는, 프로필렌 글리콜 모노메틸 에테르 (PGME), 프로필렌 글리콜 모노메틸 에테르 아세테이트 (PGMEA), 감마-부티로락톤 (GBL), 사이클로헥사논, 사이클로펜타논, 에틸 락테이트 (EL), 에틸아세토아세테이트 (EAA), n-부틸 아세테이트, 메틸 이소부틸 카르비놀 (MIBC), 2-헵타논, 이소프로필 알코올 (IPA), 메틸 에틸 케톤 (MEK) 및 이들의 혼합물로 구성된 그룹에서 선택된 용매를 포함할 것이다. 바람직하게는, 용매계는 약 80 내지 약 210℃, 더욱 바람직하게는 약 90 내지 약 205℃의 끓는점을 가진다. 바람직하게는, 용매계는, 중량 100%로서 합성물 내의 모든 구성요소의 전체 중량에 기초하여, 중량으로 약 30% 내지 약 99.9%, 바람직하게는 약 90% 내지 약 99.5%, 더욱 바람직하게는 약 98% 내지 약 99%의 레벨에서 사용된다. 하나 이상의 실시예에서, 하드마스크 합성물은, 중량 100%로서 합성물의 전체 중량에 기초하여, 약 0.1% 내지 약 70%, 바람직하게는 약 0.5% 내지 약 10%, 더욱 바람직하게는 약 1% 내지 약 2%의 고체 함유량을 가질 것이다.
실리콘-함유 폴리머는, 계면활성제, 산 또는 염 촉매, 모노머, 폴리머, 나노입자 및/또는 가교제와 함께, 용매계에서 퍼지거나 용해된다. 예시적인 촉매는 벤질트리에틸암모늄 클로라이드, 암모늄 아세테이트, 리튬 아세테이트, 테트라메틸 암모늄 하이드록사이드, 염기성 염등을 포함한다. 가교제는 합성물 내에 포함될 수 있는데, 본 발명은 가교제가 불필요하다는 점에서 구별되는 이점을 제공한다. 이는, 하드마스크가 그 자체 내에서 필요한 경화 및/또는 가교성을 제공하여, 높은 가교성 밀도를 가진 하드마스크 층을 생산한다는 것이다. 하나 이상의 실시예에서, 바람직하게는, 하드마스크 합성물은 중량 100%로서, 고체의 전체 중량에 기초하여, 가교제 중량 3% 미만, 더욱 바람직하게는 가교제 중량 1% 미만, 더욱 바람직하게는 가교제 0.1% 미만을 포함하는 것이 바람직하다. 본원에서 사용되는 용어 "가교제"는 크로스링커와 교환적으로 사용되고, 아미노플라스트, 크레졸, 에폭시(존재할 때, 졸-겔 화합물로 존재하는 것 이외에), 폴리올, 안하이드라이드, 글리시딜 에테르, 비닐 에테르, 멜라민, 글리코유릴, 벤조구아나민 및 이의 혼합물과 같은 화합물을 포함한다.
실시예와 관계없이, 하드마스크가 도포된 이후에, 약 30초 내지 약 120초, 바람직하게는 약 45초 내지 약 60초 기간 동안에, 약 100℃ 내지 약 300℃, 바람직하게는 약 160℃ 내지 약 250℃로 가열하여 용매를 증발시키고 하드마스크 층(14)을 경화시키거나 가교시키는 것이 바람직하다. 하나 이상의 실시예에서, 가교된 하드마스크 층(14)은 실록산 가교를 포함할 것이다. 하나 이상의 실시예에서, 하드마스크 합성물은 감광성이 아니고, 광 또는 방사선에 노출되는 화학적이나 물리적 변화를 겪지 않는다. 예를 들어, 일부 실시예에서, 경화된 하드마스크 층(14)은 현상액 가용성(developer soluble)이 아니고, 광에 노출되는 현상액-가용성이 되지 않는다.
베이킹 이후에 하드마스크 층(14)의 평균 두께(5개의 지점에 걸쳐 측정됨)는 약 5 nm 내지 약 50,000 nm, 더욱 바람직하게는 약 5 nm 내지 약 1000 nm, 더욱 바람직하게는 약 10 nm 내지 약 100 nm이다. 결과로 나온 하드마스크 층(14)은 약 500 nm 미만(가령, 365 nm, 248 nm, 193 nm, 157 nm, 또는 13.5 nm)의 파장을 포함하는 넓은 범위의 파장에서 광 흡수 특성을 가지는 것이 바람직하다. 하드마스크 층(14)은, 적어도 약 0.05, 바람직하게는 약 0.1 내지 약 0.3, 더욱 바람직하게는 약 0.15 내지 약 0.25의 k 값(굴절의 복소수 인덱스의 허수 성분) 및 적어도 약 1.45, 바람직하게는 약 1.55 내지 약 2,25, 더욱 바람직하게는 약 1.65 내지 약 2의 n 값(굴절의 복소수 인덱스의 실수 성분)을 가질 것이다. 이들 값은 상기 나열된 넓은 범위의 파장에서 얻을 수 있다. 하드마스크 층(14)은 이하에서 좀 더 자세히 논의되는 바와 같이, 높은 가교성 밀도와 높은 용매 저항성을 가질 것이다.
창의적인 하드마스크 중성 층(14)의 형성이, 특별한 기계처리를 요하는 종래의 CVD 하드마스크 층 및 여러 시간의 어닐링과 DSA에 적합한 구조를 형성하기 위한 용매 세척을 요하는 이후에 도포되는 브러쉬 중성 층에 의해 요구되는 복잡성을 피할 수 있게 한다. 따라서, 스핀-도포된 하드마스크 중성 층은 기술 분야의 현재 상태를 넘는 현저한 이점을 제공한다.
바람직하게는, 자기-조립 층(16)은 하드마스크 층(14)의 상단에 직접 도포될 수 있다. 다시 말해, 두 층 사이에 반사 방지 층이나 브러쉬 중성 층과 같은 간섭 층이 없어서, 자기 조립 층(16)이 도 2(A)에 도시된 바와 같이, 하드마스크 층(14)의 표면의 적어도 일부에 직접 접촉한다. 자기-조립 층(16)은 DSA를 위한 임의의 적절한 물질을 사용하여 형성될 수 있다. 일반적으로, 이러한 물질은 둘 이상의 혼합되지 않는 화합물(가령, 폴리머 블렌드) 또는 기능성, 극성 또는 물 친화력, 에칭 저항성등과 같은 구별되는 (및 종종 반대의) 특징을 가진 적어도 두 개의 자기-조립 화합물을 포함하고, 이에 의해, 순리적으로 두 화합물 또는 성분의 분리(본질적으로 나노단계 분리) 및 정렬은 물론, 하나의 화합물이나 성분의 선택적인 제거를 할 수 있게 한다. 블록 코폴리머는 적절한 조건하에서 각 성분이 정렬되도록 하는 적어도 두 개의 구별되는 블록을 포함하여 합성될 수 있고 정렬 후에 선택적으로 제거될 수 있기 때문에, DSA 기술에 특히 잘 어울린다. 하나 이상의 실시예에서, 자기-조립 합성물은 O2 가스에서 높은 에칭률을 가지는 적어도 하나의 블록 및 O2 가스에서 낮은 에칭률을 가지는 적어도 제2 블록을 포함하는 블록 코폴리머를 포함한다. 폴리스티렌-블록-폴리(메틸 메타크릴레이트) 코폴리머(PS-b-PMMA)는 본 발명에서의 사용에 적절한 DSA 물질의 일 예시이다. 일반적으로, 이들 블록 코폴리머 분자는 PMMA의 스트링에 연결된 PS의 스트링을 포함한다. DSA 블록 코폴리머에서 흔히 사용되는 다른 블록은 폴리(락틱 산)(PLA), 폴리(에틸렌 옥사이드)(PEO), 폴리디메틸실록산(PDMS), 폴리비닐피롤리돈(PVP;P2VP)등을 포함한다. 또한, 변형된 블록 코폴리머도 본 발명에서 사용될 수 있다. 예를 들어, 개별 블록이 변형되어 코폴리머 블록을 생성할 수 있다. 다시 말해, 개별 블록 자체는 그 하나의 블록 유닛 내에 코폴리머를 포함하고, 이 코폴리머 블록이 도 다른 개별 블록과 연결되어 변형된 블록 코폴리머를 생성할 것이다. 예를 들어, 폴리(스티렌) 블록은 비닐벤조사이클로부텐과 변형되어서 폴리(스티렌-코-비닐벤조사이클로부텐)의 블록을 생성할 수 있고, 이는 상기 기술된 바와 같이, PMMA와 연결될 수 있다. 이러한 변형은, 가령, 층이 어닐링된 후에 폴리(스티렌) 블록의 가교 밀도를 증가시키고, 제1 및 제2 블록의 서로 다른 특징을 향상시켜서 자기-조립 이후에 하나의 블록의 선택적인 제거를 용이하게 할 수 있다. 적절한 코폴리머나 변형된 코폴리머의 가상적으로 임의의 조합이 자기-조립을 위한 기능적으로 구별되는 블록을 가진 블록 코폴리머를 형성하는데 사용될 수 있다는 것을 인식해야 할 것이다. 따라서, 선택된 DSA 물질에 따라, 하드마스크 층(14) 및 자기-조립 층(16)은 서로 조화시켜서, 하드마스크 융화성 모이어티는 자기-조립 동안에 패턴 형성을 유도한다.
전형적으로, 자기-조립 합성물은 구조물에 가해지기 위해 용매계에서 퍼지거나 용해되는 자기-조립 화합물을 포함할 것이다. 적절한 용매는 하드마스크 층을 위해 상기 논의된 용매는 물론, PGME, PGMEA, GBL, 사이클로헥사논, 사이클로펜타논, EL, EAA, n-부틸 아세테이트, MIBC, 2-헵타논, IPA, MEK 및 이들의 혼합물을 포함한다. 바람직하게는, 가교된 하드마스크 층(14)은 DSA 층(16)은 물론 흔한 포토레지스트 현상액에서 사용되는 용매에서 실질적으로 용해되지 않을 것이다. 이에 의해, 자기-조립 층(16)은 어떠한 혼합없이 인접한 하드마스크(14)에 직접 형성될 수 있다. 따라서, 스트리핑 테스트될 때, 가교된 하드마스크 층(14)은 약 5% 미만, 바람직하게는 약 1% 미만, 더욱 바람직하게는 0%의 퍼센트 스트리핑을 가질 것이다. 스트리핑 테스트는 우선, 층(14)의 서로 다른 5개의 지점에서의 평균 측정으로 두께를 결정하는 것과 관계된다. 이것이 초기 평균 필름 두께이다. 다음으로, 필름은 약 30초 동안에 용매 또는 현상액으로 세척된 후에, 용매를 제거하기 위해 약 20 - 60초 동안 약 500 - 3,000 rpm으로 스핀 드라잉한다. 엘립소미트리를 사용하여, 두께는 웨이퍼의 5 지점에서 다시 측정되고, 이들 측정의 평균이 결정된다. 이것이 최종 평균 필름 두께이다. 스트리핑의 야은 초기 평균 필름 두께와 최종 평균 필름 두께간의 차이이다. 퍼센트 스트리핑은,
Figure 112014107943104-pct00006
자기-조립 층(16)은 도 2(B)에 도시된 바와 같이, 자기-조립된다. 자기-조립은 열적 어닐링, 용매 어닐링, 마이크로웨이브 어닐링 및 이들의 조합을 포함하는 임의의 적절한 기술을 사용하여 수행될 수 있다. 하나 이상의 실시예에서, 자기-조립 층(16)은 충분한 온도, 바람직하게는, 자기-조립 화합물의 유리 전이 온도(Tg) 이상의 온도로 가열하여 열적 어닐링된다. 하나 이상의 실시예에서, 자기-조립 층(16)은, 약 30초 내지 약 10분(바람직하게는 약 1분 내지 약 5분) 동안, 약 100℃ 내지 약 350℃ (바람직하게는 약 150℃ 내지 약 250℃)의 온도로 가열된다. 특정 어닐링 조건은 사용되는 특정 자기-조립 물질에 의존하고, 이에 따라 달라질 것이라는 점을 인식해야 할 것이다.
다시 도 2(B)를 참조하면, 어닐링과 자기-조립 동안에, 자기-조립 화합물의 서로 다른 성분은 그들의 구별되는 특징에 따라 분리되어서, 자기-조립된 또는 "어닐링된" 층(16')은 본질적으로 적어도 두 개의 서로 다른 자기-조립된 영역이나 "어닐링된" 영역으로 세분화된다. 특히, 하나 이상의 실시예에서, 자기-조립된 층(16')은 제1 자기-조립되거나 어닐링된 영역(16a)과 제2 자기-조립되거나 어닐링된 영역(16b)를 포함하고, 이는 서로 기판(10)에 대해 수직으로 배향된다. 예를 들어, PS-b-PMMA 블록 코폴리머에 대하여, 자기-조립 동안에, 각 체인의 PMMA 사이드는 서로를 향해 나오고, 체인의 PS 사이드는 하드마스크(14) 내의 융화성 모이어티(즉, 페닐 그룹)에 대한 친화력을 가진다. 사용된 기술에 의존하여, 이는 PMMA 부분이 함께 동그랗게 되어(ball), 폴리스티렌(16b)의 매트릭스 내에 기판에 실질적으로 수직인, PMMA의 실린더나 층(16a)을 형성하는 결과를 초래한다. PMMA(16a)의 제거는 나노미터-크기의 홀이나 트렌지를 가진 폴리스티렌 표면(16b)을 남길 것이다. 따라서, 제1 및 제2 자기-조립 영역(16a 및 16b)은 합성적으로(즉, 화학적 및 바람직하게는 물리적으로) 서로 구별되고, 제1 또는 제2 자기-조립 영역 중 하나는 선택적으로 제거되어 패턴(20)을 생성할 수 있다. 예를 들어, 제1 조립 영역(16a)은 습식 또는 건식 에칭액에서 제1 에칭률을 가질 수 있는 반면, 제2 조립 영역(16b)은 습식 또는 건식 에칭액에서 제2 에칭률을 가질 수 있는데, 여기서, 제1 에칭률과 제2 에칭률은 서로 다르다. 특히, 제1 에칭률은 사용된 에칭액에 따라 제2 에칭률보다 빠르거나 느릴 것이다. 따라서, 적합한 에칭액은 제1 또는 제2 조립 영역(16a 또는 16b) 중 하나를 선택적으로 제거하도록 선택될 수 있고, 제1 또는 제2 조립 영역(16a 또는 16b)의 다른 것은 하드마스크 층(14)에 실질적으로 상호작용(또는 적어도 실질적으로 제거되지 않음)하도록 남겨두어서, 패턴(20)을 생성할 수 있다.
예를 들어, 도 2(C)에 도시된 바와 같이, 제1 자기-조립 영역(16a)은 선택적으로 제거되어서, 패턴화된 스택(18') 상에 자기-조립 층(16') 내의 패턴(20)을 생성할 수 있다. 전형적으로, 패턴(20)은 어닐링된 자기-조립 층(16') 내의 트렌치, 스페이스, 비아홀 및/또는 접촉홀과 같은 특징부(feature)로 구성될 것이다. 바람직하게는, 이들 특징부는 약 30 nm 미만의 평균(평균의) 각각의 특징부 크기를 가질 것이다. 본원에서 사용되는 용어 "특징부 크기"는 스택의 SEM 횡단에 대해 측정된 특징부의 평규(평균의) 너비를 말한다(따라서, 홀의 경우에, 너비는 홀의 지름과 같음). 습식 또는 건식 에칭과 같은 다양한 제거 기술이 특정 자기-조립 화합물에 의존하여 사용될 수 있다. 하나 이상의 실시예에서, 제1 자기-조립 영역(16a)은 건식 에칭(가령, O2 플라즈마를 사용)에 의해 제거될 수 있다. 그리고 나서, 패턴(20)은 하드마스크 층(14) 및 카본-리치 층(12), 그리고 궁극적으로 기판(10)(미도시) 내부로 이동될 수 있다. 하나 이상의 실시예에서, 하드마스크 층(14)은 불소계 가스 에칭액에서 높은 에칭률을 가져야 하고, 산소 가스 에칭액에서 낮은 에칭률을 가져야 한다. 예를 들어, 하드마스크 층(14)은, 불소-리치 플라즈마(가령, CF4)가 에칭액으로 사용될 때, 자기-조립 층(16')의 제2 자기-조립 영역(16b)의 에칭률 보다 적어도 약 0.75배 빠른 에칭률을 가져야 한다. 하드마스크 층(14)은 또한, 산소-리치 플라즈마가 에칭액으로 사용될 때, 카본-리치 중간 층(12) 보다 적어도 약 5배 느린 에칭률을 가져야 한다.
도 3은 리쏘그래피 지원된(가령, 그래포에피택시) 자기-조립을 사용하는 본 발명의 추가 실시예를 나타낸다. 스택(18)은, 기판(10), 옵션 중간 층(가령, 카본-리치 층)(12) 및 하드마스크 층(14)를 사용하여 상기 기술된 바와 같이 준비된다. 그리고 나서, 감광성 합성물은 경화된 하드마스크 층(14)에 도포되어 이미징 층(22)을 형성한다. 결과로 나온 스택(18)이 도 3(A)에 도시된다. 이미징 층(22)으로 사용하기 위한 적합한 감광성 합성물은 포토레지스트, 반사 방지 이미징 층등과 같은, 적어도 약 1 mJ/㎠ 으로 노출되어 패턴화될 수 있는 임의의 합성물을 포함한다. 그리고 나서, 이미징 층(22)은, 약 10 초 내지 약 120 초(바람직하게는 약 30 초 내지 약 60초) 의 기간 동안에, 적어도 약 80℃, 바람직하게는 약 100℃ 내지 약 140℃의 온도에서 포스트-어플리케이션 베이크(PAB)될 수 있다. 이미징 층(22)의 두께는 약 10 nm 내지 약 300 nm, 바람직하게는 약 20 nm 내지 약 150 nm, 더욱 바람직하게는 약 30 nm 내지 약 100 nm 일 수 있다.
도 3(A)에 도시된 바와 같이, 이미징 층(22)은, 가령, 적절한 파장의 방사선(가령, 광학 리쏘그래피의 경우에서 광)에 노출시킨 후에, 이미징 층(22)의 노출되지 않은 부분을 현상시켜서 패턴화될 수 있다. 일 실시예에서, 도 3(A)에 도시된 바와 같이, 이미징 층(22)은 이미징 층(22) 위에 위치된 마스크(24)를 사용하여 노출된다. 마스크(24)는 방사선(hv)이 마스크(24)를 통과시키도록 하고, 이미징 층(22)에 접촉하여, (네거티브-톤 포토레지스트를 사용할 때) 용매에서 불용성이 되는 이미징 층(22)의 노출된 부분을 생성하도록 설계된 개방 영역(24a)을 가진다. 마스크(24)의 남는 솔리드 부분(24b)은 어떤 영역에서 방사선이 이미징 층(22)에 접촉하는 것을 막도록 설계되어, 용매 용해성으로 남는 이미징 층의 비노출 부분을 생성한다. 당업자는, 본 방법이 이미징 층(14)의 대부분이 방사선으로부터 가려져서 라인과 필러와 같은 상승된 특징부를 형성하는 다크-필드 노출에 특히 적합함에도 불구하고, 개방 영역(24a)과 솔리드 부분(24b)의 배열이 이미징 층(22)에 형성될 원하는 패턴에 기초하여 설계된다는 것을 용이하게 이해할 것이다. 노출 이후에, 이미징 층(22)은, 약 30 초 내지 약 60 초의 기간 동안에, 약 80℃ 내지 약 150℃, 바람직하게는 약 100℃ 내지 약 130℃의 온도에서 포스트-노출 베이크(PEB)되는 것이 바람직하다.
노출되면, 방사선에 노출된 이미징 층(22)의 부분은 유기(논-알칼린) 용매 현상액에서 불용성이 된다. 도 3(B)에 도시된 바와 같이, 노출된 이미징 층(22)은 용매와 접촉하여 노출되지 않은 부분을 제거하여 이미징 층(22) 내에 원하는 사전-패턴(20')을 형성한다. 대안적으로, 파지티브-톤 포토레지스트를 사용할 때, 이미징 층(22)의 노출된 부분은 노출 공정 동안에 아쿠아 알칼라인 현상액에서 용해될 수 있고, 이 경우에, 제거 공정은 상기 기술된 것과 반대로 된다. 즉, 노출된 부분이 현상 동안에 제거되어 패턴(미도시)을 형성한다. 두 실시예에서, 이미징 층(22)의 노출되지 않은 (또는 경우에 따라 노출된) 부분의 적어도 약 95%는 현상액에 의해 제거되는 것이 바람직하고, 더욱 바람직하게는 약 99%, 더욱 더 바람직하게는 약 100%가 제거될 것이다. 적합한 비-알칼라인 용매 현상액은 n-부틸 아세테이트, n-부틸 프로피오네이트, 이소부틸 부티레이트 및/또는 키톤(가령, 2-헵타논)을 포함한다. 파지티브-톤 아미징 층을 위해 적합한 알칼린 현상액은 포타슘 하이드록사이드(KOH)와 테트라메틸 암모늄 하이드록사이드(TMAH)와 같은 유기 또는 무기 알칼라인 용액이고, 바람직하게는, 0.26N 또는 그 이하의 농도인 TMAH의 수용액을 포함한다. 이들 현상액의 일부는 상표명 PD523AD (Moses Lake Industries, Inc., Moses Lake, WA에서 시판), MF-319 (Shipley, Massachusetts에서 시판), MF-320 (Shipley에서 시판) 및 NMD3 (TOK, Japan에서 시판)에서 살 수 있다.
따라서, 사전-패턴 형성은, 이미징 층(22)의 선택된 부분이 스택(18')에서 제거될 때, 이미징 층(22) 밑의 하드마스크 층(14)의 부분이 커버되지 않거나 노출되는 결과를 초래한다. 도 3(B)는 패턴화된 스택(18')을 도시한다. 결과로 나온 사전-패턴(20')은 하드마스크 층(14) 상에 형성된, 상승된 특징부(22')(가령, 라인, 필러, 정사각형 섬 또는 이들의 조합)를 포함하는 것이 바람직하다. 이들 특징부(22')는 이미징 층(22)의 노출된 부분과 화학적으로 일치하고, 각각의 측벽(22a)과 각각의 상단 표면(22b)에 의해 각각 형성된다. 대안적인 실시예에서, 복수의 패터닝 공정은 물론 이머젼 리쏘그래피를 포함하여, 임의의 그 밖의 적합한 패터닝 공정이 이미징 층(22)을 패턴화하는데 사용되고, 상승된 특징부(22')를 형성하는데 사용될 수 있다는 것을 인식할 것이다. 상기에서 언급된 바와 같이, 파지티브-톤 레지스트나 감광성 물질도, 본원에서 사용되는 네거티브-톤 이미징 층(22) 대신에 사용될 수 있다는 것도 인식할 것이다. 그 경우에, 이미징 층(22)의 노출되지 않은 부분은 불용성으로 유지되는 반면, 노출된 부분은 용해성이 되어 현상액으로 제거된다. 임프린트 리쏘그래피, 나노-임프린트 리쏘그래피, 핫 엠보싱 리쏘그래피 및 스탬핑 패턴 이동과 같은 이머징 기술을 포함하는 다른 패터닝 방법도 사용될 수 있다. 이들 기술은 패턴화된 몰드를 사용하여, 상기에서 기술된 바와 같은 포토리쏘그래픽 패터닝에 의존하는 대신 패턴을 이동시킨다.
실시예와 관계없이, 원하는 사전-패턴(20')이 형성되면, 자기-조립 합성물(16)이 패턴화된 스택(18')에 도포되어서, 도 3(C)에 도시된 바와 같이, 상승된 특징부(22')(하드마스크(14)에 직접 인접한)들 사이의 스페이스 및 상승된 특징부(22')의 측벽(22a)으로 흐른다. 하나 이상의 실시예에서, 자기-조립 합성물(16)은 상승된 특징부(22')의 상단 표면(22b)을 오버코트(overcoat)할 수 있다. 그러나, 다른 실시예에서, 자기-조립 합성물(16)은 상승된 특징부(22')의 상단 표면(22b)을 오버코트(overcoat)하지 않는 것이 바람직하다. 다시 말해, 자기-조립 합성물(16)은 상승된 특징부(22') 및 인접한 특징부 측벽(22a) 사이에 용착되나, 상승된 특징부(22')의 상단 표면(22b)에는 비어있다. 그 결과, 상승된 특징부(22')의 상단 표면(22b)은, 사전-패턴(20')을 노출시키기 위해 에치-백 스텝(etch-back step)이나 자기-조립 층(16)의 다른 변형없이, 용매 제거나 에칭을 통하여 용이하게 제거되어 개방된다.
그리고 나서, 자기-조립 합성물(16)은, 상기에서 기술된 바와 같이, 자기-조립되거나 어닐링되어서, 자기-조립되거나 어닐링 층(16') 내에 제1 자기-조립 영역(16a) 및 제2 자기-조립 영역(16b)을 생성하는데, 제1 자기-조립 영역과 제2 자기-조립 영역 중 하나는 상승된 특징부 측벽(22a)에 인접하고, 제1 자기-조립 영역과 제2 자기-조립 영역 중 다른 것은 상승된 특징부(22')로부터 격리된다. 도 3(D)에 도시된 바와 같이, 제1 자기-조립 영역(16a)은 상승된 특징부 측벽(22a)에서 격리(즉, 비접촉)되는 반면, 제2 자기-조립 영역(16b)은 상승된 특징부 측벽(22b)에 인접한다. 예를 들어, PS-b-PMMA 블록 코폴리머 자기-조립 물질의 경우에, 폴리스티렌(16b)은 포토레지스트 측벽(22b)에 인접하게 정렬되는 반면, PMMA 블록(16a)들은 서로를 향해 끌어 당기고, 폴리스티렌의 인접한 자기-조립 영역들 사이에서 격리된다.
그리고 나서, 제1 또는 제2 자기-조립 영역(16a, 16b) 중 하나는 제거되어 패턴(20)을 생성한다. 예를 들어, 도 3(E) 및 (F)를 참조하면, 제1 자기-조립 영역(16a)은 제거되어 패턴화된 스택(18')상의 자기-조립된 자기-조립 층(16')에서 패턴(20)을 생성한 후에, 이 패턴을 하드마스크(!4') 및 카본-리치 중간 층(12')내로 이동시킨다. 제1 자기-조립 영역(16a) 대신에, 제2 자기-조립 영역(16b)이 제거될 수도 있다는 것을 인식해야 할 것이다. 이와 상관 없이, 결과로 나온 패턴(20)은 결국 기판(10)으로 이동된다(미도시).
본 발명의 하나의 이점은, 하드마스크 중성 층의 표면 특성이, 자기-조립 물질의 정렬을 용이하게 할 중성 층에서 자기-조립 물질이 어닐링이나 자기-조립 동안에 정렬되지 않을 비-정렬 층으로 변형될 수 있다는 것이다. 도 4를 참조하면, 케모에피택시를 위한 하드마스크 층(14)을 변형시키는 일 실시예가 도시된다. 상기 기술되는 바와 같이, 기판(10), 옵션의 중간 층(12), 하드마스크 중성 층(!4) 및 이미징 층(22)을 포함하는 스택이 준비된다. 그리고 나서, 이미징 층(22)이 패턴화된다. 도 4(A)에 도시된 실시예는, 방사선(hv)이 마스크(24)를 통과시키고, 이미징 층(22)과 접촉하여 현상액에서 용해되는 (파지티브-톤 포토레지스트를 사용할 때) 이미징 층(22)의 노출된 부분을 생성하도록 설계된 개방 영역(24a)을 가진 마스크(24)를 사용하여, 이미징 층(22)을 패턴화하기 위한 광학 리쏘그래피 기술을 도시한다. 마스크(24)의 남는 솔리드 부분(24b)은 어떤 영역에서 방사선이 이미징 층(22)에 접촉하는 것을 막도록 설계되어, 용매 용해성으로 남는 이미징 층의 비노출 부분을 생성한다. 다시, 상기 설명한 바와 같이, 당업자는, 개방 영역(24a)과 솔리드 부분(24b)의 배열이 이미징 층(22)에 형성될 원하는 사전-패턴에 기초하여 설계된다는 것을 용이하게 이해할 것이다. 노출 이후에, 이미징 층(22)은, 약 30 초 내지 약 60 초의 기간 동안에, 약 80℃ 내지 약 150℃, 바람직하게는 약 100℃ 내지 약 130℃의 온도에서 포스트-노출 베이크(PEB)되는 것이 바람직하다.
파지티브-톤 포토레지스트가 사용될 때, 도 4(B)에 도시된 바와 같이, 이미징 층(22')의 노출된 부분은 알칼라인 현상액 접촉에 의해 제거된다. 상기 기술된 바와 같이, 이미징 층(22)을 패터닝하는 대안적인 방법이, 이미징 층(22)의 선택된 부분을 제거하여 사전-패턴(20')을 생성하려는 목적으로 사용될 수 있다. 따라서, 사전-패턴 형성은, 이미징 층(22)의 선택된 부분이 스택(18')에서 제거될 때, 이미징 층(22) 밑의 하드마스크 층(14)의 부분이 커버되지 않거나 노출되는 결과를 초래한다. 하드마스크(14)에 인접한 이미징 층(22')의 남은 부분은 하드마스크 중성 층(14)의 표면 변형을 위한 마스크로서의 역할을 한다. 하나 이상의 실시예에서, 이미징 층(22)은 광학 리쏘그래피와 알칼린 현상액 세척을 사용하여 패턴화된다. 대안적으로, 이미징 층은 또 다른 적합한 방법을 사용하여 패턴화된 후에, 알칼린 현상 용액을 접촉한다. 이와 상관 없이, 하드마스크 층(14)의 노출된 부분은 알칼린 현상 용액과 접촉한다(별도로, 또는 현상액 세척 동안). 도 4(C)에 도시된 바와 같이, 이미징 층(22')의 남은 부분이 제거되어(가령, 용매로), 표면-변형된 영역(26a)와 비변형 부분(26b)을 가진 하드마스크 층(14)을 생성하는데, 여기서, 표면-변형된 영역(26a)은 이미징 층(22)의 패턴화동안 커버되지 않은 하드마스크(14)의 부분과 일치한다. 바람직하게는, 알칼린 현상액과의 접촉은 하드마스크 층(14)의 표면 에너지를 변화시킨다. 하나 이상의 실시예에서, 표면 에너지는 증가하여, 하드마스크(14)의 표면-변형된 영역(16a)이 중성 층으로서의 역할을 하는 그 능력을 잃게하고, 자기-조립 공정 동안에 정렬을 유도한다. 그러나, 패턴닝과 현상액 접촉 동안에 이미징 층(22)에 의해 커버된 하드마스크(14)의 비-변형된 영역(26b)은 여전히 중성 층 특성을 유지한다. 따라서, 표면-변형된 영역(26a)은 비-정렬된 영역과 일치하는 반면, 비-변형된 영역(26b)은 하드마스크(14) 상의 정렬 영역과 일치한다. 그러므로, 활성 정렬 영역(26b)은 자기-조립 동안에 패턴 형성을 위한 구조물을 유도하는 능력을 가진다.
도 4(D)에 도시된 바와 같이, 자기-조립 층(16)은 표면-변형된 하드마스크 층(14)의 상단에 직접 형성되어서, 자기-조립 층(16)과 표면-변형된 영역(26a) 및 비-변형된 영역(26b) 사이에 직접적인 접촉이 있게 된다. 그리고 나서, 자기-조립 층(16)은 상기 기술된 바와 같이, 자기-조립되어 성분이 자기-조립될 수 있도록 한다. 표면 변형 때문에, 자기-조립 층(16)은, 도 4(E)에 도시된 바와 같이, 하드마스크(14)의 비-변형된 영역(26b)과 인접한, 층(16)의 부분 내의 제1 자기-조립 영역(16a)와 제2 자기-조립 영역(16b) 내로 자기 조립될 것이다. 다시 말해, 하드마스크(14)의 표면-변형된 영역(26b)과 인접한 자기-조립 층(16)의 부분은, 어닐링 또는 자기-조립 동안에 패턴 내로 분리되거나 고립되지 않고, "조립되지 않거나" "비-정렬"된다. 제1 또는 제2 자기-조립 영역(16a, 16b) 중 하나는 선택적으로 제거된 후에, 도 4(F)에 도시된 바와 같이, 에칭에 의해, 하드마스크 층(14') 및 광학 중간 층(12') 내로 패턴(20)이 된다. 이 패턴(20)은 결국 기판(10)(미도시) 내로 이동된다.
상기 각각의 방법에서, 자기-조립 또는 어닐링은 자기-조립 층(16)에서 나노 단계의 분리를 초래하는데, 이는 일반적으로 종래의 광학 리쏘그래피 기술을 사용하여 달성할 수 없는 나노미터-크기가 형성되도록 한다는 것을 인식할 것이다. 또한, 본 방법이 자기-조립 층(16)에서 적어도 두 개의 구별되는 어닐링되거나 자기-조립된 영역의 형성을 도시하지만, 제3 또는 제4 어닐링 또는 자기-조립 영역을 포함하는, 둘 이상의 구별되는 단계로 분리할 수 있는 추가적인 DSA 물질이 제조될 수 있다는 것도 인식할 것이다.
본 개시물의 다양한 실시예의 추가 이점은, 본원에서의 개시 및 아래 동작 실험예를 보아 당엄자에게 명백해질 것이다. 본원에 기술되는 다양한 실시예는 본원에다 다른 진술이 없으면, 상호 배타적이지 않다는 것을 인식할 것이다. 예를 들어, 일 실시예에 기술되거나 도시된 특징은 다른 실시예에 포함될 수 있으나, 반드시 포함될 필요는 없다. 따라서, 본 발명은 본원에서 기술되는 특정 실시예의 다양한 조합 및/또는 통합을 아우른다.
또한, 도면과 명세서가 어떤 바람직한 실시예를 기술하여도, 이러한 개시는 예시적으로만 이해해야 한다. 실시예는 본 발명의 이상적인 실시예의 개략적인 도면인 횡단면을 참조하여 본원에서 기술된다. 따라서, 가령, 제조 기술의 결과로서의 도면의 모양에서의 변화 및/또는 허요 오차가 예상된다. 특별히 개시된 실시예로 본 실시예의 원리를 제한하려는 의도는 없다. 예를 들어, 도면에서, 층 및 영역의 크기 및 상대 크기는 명확성을 위해 과장될 수 있다. 또한, 실시예는 본원에서 도시된 영역의 특정 모양에 제한되는 것이 아니라, 가령 제조에 의한 모양에서의 편차를 포함하도록 해석되어야 한다. 예를 들어, 직사각형으로 도시된 에칭된 영역은 둥글거나 곡선형 특징부를 가질 수 있다. 따라서, 도면에 도시된 영역은 본질상 개략적이고, 이들 모양은, 특별한 진술이 없으면, 장치의 영역의 정확한 모양을 도시하도록 의도할 필요는 없고, 본원에서 개시된 실시예의 범위를 제한할 의도도 없다.
본원에서 사용되는 바와 같이, 둘 이상의 아이템의 나열에서 사용되는 구절 "및/또는"은 나열된 리스트 중 임의의 하나를 홀로 사용하거나, 나열된 아이템의 두 이상의 조합이 사용될 수도 있다는 것을 의미한다. 예를 들어, 합성물이 성분 A, B 및/또는 C를 포함 또는 제외한다고 기술된다면, 합성물은 A 단독, B 단독, C 단독, A와 B 조합, A와 C 조합, B와 C 조합 또는 A, B 및 C 조합을 포함 또는 제외할 수 있다.
또한, 본 발명은 본 발명의 다양한 실시예와 관련 있는 어떤 파라미터를 수량화하기 위해 수치 범위를 사용한다. 수치 범위가 제공될 때, 이러한 범위는 범위의 하한값을 말하는 한계는 물론 범위의 상한값을 말하는 한계를 청구하기 위한 문자 그대로의 지지를 제공하는 것으로 해석된다는 것을 이해해야할 것이다. 예를 들어, 약 10 내지 약 100의 개시된 수치 범위는 "약 10 초과"(상한 경계 없음) 및 "약 100 미만"(하한 경계 없음)을 청구하기 위한 문자 그대로의 지지를 제공한다.
실험예
이하의 실험예는 본 발명에 따른 방법을 제시한다. 그러나, 이들 실험예는 본 발명의 전체 범위에 대한 제한으로 여겨지지 않고, 설명에 의해 제공된다.
실험예 1
폴리머 1의 합성
본 실험예에서, 실리콘 하드마스크 코폴리머는 펜에틸트리메톡시실란 (Gelest Inc, Morrisville, PA)의 8.01 그램, 2-(카보메톡시)에틸트리메톡시실란 (Gelest Inc.)의 5.33 그램 및 PGMEA (Ultra Pure Solutions, Inc., Castroville, CA)의 60.11 그램을 2중-넥 둥근-바닥 플라스크에 가함에 의해 합성되었다. 5분 기간 넘어서, 용액이 휘저어 지면서, 3N 아세틱 산 용액의 5.50 그램(물 속의 아세틱 산 17.6%, Aldrich, St Louis, MO)이 플라스크에 가해졌다. 둥근-바닥 플라스크는 증류 헤드, 증류 칼럼 및 수집 플라스크와 맞춰졌다. 용액은 6.5 시간 동안 97.5℃에서 가열되어서 반응을 완성시키고, 그리고 나서 상온으로 냉각시켰다. 용액의 전체 64.8 그램이 수집되고 평가된 고체 함유량은 15.00%였다.
실험예 2
하드마스크 1의 합성
하드마스크 제조는 폴리머 1의 4.00 그램을 벤질트리에틸암모늄 클로라이드 (BTEAC, Aldrich)의 PGME에서의 0.4% 용액의 1.50 그램, PGME의 18.40 그램, PGMEA의 16.50 그램을 혼합하여 준비되어서, 1.50% 고체 용액을 만들었다. 제조는 60초 동안 1,500 rpm에서 4-인치 실리콘 웨이퍼 상에 스핀-코팅시킨 후에, 60초 동안 230℃에서 핫플레이트 상에서 베이킹한다. 이 공정은 400Å 이하의 필름을 생성하였다.
실험예 3
폴리머 2의 합성
Figure 112014107943104-pct00007
본 실험예에서, 실리콘 코폴리머는 펜에틸트리메톡시실란의 8.00 그램, 2-(카보메톡시)에틸트리메톡시실란의 5.45 그램 및 테트라에톡시실란 (Gelest Inc.)의 3.19 그램을 2중-넥 둥근-바닥 플라스크내의 PGME 의 60.70 그램에 가함에 의해 합성되었다. 5분 기간 넘어서, 용액이 휘저어 지면서, 3N 아세틱 산 용액의 6.91 그램(물 속의 아세틱 산 17.6%)이 플라스크에 가해졌다. 둥근-바닥 플라스크는 증류 헤드, 증류 칼럼 및 수집 플라스크와 맞춰졌다. 용액은 6.0 시간 동안 97.5℃에서 가열되어서 반응을 완성시키고, 그리고 나서 상온으로 냉각시켰다. 용액의 전체 68.0 그램이 수집되고 평가된 고체 함유량은 15.78%였다.
실험예 4
하드마스크 2의 합성
하드마스크 제조는 폴리머 2의 4.00 그램을 BTEAC의 (PGME에서의) 0.4% 용액의 1.58 그램, PGME의 19.99 그램, PGMEA의 16.96 그램을 혼합하여 준비되어서, 1.50% 고체 용액을 만들었다. 제조는 60초 동안 1,500 rpm에서 4-인치 실리콘 웨이퍼 상에 스핀-코팅시킨 후에, 60초 동안 230℃에서 핫플레이트 상에서 베이킹한다.
실험예 5
하드마스크 2 상의 유도된 자기-조립
하드마스크 2는 60초 동안 1,500rpm의 스핀 속도로 300-nm 실리콘 웨이퍼 상에서 스핀-코팅되고, 230℃에서 핫플레이트상에서 베이킹되어 약 300Å의 필름 두께를 생성하였다. 블록 코폴리머 합성물(PGMEA 에서 PS-b-PMMA(25,000 돌턴 분자량)의 1% 용액; Polymer Source, Inc., Quebec, Canada)은 60초 동안 1,500 rpm으로 하드마스크 층 상에서 스핀-코팅되어서 하드마스크 층과 DSA 층 모두에 대해 약 725Å의 전체 두께를 생성하였다. 열정 어닐링이 핫플레이트 상에서 5분 동안 230℃에서 수행되었다. 그리고 나서, 웨이퍼는 5초 동안, 전력 20W, 진공 0.45 Torr, 가스 흐름 5.25 sccm에서 O2 플라즈마 에칭이 되어 SEM에 대한 높은 콘트라스트(contrast)를 생성하였다. 도 5는 하드마스크 2 상의 DSA 층에서 형성된 패턴을 도시한다.
실험예 6
스핀-온 카본 층 상의 하드마스크 2 상의 DSA
TEL CLEAN TRACK ACT12™ 트랙 상에서, 스핀-온 카본(SOC; OptiStack®SOC110D-311; Brewer Science, Rolla, MO)은 30초 동안 1,500rpm의 스핀 속도로 300-mm 실리콘 웨이서 상에서 스핀-코팅되고나서, 60초 동안 205℃에서 핫플레이트 상에서 베이킹되어 약 110 nm의 필름 두께를 생성하였다. 그리고 나서, 하드마스크 2는 30초 동안 1,500rpm에서 스핀-코팅에 의해 SOC 층의 상단에 코팅되고, 이후에 60초 동안 250℃에서 핫플레이트상에서 베이킹되어 약 40 nm의 필름 두께를 생성하였다. 그리고 나서, 웨이퍼는 스핀-코팅에 의하여, PS-b-PMMA 블록 코폴리머 (1% PS-b-PMMA in PGMEA solution, AZ Electronic Materials, Branchburg, New Jersey)으로 코팅되어서, 31 nm의 목표 두께를 주었다. 그리고 나서, 열적 어닐링은 N2 대기하에서 핫플레이트상에서 5분 동안 250℃에서 수행되었다. 웨이퍼는 KLA eCD CD-SEM 상에서 조사되었다. 도 6 및 7은 블록 코폴리머 DSA 층에서 형성된 패턴을 도시한다.
실험예 7
폴리머 3의 합성
본 실험예에서, 실리콘 코폴리머는 펜에틸트리메톡시실란의 8.07 그램, 2-(카보메톡시)에틸트리메톡시실란의 5.37 그램 및 테트라에톡시실란의 8.51 그램을 2중-넥 둥근-바닥 플라스크내의 PGME 의 60.50 그램에 가함에 의해 합성되었다. 5분 기간 넘어서, 용액이 휘저어 지면서, 3N 아세틱 산 용액의 9.21 그램(물 속의 아세틱 산 17.6%)이 플라스크에 가해졌다. 둥근-바닥 플라스크는 증류 헤드, 증류 칼럼 및 수집 플라스크와 맞춰졌다. 용액은 5.0 시간 동안 97.5℃에서 가열되어서 반응을 완성시키고, 그리고 나서 상온으로 냉각시켰다. 용액의 전체 70.0 그램이 수집되고 평가된 고체 함유량은 17.51%였다.
실험예 8
하드마스크 3의 합성
하드마스크 제조는 폴리머 3의 4.00 그램을 PGME 내의 BTEAC의 0.4% 용액의 1.75 그램, PGME의 18.18 그램, PGMEA의 23.23 그램을 혼합하여 준비되어서, 1.50% 고체 용액을 만들었다. 제조는 60초 동안 1,500 rpm에서 4-인치 실리콘 웨이퍼 상에 스핀-코팅시킨 후에, 60초 동안 230℃에서 핫플레이트 상에서 베이킹한다. 이 공정은 400Å 이하의 필름을 생성하였다.
실험예 9
SOC 상의 하드마스크 3 상의 DSA
TEL CLEAN TRACK ACT12™ 트랙 상에서, 스핀-온 카본(SOC; OptiStack®SOC110D-311; Brewer Science)은 30초 동안 1,500rpm의 스핀 속도로 300-mm 실리콘 웨이서 상에서 스핀-코팅되고나서, 60초 동안 205℃에서 핫플레이트 상에서 베이킹되어 약 110 nm의 필름 두께를 생성하였다. 그리고 나서, 하드마스크 3는 30초 동안 1,500rpm에서 스핀-코팅에 의해 SOC 층의 상단에 코팅되고, 이후에 60초 동안 250℃에서 핫플레이트상에서 베이킹되어 약 40 nm의 필름 두께를 생성하였다. 그리고 나서, 웨이퍼는 스핀-코팅에 의하여, PS-b-PMMA 블록 코폴리머 (1% PS-b-PMMA in PGMEA solution, AZ Electronic Materials)으로 코팅되어서, 31 nm의 목표 두께를 주었다. 블록 코폴리머가 웨이퍼상에서 코팅되고 나서, 5분 동안 250℃에서 열적 어닐링은 N2 대기하에서 핫플레이트상에서 수행되었다. 웨이퍼는 KLA eCD CD-SEM 상에서 조사되었다. 도 8 및 9는 블록 코폴리머 DSA 층에서 형성된 패턴을 도시한다. 도 10은 13,2 nm/라인 스페이싱을 나타내는, 블록 코폴리머 내에 형성된 DSA 패턴을 도시한다.
실험예 10
용매 테스팅 및 패턴 형성에 대한 표면 에너지의 효과
본 실험예에서, 하드마스크 중성 층은 파지티브-톤 현상액이나 네거티브-톤 현상액에서 흔히 사용되는 다양한 용매에 접하였다. 표면 에너지 측정은 H2O 및 메틸렌 아이오딘(MI)의 접촉 각 측정을 사용하여 얻었다. 하드마스크 중성 층의 표면상에 나누어진 정적인 액체 방울의 모양은 고니어미터(goniometer)를 사용하여 측정되었다. 액체-고체-증기(LSV) 인터페이스에서 탄젠트의 기울기 및 액체 방울 모양은 접촉각 및 표면 에너지 값을 결정하는데 사용되었다. 기판당 세 개의 측정이 각 접촉각 테스트에 대해 평균화되었다.
하드마스크 중성 층은 110-nm 스핀-온 카본(SOC) 기판 상에 30 nm의 두께까지 코팅되었고, 1분 동안 240℃에서 베이킹되었다. 그리고 나서, 각 용매가 30초 동안(전체 드웰 타임(dwell time)) 동안 표면에 가해졌다. 30초 동안의 150℃의 베이킹 이후에, 스핀-건식 제거 단계는 표준 Brewer Science®Cee®100CB 스핀 코터/베이크 플레이트 툴 상에서 수행되었다. 표면 에너지 계산을 위한 접촉각 측정은 포스트-용매 공정 이후에 반복되었다. 우리는 네거티브-톤 현상액(2-헵타논, n-부틸 아세테이트) 및 파지티브-톤 현상액(TMAH) 모두를 사용했다. 파지티브 톤-현상액 테스트에서, 193-nm 포토레지스트(JSR1682J)는 하드마스크 중성 층에 우선 도포되었고, 이후에, 블랭킷 노출(17mJ/㎠) 및 2.38% TMAH 용매를 사용하여 60초 동안 현상되었다.
아래 표는 다양한 현상액과 용매와 접촉한 후에, 하드마스크 층 표면의 다양한 접촉각(표면 에너지)을 나타낸다.
Process H 2 O Contact Angle (°) Polar Energy ( dyn / cm ) Total Energy ( dyn / cm )
하드마스크 중성 층 72.0 9.0 41.0
n-부틸 아세테이트 세척 이후 71.6 8.7 41.4
2-헵타논 세척 이후 71.3 8.8 41.6
레지스트 코트 + 노출 +
TMAH 현상 이후
68.4 9.8 44.2
네거티브-톤 포토레지스트의 현상을 위해 사용된 용매로, 표면 에너지나 접촉각에 작은 변화(1-1.5%)가 있었다. 그러나, 우리는 파지티브 현상액을 사용하여 수행된 테스트에서 전체 표면 에너지에서 5-7% 의 범위에서의 변화를 목격했다. TMAH 현상액을 사용하여 목격된 변화는 하드마스크 중성 층의 감소 능력이 블록 코폴리머의 유도 자기-조립을 유도하고 패턴 형성을 유도하는 결과를 초래하였으므로 중요하였다. 이 효과를 이해하기 위하여, 이하에 기술되는 바와 같이, 우리는 파지티브 톤 레지스트 및 하드마스크로 코팅된 웨이퍼 상의 공정을 사용하여 개방된 스퀘어(squre)를 프린트했다.
실험예 11
변화된 하드마스크 중성 층을 사용하는 케모에피택시
멀티-층 스택은, 30초 동안 1,500 rpm의 스핀 속도에서 300-mm 실리콘 웨이퍼 상에 스핀-코팅 OptiStack®SOC110D-300에 의해 TEL CLEAN TRACK ACT12™ 트랙 상에서 준비된 후에, 60초 동안 205℃에서 핫플레이트 상에서 베이킹 하여 약 110 nm의 필름 두께를 생성하였다. 그리고 나서, 하드마스크 2는 30초 동안 1,500 rpm에서 스핀-코팅에 의해 SOC 층의 상단에 코팅되고, 60초 동안 250℃에서 핫플레이트 상에서 베이킹되어 약 40 nm의 필름 두께를 생성하였다. 파지티브-톤 포토레지스트(PAR855; Sumitomo Chemicals, Japan)가 40 초 동안 2,100 rpm에서 하드마스크에 도포되고, 60초 동안 100℃에서 핫플레이트 상에서 베이킹되었다. 코팅된 웨이퍼는 50mJ/㎠에서 11 x 11 매트릭스를 사용하여 ASML1250 스캐너에서 노출되었다. 노출된 이후에, 웨이퍼는 60초 동안 105℃에서 사후-노출 베이킹되었고, 냉각되며, 60초 동안 TMAH OPD262 (Fuji Film Electronic Materials, Zwijndrecht, Belgium)을 사용하여 현상되었다.
포토레지스트의 노출된 영역(11 x 11 정사각형)은 하드마스크 아래에 언커버된 반면, 비-노출된 영역은 여전히 포토레지스트로 커버되었다. 그리고 나서, 400 rpm에서 포토레지스트 제거 용매(RER500 (EL/MEK solvent); Fuji Film Electronic Materials, Zwijndrecht, Belgium)를 사용하여 스핀되면서, 웨이퍼는 60초 동안 세척되었다. 그리고 나서, 웨이퍼는 45초 동안에 100℃의 핫플레이트 상에서 베이킹되어 건조되어서, 포토레지스트가 완전히 없는 웨이퍼만 남긴다.
그리고 나서, 웨이퍼는 스핀-코팅에 의하여, PGMEA 용매내의 PS-b-PMMA 블록 코폴리머(AZ Electronic Materials, Branchburg, New Jersey)로 코팅되었다. 블록 코폴리머가 웨이퍼 상에 코팅되면, 열적 어닐링은 N2 대기하에서 핫플레이트상에서 5분 동안 250℃에서 수행되어 31 nm의 두께를 생성하였다. 웨이퍼는 KLA eCD CD-SEM 상에서 조사되었다.
도 11은 현상액에 노출된 하드마스크의 부분(정사각형 내부)에서, 패터닝이 발생하지 않는다는 것을 도시한다. 다시 말해, 블록 코폴리머는 자기-정렬되지 않았다. 그러나, 비-노출된 영역(하드마스크가 현상액과 접촉되지 않은 부분)에서, 하드마스크는 여전히 중성 층으로서의 역할을 하고, 블록 코폴리머 층의 패터닝을 유도할 수 있었다. 이 결과는, 현상액이 하드마스크 중성 층과 접촉하는 영역에서 명백한 묘사를 도시한다. 이들 영역은 유도된 BCP 정렬이 아니고, 레지스트로 커버된 영역은 여전히 패턴 형성을 나타내었다. 표면 에너지값을 변화시킬 수 있는 하드마스크 중성 층의 능력은 이들 물질의 네거티브 특성이 반드시 필요하지 않고, 대신에, 장래에 케모에피택시 흐름을 위해 사용될 수 있다.
실험예 12
폴리머 4의 합성
본 실험예에서, 실리콘 코폴리머는 펜에틸트리메톡시실란의 10.00 그램, 2-(카보메톡시)에틸트리메톡시실란의 4.30 그램 및 테트라에톡시실란의 10.52 그램 및 PGME의 60.00 그램을 2중-넥 둥근-바닥 플라스크에 가함에 의해 합성되었다. 5분 기간 넘어서, 용액이 휘저어 지면서, 3N 아세틱 산 용액의 11.36 그램(물 속의 아세틱 산 17.6%)이 플라스크에 가해졌다. 둥근-바닥 플라스크는 증류 헤드, 증류 칼럼 및 수집 플라스크와 맞춰졌다. 용액은 5.0 시간 동안 97.5℃에서 가열되어서 반응을 완성시키고, 그리고 나서 상온으로 냉각시켰다. 용액의 전체 63.5 그램이 수집되고 평가된 고체 함유량은 19.08%였다.
실험예 13
하드마스크 4의 합성
하드마스크 제조는 폴리머 4의 3.50 그램을 PGME 내의 BTEAC의 0.4% 용액의 1.67 그램, PGME의 17.65 그램, PGMEA의 22.17 그램을 혼합하여 준비되어서, 1.50% 고체 용액을 만들었다. 제조는 60초 동안 1,500 rpm에서 4-인치 실리콘 웨이퍼 상에 스핀-코팅시킨 후에, 60초 동안 230℃에서 핫플레이트 상에서 베이킹한다. 이 공정은 400Å 이하의 필름을 생성하였다.
또한, 하드마스크 4는 스핀-온 카본 층(OptiStack®SOC110D-311)의 상단에서 코팅되고 나서, 상기 기술된 바와 같이, PS-b-PMMA 블록 코폴리머 DSA 층 및 열적 어닐링이 이어진다. 그 결과의 패턴 형성은 도 12에 도시된다.
실험예 14
폴리머 5의 합성
본 실험예에서, 실리콘 코폴리머는 펜에틸트리메톡시실란의 8.01 그램, 아세톡시메틸트리에톡시실란의 4.30 그램 및 테트라에톡시실란의 6.92 그램 및 PGME의 60.93 그램을 2중-넥 둥근-바닥 플라스크에 가하여 합성되었다. 5분 기간 넘어서, 용액이 휘저어 지면서, 3N 아세틱 산 용액의 9.66 그램(물 속의 아세틱 산 17.6%)이 플라스크에 가해졌다. 둥근-바닥 플라스크는 증류 헤드, 증류 칼럼 및 수집 플라스크와 맞춰졌다. 용액은 5.0 시간 동안 97.5℃에서 가열되어서 반응을 완성시키고, 그리고 나서 상온으로 냉각시켰다. 용액의 전체 75.8 그램이 수집되고 평가된 고체 함유량은 15.43%였다.
실험예 15
하드마스크 5의 합성
하드마스크 제조는 폴리머 5의 4.00 그램을 PGME 내의 BTEAC의 0.4% 용액의 1.54 그램, PGME의 15.56 그램, PGMEA의 20.47 그램을 혼합하여 준비되어서, 1.50% 고체 용액을 만들었다. 제조는 60초 동안 1,500 rpm에서 4-인치 실리콘 웨이퍼 상에 스핀-코팅시킨 후에, 60초 동안 230℃에서 핫플레이트 상에서 베이킹한다. 이 공정은 400Å 이하의 필름을 생성하였다.
또한, 하드마스크 5는 스핀-온 카본 층(OptiStack®SOC110D-311)의 상단에서 코팅되고 나서, 상기 기술된 바와 같이, PS-b-PMMA 블록 코폴리머 DSA 층 및 열적 어닐링이 이어진다. 그 결과의 패턴 형성은 도 13에 도시된다.
실험예 16
라인/스페이스 피치 멀티플리케이션
우리는 네거티브-톤 포토레지스트(FUJIFILM Electronic Materials로부터)를 가진 그래포에피택시 공정 흐름을 사용하여, 사전 패터닝을 사용하여 라인/스페이스를 형성할 수 있는 하드마스크 중성 층 성능을 평가하였다. N2 대기하에서 TEL CLEAN TRACK ACT12™을 사용하여, 하드마스크 중성 층은 100-nm SOC층의 상단에 코팅되었고, 이후에 100-nm 스페이스와 600-nm 피치로 패턴화된 포토레지스트된다. 그리고 나서, 블록 코폴리머 DSA 층은 패턴화된 포토레지스트에 도포된 후에, 열적 어닐링한다. 블록 코폴리머 합성물은, 1% 고체 함유 용액을 달성하기 위하여, 상업적으로 이용가능하고, PS-b-PMMA 블록 코폴리머 (L0 = 25 nm; Polymer Source Inc.)와 PGMEA를 혼합하여 내부(in-house)에서 준비되었다.
레지스트를 제외한 모든 층은 1 분 동안(블록 코폴리머는 5분 동안) 240℃에서 베이킹되었다. 레지스트는 5분 동안 200℃에서 하드 베이킹되었다. 라인/스페이스를 위한 사전-패턴은, 쌍극자 조명(dipole illumination)(σ0 = 0.93, σ1 = 0.69)을 사용하여, 0.85 NA에서 ASML XT 1250D 스캐너 상에서 수행되었다. CD SEM 조사(도 14)는 대략 26 nm의 피치를 가진, 어닐링 이후의 사전-패턴 사이에 라인과 스페이스의 형성을 나타낸다.
실험예 17
접촉홀 수축
접촉홀 수축은 130-nm 피치를 가진 86 nm의 목표 CD를 가진 패턴화된 웨이퍼 상에서 테스트되었다. N2 하에서, TEL CLEAN TRACK ACT12™을 사용하여, 멀티-층 스택이 우선, 100-nm SOC 층의 상단에 하드마스크 중성 층을 사용하여 형성되고, 블록 코폴리머 DSA 층을 가하기 전에 패턴화된 네거티브-톤 레지스트(SG-N003i resist; TOK, Tokyo, Japan)가 형성되었다. 레지스트를 제외한 모든 층은 1 분 동안(블록 코폴리머는 5분 동안) 240℃에서 베이킹되었다. 레지스트는 5분 동안 200℃에서 하드 베이킹되었다. 블록 코폴리머 합성물은, 1% 고체 함유 용액을 달성하기 위하여, 상업적으로 이용가능하고, PS-b-PMMA 블록 코폴리머 (L0 = 25 nm; Polymer Source Inc.)와 PGMEA를 혼합하여 내부(in-house)에서 준비되었다.
접촉홀 사전-패턴이, Quasar 30 조명(σ0 = 0.80, σ1 = 0.65)를 사용하여, ASML NXT1950i 스캐너, NA 1.30에 접한 Sokudo DUO 트랙에서 준비되었따. 도 15는, 어닐링 이후에, 접촉홀 사전-패턴상의 블록 코폴리머의 포커스 및 도스(dose)를 통한 성능을 도시한다. PMMA 코어와 PS간의 우수한 분리가 포커스 및 도스 범위를 통해 관측되었다.
DSA 패터닝에서 최종 단계는 패턴 이동(transfer)이다. PMMA 코어는 우선 에칭되어 HM NL에 노출된다. 그리고 나서 개방된 패턴은 HM NL, SOC, 그리고 최정적으로 밑에 있는 기판으로 이동되어야 한다. Oxford PlasmaLab80+에칭 툴이 에칭 패턴 이동 작업에 사용되었다. 큰 에칭률이 블랭킷 기판에 계산되어서 에칭률을 결정한 후에 전력, 압력 및 흐름 세팅에 대한 DOE 작업이 이어진다. PMMA 제거는 짧은 시간(10초 미만)동안, 산소-리치 플라즈마에서 수행되어, 대략 25 nm의 접촉홀 개구를 생성하였다. PS와 PMMA간의 열악한 에칭 바이어스때문에, 30% 초과의 PS 두께를 잃었다. 그러나, HM NL은 에칭 이점을 제공하였다. 남은 PS와 HM NL 사이의 에칭 선택도는 더 커졌고, 낮은 전력(~200 watt), Ar:CF4 (1:3)를 조합하여 낮은 압력(10-15 mTorr)의 가스 흐름이 HM NL을 통해 성공적으로 에칭하는데 사용되었다. HM 에칭 공정을 따르면, 110-nm SOC 물질 에칭은 낮은 전력(~200 watt), 중간 압력(30 mTorr) 및 1:1:3의 N2:Ar:O2 가스 비율을 사용하여 달성되었다. 우리는 HM NL의 40 nm을 개방할 수 있고, SOC의 100nm을 할 수 있다. 최종 CD는 초기 86-nm 레지스트 개방부보다 작은 ~ 60 nm였다. 도 16은 접촉홀 수축의 전반적인 공정을 요약했다.

Claims (40)

  1. 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법에 있어서, 상기 방법은,
    웨이퍼 스택을 제공하는 단계 - 상기 스택은,
    표면을 가진 기판과
    상기 기판 표면 상의 하나 이상의 옵션의 중간 층을 포함함 - 와,
    중간 층이 존재하면 상기 중간 층에 인접한 하드마스크 층을 형성하기 위해, 중간 층이 존재하지 않으면 기판 표면 상에 하드마스크 층을 형성하기 위해 융화성 모이어티를 포함하는 합성물을 1,000 내지 5,000 rpm의 속도로 스핀 코팅하는 단계 - 상기 하드마스크 층의 상단에 복수의 상승된 특징부들을 포함한 사전-패턴을 더 포함하고, 상기 상승된 특징부들은 이격되고, 각각의 상승된 특징부들은 각각의 측벽과 상단 표면에 의해 형성됨 - 와,
    상기 상승된 특징부들 사이의 공간 내의 상기 하드마스크 층의 상단에 직접 자기-조립된 합성물을 도포하는 단계를 포함하되,
    상기 자기-조립된 합성물은 상기 하드마스크 층에 직접 인접한 자기-조립된 층 내로 자기-조립되고, 상기 자기-조립된 층은 제1 자기-조립된 영역 및 상기 제1 자기-조립된 영역과 상이한 제2 자기-조립된 영역을 포함하는 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  2. 제 1 항에 있어서, 상기 제1 자기-조립된 영역이나 제2 자기-조립된 영역 중 하나를 제거하여, 상기 자기-조립된 층에 패턴을 생성하는 단계를 더 포함하는 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  3. 제 2 항에 있어서, 상기 패턴을 하드마스크 층, 상기 중간 층 - 존재한다면 -, 및 상기 기판으로 이동시키는 단계를 더 포함하되, 상기 패턴은 트렌치, 스페이스, 비아홀, 및 30 nm 미만의 평균 특징부 크기를 가진 접촉홀로 구성된 그룹으로부터 선택된 복수의 특징부를 포함하는 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  4. 삭제
  5. 제 1 항에 있어서, 상기 복수의 상승된 특징부는,
    상기 하드마스크 층의 상단에 이미징 층을 형성하기 위하여 감광성 합성물을 도포하는 단계; 및
    상기 자기-조립 합성물을 상기 하드마스크 층에 도포하기 전에, 상기 사전-패턴을 생성하기 위하여 상기 이미징 층을 패터닝하는 단계에 의해 형성되는 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  6. 제 5 항에 있어서, 상기 패터닝하는 단계는,
    상기 이미징 층의 노출된 부분과 비노출 부분을 생성하기 위해, 상기 이미징 층을 방사선에 노출시키는 단계; 및
    상기 비노출 부분을 제거하기 위하여, 유기 비-알칼린 용매로 상기 이미징 층을 접촉시키는 단계를 포함하는 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  7. 제 1 항에 있어서, 상기 하드마스크 층은 표면-변형된 영역 및 비-변형된 영역을 가진 표면을 포함하되, 상기 제1 및 제2 자기-조립 영역은 상기 비-변형된 영역과 인접한 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  8. 제 7 항에 있어서, 상기 자기-조립 합성물을 상기 하드마스크 층에 도포하는 단계 이전에, 표면-변형된 영역 및 비-변형된 영역은,
    상기 하드마스크 층 상에 이미징 층을 형성시키기 위해 감광성 합성물을 도포하는 단계; 및
    상기 사전-패턴을 생성하기 위하여 상기 이미징 층을 패터닝하는 단계 - 상기 패터닝하는 단계는 상기 하드마스크 층의 부분을 언커버하기 위하여 상기 이미징 층의 부분을 선택적으로 제거하는 단계를 포함함 - 와,
    상기 표면-변형된 영역을 생성하기 위하여, 하드마스크 층의 언커버된 부분을 알칼린 현상액과 접촉시키는 단계와,
    상기 비-변형된 영역을 생성하기 위하여, 상기 하드마스크 층에서 상기 이미징 층의 남은 부분을 제거시키는 단계에 의하여 생성되는 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  9. 제 1 항에 있어서, 상기 하드마스크 층은 실록산 가교를 포함하는 가교된 층인 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  10. 제 1 항에 있어서, 상기 하드마스크 층은 용매계에서 용해되거나 퍼진 실리콘-함유 폴리머를 포함하는 합성물로부터 형성되는 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  11. 제 10 항에 있어서, 상기 실리콘-함유 폴리머는 실란, 실록산, 실세스퀴옥산 단독이나 조합으로 구성된 그룹으로부터 선택된 실리콘 전구 물질의 중합에 의해 준비되는 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  12. 제 10 항에 있어서, 상기 실리콘-함유 폴리머는 페닐, 나프탈렌, 안트라센, 카르바졸 단독이나 조합으로 구성된 그룹으로부터 선택된 광 감쇠 모이어티를 더 포함하는 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  13. 제 10 항에 있어서, 상기 실리콘-함유 폴리머는 상기 융화성 모이어티를 포함하는 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  14. 제 1 항에 있어서, 상기 자기-조립 합성물은 용매계에서 용해되거나 퍼진 블록 코폴리머를 포함하는 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  15. 제 14 항에 있어서, 상기 블록 코폴리머는, 폴리스티렌, 폴리(메틸 메타크릴레이트), 폴리(락틱 산), 폴리(에틸렌 옥사이드), 폴리디메틸실록산 및 폴리비닐피롤리돈으로 구성된 그룹으로부터 각각 선택된 적어도 두 개의 구별되는 블록을 포함하는 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  16. 제 14 항에 있어서, 상기 블록 코폴리머의 적어도 유리 전이 온도까지 자기-조립 합성물을 가열하는 단계를 더 포함하되, 상기 자기-조립 합성물은 상기 가열단계 동안에 자기-조립되는 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  17. 제1 항에 있어서, 상기 자기-조립 합성물은 혼합되지 않은 폴리머의 혼합물을 포함하는 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  18. 제 1 항에 있어서, 상기 제1 자기-조립 영역은 건식 또는 습식 에칭액에서 제1 에칭률을 가지고, 상기 제2 자기-조립 영역은 건식 또는 습식 에칭액에서 제2 에칭률을 가지며, 상기 제1 에칭률은 상기 제2 에칭률과 다른 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 제 1 항에 있어서, 상기 하드마스크 층은 용매계에서 퍼지거나 용해된 실리콘-함유 폴리머를 포함하는 합성물로부터 형성되고, 상기 실리콘-함유 폴리머는 상기 융화성 모이어티를 포함하는 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  31. 제 30 항에 있어서, 상기 융화성 모이어티는 상기 실리콘-함유 폴리머로부터 펜턴트(pendant)인 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  32. 제 1 항에 있어서, 상기 융화성 모이어티는 알킬, 광 감쇠 모이어티, 불화계 탄화수소, 에스테르, 에테르 및 이들의 조합물로 구성된 그룹에서 선택되는 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  33. 제 1 항에 있어서, 상기 융화성 모이어티와 상기 자기-조립 합성물 내의 성분 간에 친화도가 있고, 상기 친화도는 상기 자기-조립 합성물의 상기 도포 동안에 패턴 형성을 유도하는 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 제 1 항에 있어서, 상기 합성물은 용매내에 용해되거나 퍼진 실리콘-함유 폴리머를 포함하고, 상기 용매는 상기 합성물 내에 상기 합성물의 전체 중량에 기초하여, 중량 90 내지 995.5%의 양이 존재하고, 상기 용매는 프로필렌 글리콜 모노메틸 에테르 (PGME), 프로필렌 글리콜 모노메틸 에테르 아세테이트 (PGMEA), 감마-부티로락톤 (GBL), 사이클로헥사논, 사이클로펜타논, 에틸 락테이트 (EL), 에틸아세토아세테이트 (EAA), n-부틸 아세테이트, 메틸 이소부틸 카르비놀 (MIBC), 2-헵타논, 이소프로필 알코올 (IPA), 메틸 에틸 케톤 (MEK) 및 이들의 혼합물로 구성된 그룹에서 선택되는 것을 특징으로 하는 유도된 자기-조립을 사용하여 마이크로전자 구조물을 형성하는 방법.
  39. 삭제
  40. 삭제
KR1020147031528A 2012-04-16 2013-04-15 유도된 자기-조립을 위한 실리콘 하드마스크 층 KR102121081B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261624805P 2012-04-16 2012-04-16
US61/624,805 2012-04-16
PCT/US2013/036548 WO2013158527A1 (en) 2012-04-16 2013-04-15 Silicon hardmask layer for directed self-assembly

Publications (2)

Publication Number Publication Date
KR20150008098A KR20150008098A (ko) 2015-01-21
KR102121081B1 true KR102121081B1 (ko) 2020-06-18

Family

ID=49325368

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147031528A KR102121081B1 (ko) 2012-04-16 2013-04-15 유도된 자기-조립을 위한 실리콘 하드마스크 층

Country Status (7)

Country Link
US (1) US9249013B2 (ko)
EP (1) EP2839341B1 (ko)
JP (1) JP6284925B2 (ko)
KR (1) KR102121081B1 (ko)
CN (1) CN104380194B (ko)
TW (1) TWI679488B (ko)
WO (1) WO2013158527A1 (ko)

Families Citing this family (228)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2975823B1 (fr) * 2011-05-27 2014-11-21 Commissariat Energie Atomique Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6079263B2 (ja) * 2012-03-07 2017-02-15 Jsr株式会社 レジスト下層膜形成用組成物及びパターン形成方法
US10000664B2 (en) * 2012-03-27 2018-06-19 Nissan Chemical Industries, Ltd. Underlayer film-forming composition for self-assembled films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8906802B2 (en) * 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process
KR102245179B1 (ko) 2013-04-03 2021-04-28 브레우어 사이언스, 인코포레이션 지향성 자가 조립용 블록 공중합체에 사용하기 위한 고도로 내에칭성인 중합체 블록
KR102394998B1 (ko) 2013-09-04 2022-05-04 도쿄엘렉트론가부시키가이샤 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리
US9349604B2 (en) * 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
WO2015084133A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
CN105934456B (zh) 2013-12-06 2018-09-28 株式会社Lg化学 嵌段共聚物
US10202480B2 (en) 2013-12-06 2019-02-12 Lg Chem, Ltd. Block copolymer
EP3078686B1 (en) 2013-12-06 2018-10-31 LG Chem, Ltd. Block copolymer
WO2015084131A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
US10227438B2 (en) 2013-12-06 2019-03-12 Lg Chem, Ltd. Block copolymer
JP6419820B2 (ja) 2013-12-06 2018-11-07 エルジー・ケム・リミテッド ブロック共重合体
EP3078684B1 (en) 2013-12-06 2019-07-31 LG Chem, Ltd. Block copolymer
CN105980342B (zh) 2013-12-06 2019-02-15 株式会社Lg化学 单体和嵌段共聚物
US10227436B2 (en) 2013-12-06 2019-03-12 Lg Chem, Ltd. Block copolymer
US10150832B2 (en) 2013-12-06 2018-12-11 Lg Chem, Ltd. Block copolymer
CN105899556B (zh) 2013-12-06 2019-04-19 株式会社Lg化学 嵌段共聚物
WO2015084124A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
JP6432847B2 (ja) 2013-12-06 2018-12-05 エルジー・ケム・リミテッド ブロック共重合体
TWI573808B (zh) * 2013-12-31 2017-03-11 陶氏全球科技責任有限公司 經引導之自組裝圖案形成方法及組成物
US10421878B2 (en) 2014-01-16 2019-09-24 Brewer Science, Inc. High-Chi block copolymers for directed self-assembly
US9190285B1 (en) * 2014-05-06 2015-11-17 International Business Machines Corporation Rework and stripping of complex patterning layers using chemical mechanical polishing
KR102270752B1 (ko) 2014-08-11 2021-07-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
JP6637495B2 (ja) 2014-09-30 2020-01-29 エルジー・ケム・リミテッド パターン化基板の製造方法
EP3203497B1 (en) 2014-09-30 2023-11-29 LG Chem, Ltd. Method for producing patterned substrate
JP6394798B2 (ja) 2014-09-30 2018-09-26 エルジー・ケム・リミテッド ブロック共重合体
EP3202800B1 (en) 2014-09-30 2021-12-29 LG Chem, Ltd. Block copolymer
US10633533B2 (en) 2014-09-30 2020-04-28 Lg Chem, Ltd. Block copolymer
EP3225641B1 (en) 2014-09-30 2021-11-24 LG Chem, Ltd. Block copolymer
JP6532941B2 (ja) 2014-09-30 2019-06-19 エルジー・ケム・リミテッド ブロック共重合体
US10281820B2 (en) 2014-09-30 2019-05-07 Lg Chem, Ltd. Block copolymer
EP3202801B1 (en) 2014-09-30 2021-08-18 LG Chem, Ltd. Block copolymer
US10240035B2 (en) 2014-09-30 2019-03-26 Lg Chem, Ltd. Block copolymer
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9305834B1 (en) * 2014-12-30 2016-04-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits using designs of integrated circuits adapted to directed self-assembly fabrication to form via and contact structures
KR101932799B1 (ko) 2015-02-17 2018-12-26 주식회사 엘지화학 블록 공중합체 자기 조립 패턴의 습식 식각 방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9733566B2 (en) 2015-03-17 2017-08-15 Tokyo Electron Limited Spin-on layer for directed self assembly with tunable neutrality
KR102358710B1 (ko) * 2015-04-06 2022-02-08 에스케이하이닉스 주식회사 선폭이 다른 패턴들 형성 방법
US9991132B2 (en) 2015-04-17 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique incorporating varied pattern materials
KR102350587B1 (ko) 2015-04-23 2022-01-14 삼성전자 주식회사 미세 패턴 형성 방법
US9904171B2 (en) * 2015-05-22 2018-02-27 I-Shan Ke Resist pattern hardening material and method of fabricating the same
US9558310B2 (en) * 2015-05-28 2017-01-31 International Business Machines Corporation Method and system for template pattern optimization for DSA patterning using graphoepitaxy
US9530663B1 (en) 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US9349607B1 (en) * 2015-07-07 2016-05-24 United Microelectronics Corp. Method of forming line pattern
US9455177B1 (en) * 2015-08-31 2016-09-27 Dow Global Technologies Llc Contact hole formation methods
CN108369899B (zh) * 2015-11-20 2023-11-17 东京毅力科创株式会社 形成用于亚分辨率基板图案化的蚀刻掩模的方法
JP6643875B2 (ja) * 2015-11-26 2020-02-12 東京エレクトロン株式会社 エッチング方法
KR102637883B1 (ko) * 2015-12-11 2024-02-19 아이엠이씨 브이제트더블유 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102611450B1 (ko) 2016-01-26 2023-12-08 삼성전자주식회사 패턴 형성 방법
JP6928764B2 (ja) * 2016-01-28 2021-09-01 東京エレクトロン株式会社 金属酸化物のスピンオン堆積の方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017156388A1 (en) * 2016-03-11 2017-09-14 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
US9947597B2 (en) * 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10170591B2 (en) * 2016-06-10 2019-01-01 International Business Machines Corporation Self-aligned finFET formation
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102412137B1 (ko) * 2016-09-23 2022-06-23 에스케이이노베이션 주식회사 블록 공중합체를 이용한 미세 패턴의 형성 방법
US10366887B2 (en) 2016-10-04 2019-07-30 Brewer Science, Inc. Method of using chemically patterned guide layers in chemoepitaxy directing of block co-polymers
US9632408B1 (en) 2016-10-12 2017-04-25 International Business Machines Corporation Graphoepitaxy directed self assembly
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
EP3554830B1 (en) 2016-12-14 2023-10-18 Brewer Science Inc. High-chi block copolymers for directed self-assembly
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN108400085B (zh) * 2017-02-06 2019-11-19 联华电子股份有限公司 形成半导体元件图案的方法
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN108511388B (zh) * 2017-02-27 2023-07-21 Imec 非营利协会 使目标层图案化的方法
US10734239B2 (en) 2017-03-01 2020-08-04 Brewer Science, Inc. High-chi block copolymers with tunable glass transition temperatures for directed self-assembly
KR102308953B1 (ko) 2017-03-10 2021-10-05 주식회사 엘지화학 패턴화 기판의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
EP3454121A1 (en) * 2017-09-06 2019-03-13 IMEC vzw Method for manufacturing a mask
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI791618B (zh) * 2018-02-01 2023-02-11 美商布魯爾科技公司 微電子結構及製造形成這種微電子結構的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
CN109880327A (zh) * 2019-03-07 2019-06-14 华东理工大学 一种聚乳酸组合物、其自组装体以及制备方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JPWO2022210960A1 (ko) * 2021-03-31 2022-10-06
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
FR3134651A1 (fr) * 2022-04-13 2023-10-20 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procédé de fabrication d'un capteur de lumière

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040245518A1 (en) 2003-06-06 2004-12-09 Rensselaer Polytechnic Institute Self-assembled sub-nanolayers as interfacial adhesion enhancers and diffusion barriers
JP2006048035A (ja) 2004-07-22 2006-02-16 Samsung Electronics Co Ltd 半導体素子製造用のマスクパターンとその形成方法、及び微細パターンを有する半導体素子の製造方法
US7521094B1 (en) 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
JP2012061531A (ja) 2010-09-14 2012-03-29 Tokyo Ohka Kogyo Co Ltd ブロックコポリマーを含む層のパターン形成方法
JP2012064783A (ja) 2010-09-16 2012-03-29 Toshiba Corp 微細パターンの形成方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7045851B2 (en) * 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
US7371684B2 (en) 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
CN100442445C (zh) * 2006-05-18 2008-12-10 联华电子股份有限公司 制作栅极与蚀刻导电层的方法
US7482270B2 (en) 2006-12-05 2009-01-27 International Business Machines Corporation Fully and uniformly silicided gate structure and method for forming same
US7767099B2 (en) * 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
KR100908601B1 (ko) * 2007-06-05 2009-07-21 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용한 기판상 재료의패턴화 방법
US7939244B2 (en) * 2008-04-23 2011-05-10 Brewer Science Inc. Photosensitive hardmask for microlithography
JP4654280B2 (ja) * 2008-08-28 2011-03-16 株式会社日立製作所 微細構造体の製造方法
KR101288572B1 (ko) * 2008-12-17 2013-07-22 제일모직주식회사 보관안정성이 우수한 레지스트 하층막용 하드마스크 조성물
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8048795B2 (en) 2009-07-10 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-assembly pattern for semiconductor integrated circuit
JP2011134856A (ja) * 2009-12-24 2011-07-07 Toshiba Corp パターン形成方法
US8492239B2 (en) * 2010-01-27 2013-07-23 International Business Machines Corporation Homogeneous porous low dielectric constant materials
JP2011243655A (ja) 2010-05-14 2011-12-01 Hitachi Ltd 高分子薄膜、パターン媒体、及びこれらの製造方法、並びに表面改質材料
US8877430B2 (en) 2010-08-05 2014-11-04 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
US10000664B2 (en) * 2012-03-27 2018-06-19 Nissan Chemical Industries, Ltd. Underlayer film-forming composition for self-assembled films

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040245518A1 (en) 2003-06-06 2004-12-09 Rensselaer Polytechnic Institute Self-assembled sub-nanolayers as interfacial adhesion enhancers and diffusion barriers
JP2006048035A (ja) 2004-07-22 2006-02-16 Samsung Electronics Co Ltd 半導体素子製造用のマスクパターンとその形成方法、及び微細パターンを有する半導体素子の製造方法
US7521094B1 (en) 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
JP2012061531A (ja) 2010-09-14 2012-03-29 Tokyo Ohka Kogyo Co Ltd ブロックコポリマーを含む層のパターン形成方法
JP2012064783A (ja) 2010-09-16 2012-03-29 Toshiba Corp 微細パターンの形成方法

Also Published As

Publication number Publication date
CN104380194B (zh) 2019-05-31
WO2013158527A1 (en) 2013-10-24
US20130273330A1 (en) 2013-10-17
EP2839341A4 (en) 2015-11-25
EP2839341B1 (en) 2020-01-15
EP2839341A1 (en) 2015-02-25
CN104380194A (zh) 2015-02-25
KR20150008098A (ko) 2015-01-21
US9249013B2 (en) 2016-02-02
TWI679488B (zh) 2019-12-11
JP6284925B2 (ja) 2018-02-28
JP2015516686A (ja) 2015-06-11
TW201407265A (zh) 2014-02-16

Similar Documents

Publication Publication Date Title
KR102121081B1 (ko) 유도된 자기-조립을 위한 실리콘 하드마스크 층
CN107210197B (zh) 用于嵌段共聚物的定向自组装的混杂形貌和化学预制图案
KR102245179B1 (ko) 지향성 자가 조립용 블록 공중합체에 사용하기 위한 고도로 내에칭성인 중합체 블록
JP6077547B2 (ja) 誘導自己組織化ブロックコポリマーのための中性層の組成物及びそれの方法
TWI290265B (en) Lithographic antireflective hardmask compositions and uses thereof
TWI565749B (zh) 含有嵌段共聚物之組成物及圖型之縮小方法
US9291909B2 (en) Composition comprising a polymeric thermal acid generator and processes thereof
JP4852360B2 (ja) 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法
TWI737870B (zh) 包含金屬氧化物奈米粒子及有機聚合物之旋轉塗佈材料組合物
JP6810782B2 (ja) 誘導自己集合体施与のためのケイ素含有ブロックコポリマー
JP6316788B2 (ja) レリーフ画像形成方法
CN112368645A (zh) 用于euv光刻的粘附层
US20180096838A1 (en) Chemically patterned guide layers for use in chemoepitaxy directing of block co-polymers
JP2004145262A (ja) 半導体装置の製造方法及びパターンの形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant