DE112009000979T5 - Photoempfindliche Hartmaske für die Mikrolithographie - Google Patents

Photoempfindliche Hartmaske für die Mikrolithographie Download PDF

Info

Publication number
DE112009000979T5
DE112009000979T5 DE112009000979T DE112009000979T DE112009000979T5 DE 112009000979 T5 DE112009000979 T5 DE 112009000979T5 DE 112009000979 T DE112009000979 T DE 112009000979T DE 112009000979 T DE112009000979 T DE 112009000979T DE 112009000979 T5 DE112009000979 T5 DE 112009000979T5
Authority
DE
Germany
Prior art keywords
composition
layer
hardmask
hard mask
nanoparticles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112009000979T
Other languages
English (en)
Other versions
DE112009000979B4 (de
Inventor
Ramil-Marcelo L. Mercado
Hao Xu
Douglas J. Guerrero
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Brewer Science Inc
Original Assignee
Brewer Science Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brewer Science Inc filed Critical Brewer Science Inc
Publication of DE112009000979T5 publication Critical patent/DE112009000979T5/de
Application granted granted Critical
Publication of DE112009000979B4 publication Critical patent/DE112009000979B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/114Initiator containing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/128Radiation-activated cross-linking agent containing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/151Matting or other surface reflectivity altering material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24851Intermediate layer is discontinuous or differential
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24893Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including particulate material

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Polymerisation Methods In General (AREA)

Abstract

Verfahren zum Bilden einer mikroelektronischen Struktur, bei dem
(a) ein Substrat mit einer Oberfläche bereitgestellt wird,
(b) gegebenenfalls eine oder mehrere Zwischenschichten auf der Oberfläche gebildet werden,
(c) eine Hartmaskenzusammensetzung angrenzend an die Zwischenschichten, falls vorhanden, oder angrenzend an die Substratoberfläche, falls keine Zwischenschichten vorhanden sind, aufgebracht wird, wobei die Hartmaskenzusammensetzung nichtpolymeren Nanopartikel enthält, der in einem Lösungsmittelsystem gelöst oder dispergiert ist,
(d) die Hartmaskenzusammensetzung gebacken wird, um eine Hartmaskenschicht zu ergeben,
(e) die Hartmaskenschicht Strahlung ausgesetzt wird, um einen bestrahlten Teil der Hartmaske zu erhalten und
(f) die Hartmaskenschicht mit einem Entwickler in Kontakt gebracht wird, um so den bestrahlten Teil der Hartmaskenschicht zu entfernen.

Description

  • Querverweis auf verwandte Anmeldungen
  • Diese Anmeldung beansprucht die Priorität der provisorischen Anmeldung mit dem Titel „Photosensitive hardmask for microlitography”, Serien-Nr. 61/047,302, eingereicht am 23. April 2008, hierin durch Bezugnahme eingefügt.
  • Gebiet der Erfindung
  • Die vorliegende Erfindung befasst sich allgemein mit Zusammensetzungen und mikrolithographischen Verfahren, die die Verwendung von extrem dünnen Photoresistschichten zum Bilden von mikroelektronischen Strukturen erlauben.
  • Hintergrund der Erfindung
  • Beschreibung des Standes der Technik
  • Die Vorteile von mikroelektronischer Produktion werden durch die Dichte und Dimensionen von Halbleiterstrukturen reflektiert, die durch die mikrophotolithographischen Verfahren hergestellt werden. Die Nachfrage nach hoher Dichte und geringer kritischer Dimension (CD) hat die Photolithographietechnologie fortwährend an deren Grenzen gedrängt. Um mit dem Fahrplan der Halbleiterindustrie Schritt zu halten, werden Musterbildungsmaterialien und innovative lithographische Verfahren der nächsten Generation übereinstimmend für eine Hochauflösungslithographie arbeiten müssen. Als kritische Eigenschaft schrumpfen die Größen weiter auf 32 nm und darüber hinaus, und während die Aspektverhältnisse von gedruckten Linien bestimmte Grenzen aufweisen, um einen möglichen Linienkollaps zu vermeiden, war die Verwendung eines dünnen Photoresists weithin akzeptiert, um eine bessere Auflösung und eine größere Tiefenschärfe (DOF) zu ergeben. Das Gesetz von Rayleigh kann verwendet werden, um die Musterauflösung und Tiefenschärfe (DOF) zu bestimmen: Auflösung = k1λ/NA, und DOF = k2λ/NA2, wobei λ die Wellenlänge der Strahlung ist, NA die numerische Apertur des Bestrahlungsgeräts ist, und k1 und k2 Konstanten für ein gegebenes Verfahren sind. Die Theorie von Rayleigh besagt, dass ein Bestrahlungsgerät mit kurzer Wellenlänge und großer numerischer Apertur eine bessere Musterauflösung erzeugt. Aufgrund dieses Prinzips hat sich die Mikroelektronikindustrie fortschreitend auf kurze Bestrahlungswellenlängen zubewegt. Allerdings zeigt das Gesetz von Rayleigh auch, dass das Verbessern der Auflösung dazu führt, dass die DOF abnimmt. Die Verwendung eines dünnen Photoresists verringert den Wert von k1 und erhöht den Wert von k2, was zu einer besseren Auflösung und einer großen DOF führt. Die verringerte Photoresistdicke kann jedoch keine ausreichende Ätzresistenz bieten, um das Muster in das Substrat zu übertragen, insbesondere für die 193 nm-ArF-Photolithographie. Wegen des Erfordernisses der Transparenz können aromatische Strukturen nicht in ArF-Resists eingesetzt werden, so dass die meisten ArF-Resists sogar schneller ätzen als bisherige Photoresists. Um diesen Konflikt zwischen dem Bedarf an immer dünneren Photoresists für eine bessere Auflösung und dem Bedarf an einem ausreichenden Ätzbudget für die Musterübertragung zu lösen, gibt es derzeit nur wenige Verfahrens- und Materiallösungen.
  • In einem Ansatz wurden silicium- oder metallhaltige Hartmasken in einen Mehrschichtätzstapel eingeführt, um die Bereitstellung einer vollständigen Musterübertragung zu unterstützen.
  • Das reaktive Ionenätzen (RIE) wird typischerweise verwendet, um die Hartmaskenschicht unter dem Photoresist zu öffnen. Die Ätzselektivität von Hartmaske zu Photoresist bestimmt eindeutig, wie dünn der Photoresist sein kann. Unglücklicherweise ätzen fast sämtliche der derzeit erhältlichen Photoresists bei der gebräuchlichen Hartmaskenplasmaätzchemie immer noch schnell. Somit muss der Photoresist für die Hochauflösungslithographie nach wie vor im Wesentlichen dick sein.
  • Eine weitere Lösung ist es, ein entwicklerlösliches Unterschichtmaterial zu verwenden, um die ansonsten erforderliche Ätzstufe zu eliminieren. Isotropisch entwickelbare und photoempfindliche Grundantireflexbeschichtungen wurden beschrieben. Das Unterschneiden in einer isotropisch entwickelbaren Grundantireflexbeschichtung ist jedoch sehr schwer zu kontrollieren. Hauptanliegen für eine photoempfindliche, anisotropisch entwickelbare Grundantireflexbeschichtung sind eine Aussparung der Grundantireflexbeschichtung und eine CD-Gleichmäßigkeit, wenn sie auf eine Substrattopographie aufgebracht wird. Andererseits sind diese organischen Grundantireflexbeschichtungsmaterialien nicht als Hartmasken wirksam, wenn sie auf der Oberseite einer Rotationsbeschichtungskohlenstoff(SOC)-Planarisierungsschicht aufgebracht werden.
  • In letzter Zeit wurde die Mehrfachbestrahlungstechnologie für den nächsten Druckknoten die einzige brauchbare Option, bis Bestrahlungswellenlängen von kürzer als 193 nm, wie zum Beispiel 13,5 nm, verfügbar sind. Viele Verfahrensschemata für die Mehrfachbestrahlungstechnologie wurden erforscht und berichtet. Einige Schemata verwendeten eine Hellfeldmaske, bei der nur geringe Teile des Photoresists, wie zum Beispiel Linien, vor der Bestrahlung geschützt werden, während der verbleibende Teil des Resists bestrahlt wird. Der Photoresist wird anschließend mit dem Entwickler in Kontakt gebracht, um die bestrahlten Teile des Resists zu entfernen, wodurch lediglich die unbestrahlten Teile des Photoresists (d. h. die Linien) oberhalb der Hartmaskenschicht verbleiben. Das Muster wird auf die Hartmaske übertragen, indem die Hartmaskenschicht mit Ausnahme von jenen Bereichen unterhalb der unbestrahlten Teile des Photoresists weggeätzt wird. Das Verfahren wird wiederholt, bis das gewünschte Muster erhalten wird. In einem Dunkelfeldbestrahlungsverfahren wird ein großer Teil des Photoresists vor Bestrahlung geschützt, während lediglich die kleinen Teile des Photoresists bestrahlt und nach der Entwicklung entfernt werden. Wie bei dem Hellfeld muss das Muster anschließend unter Verwendung eines Ätzverfahrens auf die Hartmaske übertragen werden.
  • Ebenso bietet die ArF-Immersionslithographie, zusammen mit dem Double Patterning, eine realistischere Lösung für Herstellungen von 32 nm- und 22 nm-half-pitch-Knoten. Allerdings ist das gängige Litho-Ätz-Litho-Ätz(LELE)-Verfahren sehr teuer, sogar im Vergleich zur EUV-Lithographie.
  • Somit erfordern viele existierende Verfahren nach wie vor eine Trockenätzstufe, um die Muster auf die darunterliegende Schicht zu übertragen. Die Trockenätzstufe verkompliziert das Verfahren und erhöht die damit verbundene(n) Kosten und Zeit. Dementsprechend gibt es in der Technik einen Bedarf an Schutzmaterialien, die als Hartmaskenschicht und über Planarisierungsschichten oder in Mehrfachbestrahlungsverfahren verwendet werden können, die den Bedarf an einer Ätzstufe eliminieren und die Verwendung von extrem dünnen Photoresistschichten für eine erhöhte DOF- und CD-Kontrolle erlauben. Ferner gibt es einen Bedarf an Hartmaskenschichten, die mit einer ArF-Immersionslithographie, zusammen mit Double Patterning, verwendet werden können.
  • Zusammenfassung der Erfindung
  • Die vorliegende Erfindung überwindet diese Probleme, indem sie allgemein Zusammensetzungen zur Verwendung beim Bilden von mikroelektronischen Strukturen, Verfahren zum Bilden solcher Strukturen und die Strukturen davon bereitstellt. Die Erfindung stellt eine Hartmaskenzusammensetzung bereit, die die erforderliche Photoresistdicke verringert oder sogar eliminiert und, in der Zwischenzeit, die Verfahrenszeit verkürzt, indem sie eine Ätzstufe eliminiert. Darüber hinaus stellt die Erfindung ein Material bereit, das einfach durch Rotationsbeschichtung aufgebracht werden kann, was verglichen mit traditionellen Siliciumnitrit/oxid-chemischen Gasphasenabscheide(CVD)verfahren weniger Zeit erfordert und weniger teuer ist. Ferner ermöglicht die Erfindung die kontinuierliche CD-Schrumpfung zu dem 32 nm-Knoten und darüber hinaus, insbesondere in Verbindung mit einem Mehrfachmusterbildungsverfahren, während ferner die Betriebskosten der gängigen Mehrschichtherstellung verringert werden, indem eine Ätzstufe eliminiert wird.
  • Genauer gesagt wird eine Zusammensetzung bereitgestellt, die zum Bilden von mikroelektronischen Vorrichtungen geeignet ist. Die Zusammensetzung enthält nichtpolymere Nanopartikel, die in dem Lösungsmittelsystem gelöst oder dispergiert sind. Vorzugsweise ist die Zusammensetzung entwicklerlöslich.
  • Ein Verfahren zum Bilden einer mikroelektronischen Struktur wird ebenfalls bereitgestellt. Bei dem Verfahren wird ein Substrat mit einer Oberfläche bereitgestellt. Auf der Substratoberfläche werden wahlweise eine oder mehrere Zwischenschichten gebildet. Eine Hartmaskenzusammensetzung wird angrenzend an die Zwischenschichten angebracht, falls vorhanden, oder angrenzend an die Substratoberfläche, falls keine Zwischenschichten vorhanden sind. Die Hartmaskenzusammensetzung enthält nichtpolymere Nanopartikel, die in einem Lösungsmittelsystem gelöst oder dispergiert sind. Die Hartmaskenzusammensetzung wird gebacken, um eine Hartmaskenschicht auf der bzw. den Zwischenschicht(en), falls vorhanden, oder auf der Substratoberfläche, falls keine Zwischenschicht(en) vorhanden ist bzw. sind, zu ergeben. Die Hartmaskenschicht wird Strahlung ausgesetzt, um einen bestrahlen Teil der Hartmaskenschicht zu ergeben. Vorzugsweise wird die Hartmaskenschicht mit einem Entwickler in Kontakt gebracht, um den bestrahlten Teil der Hartmaskenschicht zu entfernen.
  • Die Erfindung stellt ferner eine mikroelektronische Struktur bereit, die ein Substrat mit einer Oberfläche, gegebenenfalls eine oder mehrere Zwischenschichten auf der Substratoberfläche und eine Hartmaskenschicht angrenzend an die Zwischenschicht(en), falls vorhanden, oder angrenzend an die Substratoberfläche, falls keine Zwischenschicht(en) vorhanden ist bzw. sind, enthält. Die Hartmaskenschicht enthält vernetzte nicht-polymere Nanopartikel. Vorzugsweise ist die Hartmaskenschicht entwicklerlöslich.
  • Kurze Beschreibung der Zeichnungen
  • Die Patent- oder Anmeldungsakte enthält mindestens eine Zeichnung, die in Farbe ausgeführt ist. Kopien der Veröffentlichung dieses Patents oder dieser Patentanmeldung mit Farbzeichnung(en) werden auf Antrag und Bezahlung der erforderlichen Gebühr durch das Amt bereitgestellt.
  • Die 1(A) bis (D) sind schematische Zeichnungen, die eine Struktur (nicht maßstabsgerecht) zeigen, die durch das erfinderische Verfahren gebildet wurde;
  • 2 ist eine Kontrastkurve der Dicke einer Schicht von Hartmaskenformulierung 2 (in nm) gegenüber der Bestrahlungsdosis (in mJ/cm2) aus Beispiel 1;
  • 3 ist eine Rasterelektrodenmikroskop(SEM)-Aufnahme der Muster, die in Beispiel 3 unter Verwendung von Hartmaskenformulierung 2 gebildet wurden;
  • 4 ist eine Kontrastkurve der Dicke einer Schicht von Hartmaskenformulierung 3 mit einer ArF-Photoresistschicht auf der Oberseite (in nm) gegenüber der Bestrahlungsdosis (in mJ/cm2) aus Beispiel 4;
  • 5 ist eine Kontrastkurve der Dicke (in nm) des Dreischichtsstapels (Grundantireflexbeschichtung, Hartmaskenformulierung 4 und Photoresist) aus Beispiel 5 gegenüber der Bestrahlungsdosis (in mJ/cm2); und
  • 6 veranschaulicht die Ätzrate von Formulierung 5 (in nm/min) unter Verwendung von CF4-, Ar- und O2-Gasmischungen aus Beispiel 6.
  • Ausführliche Beschreibung der bevorzugten Ausführungsformen
  • Die erfindungsgemäßen Zusammensetzungen
  • Die erfindungsgemäßen Zusammensetzungen sind thermisch härtbar (d. h. vernetzbar) und dazu geeignet, in Basisentwickler unter Einwirkung von Strahlung einer ausgewählten Wellenlänge löslich gemacht zu werden (d. h. nassentwickelbar). Das heißt, dass die Zusammensetzungen, wenn sie gehärtet sind, vorzugsweise sowohl in organischen Lösungsmitteln als auch Photoresistentwicklern unlöslich sind, jedoch mit Entwickler unter Einwirkung von Strahlung entnetzt und entfernt werden können (d. h. die Hartmaskenzusammensetzung ist photoempfindlich und entwicklerlöslich). Dies führt zu bestrahlten und unbestrahlten Teilen der Hartmaskenschicht mit verschiedenen Auflösungsraten, was das Entfernen der bestrahlten Teile ermöglicht, ohne die unbestrahlten Teile zu entfernen. Die Begriffe „entwicklerlöslich” oder „nassentwickelbar” wie hierin verwendet bedeuten, dass die Zusammensetzung dazu geeignet ist, in Basisentwickler unter Einwirkung von Licht löslich gemacht zu werden, so dass sie mit herkömmlichen wässrigen Entwicklern wie hierin beschrieben im Wesentlichen entfernt werden kann.
  • Genauer gesagt enthalten die erfindungsgemäßen Zusammensetzungen nichtpolymere Nanopartikel, die in einem Lösungsmittelsystem gelöst oder dispergiert sind. Die Zusammensetzung enthält vorzugsweise etwa 0,1 bis etwa 5 Gew.-% Nanopartikel, bevorzugter etwa 1 bis etwa 3 Gew.-% Nanopartikel und noch bevorzugter etwa 1,5 bis etwa 2,5 Gew.-% Nanopartikel, bezogen auf das Gesamtgewicht der Zusammensetzung, das als 100 Gew.-% angenommen wird. Der Begriff „Nanopartikel” wie hierin verwendet umfasst Partikel mit einer maximalen Dimension (in irgendeiner Dimension) der Größenordnung von etwa 1 nm bis etwa 100 nm, die sich hinsichtlich ihres Transports und ihrer Eigenschaften wie eine einzige Einheit verhalten, und schließt ultrafeine Feststoffpartikel, kolloidale Partikel, Aggregate von zwei oder mehr kovalent gebundenen Atomen (d. h. Moleküle), Partikel, die auf der Oberfläche Ladung tragen (d. h. polyatomare Ionen), und andere feinteilige partikuläre Materialien ein. Geeignete Nanopartikel sind kommerziell erhältlich und können aus wässrigen oder organischen kolloidalen Dispersionen von Nanopartikeln (z. B. kolloidalem Silica, erhältlich von Nissan Chemical, Houston, TX), Trockenpulvern (z. B. POSS®NANO-STRUCTURED®-Chemikalien, erhältlich von Hybrid PlasticsTM, Hattiesburg, MS) und/oder Meliorum, Rochester, NY erhalten werden. Die Trockenpulver sind typischerweise als Agglomerate mit Mikrometergröße erhältlich, die dann dispergiert werden können, um die Dimensionen im Nanobereich zu erhalten. Alternativ kann ein Nanopulver verwendet werden. Der Begriff „nichtpolymer” wie hierin verwendet bezieht sich auf diskrete Nanopartikel, die nicht mehr als 10 polymere Wiederholungen bilden, aber in der Zusammensetzung getrennte und verschiedene Einheiten bleiben. Die bevorzugten Nanopartikel zur Verwendung in der erfindungsgemäßen Zusammensetzung weisen vorzugsweise eine durchschnittliche Partikelgröße von weniger als etwa 15 nm auf, bevorzugter von etwa 1 nm bis etwa 10 nm und noch bevorzugter von etwa 1 nm bis etwa 5 nm. Die „durchschnittliche Partikelgröße” wie hierin beschrieben ist definiert als die maximale Oberfläche-zu-Oberfläche-Dimension des Nanopartikels (d. h. dies wäre im Fall von kugelförmigen Partikeln der Durchmesser).
  • Die Nanopartikel sind vorzugsweise metallhaltig und können irgendeine Art von Metall (einschließlich Elementarmetall) oder Metalllegierung enthalten. Bevorzugte Metalle sind ausgewählt aus der Gruppe bestehend aus Silicium (Si), Titan (Ti), Hafnium (Hf), Zink (Zn), Zirkonium (Zr), Zinn (Sn), Aluminium (Al), Cer (Ce), Tantal (Ta), Vanadium (V), Germanium (Ge), Cadmium (Cd), Selen (Se), Oxiden, Hydroxiden, Seleniden und Sulfiden der Vorgenannten und Kombinationen davon. Die Zusammensetzungen enthalten vorzugsweise etwa 4 Gew.-% bis etwa 80 Gew.-% Metall, bevorzugter etwa 20 Gew.-% bis etwa 80 Gew.-% Metall und noch bevorzugter etwa 60 Gew.-% bis etwa 80 Gew.-% Metall, bezogen auf die gesamten Feststoffe in der Zusammensetzung, die als 100 Gew.-% angenommen werden.
  • Die Nanopartikel enthalten ferner vorzugsweise eine säurefunktionelle Gruppe. Bevorzugter sind die entsprechenden Oberflächen der Nanopartikel mit einer oder mehreren Säuregruppen funktionalisiert (d. h. säurefunktionalisierte Nanopartikel). Bevorzugte Säuregruppen sind ausgewählt aus der Gruppe bestehend aus Hydroxylgruppen (-OH), Carboxylgruppen (-COOH), Phenolen, Fluoralkoholen, Fluoracrylamiden und Kombinationen davon.
  • Figure 00100001
  • Geeignete Nanopartikel sind kommerziell mit Säurefunktionalität erhältlich. Alternativ können die Nanopartikel unter Verwendung von bekannten Verfahren, wie zum Beispiel Ligandenaustausch, funktionalisiert werden. Vorzugsweise enthalten die Nanopartikel mindestens etwa 3 Säuregruppen pro Partikel, bevorzugter etwa 5 oder mehr Säuregruppen pro Partikel und noch bevorzugter etwa 10 oder mehr Säuregruppen pro Partikel.
  • Besonders bevorzugte Zusammensetzungen enthalten Nanopartikel, die ausgewählt sind aus der Gruppe bestehend aus kolloidalen Siliciumdioxid(SiO2)-Nanopartikeln, polyedrischen, oligomeren Silsesquioxan (POSS)-Molekülen und Mischungen davon, die in einem Lösungsmittelsystem gelöst oder dispergiert sind. Bevorzugter sind diese Nanopartikel mit einer Vielzahl von Säuregruppen funktionalisiert, die ausgewählt sind aus der Gruppe bestehend aus Hydroxylgruppen, Carboxylgruppen und Kombinationen davon. Bevorzugte POSS-Moleküle sind ausgewählt aus der Gruppe bestehend aus Carbonsäuren (z. B. POSS® Octaamidsäure), molekularen Siliciumdioxiden (z. B. Octakis(tetramethylammonium)pentacyclo[9.5.13,9.15,15.17,13]octasiloxan-1,3,5,7,9,11,13,15-octakis(cyloxid)hydrat wie zum Beispiel Octa TMA POSS®) und Silanolen (z. B. TriSilanolCyclopentyl POSS®, 1,3,5,7,9,11,14-Heptaethyltricyclo[7.3.3.1(5,11)]heptasiloxanendo-3,7,14-triol wie zum Beispiel TriSilanolEthyl POSS®, 1,3,5,7,9,11,14-Heptaisobutyltricyclo[7.3.3.1(5,11)]heptasiloxan-endo-3,7,14-triol wie zum Beispiel TriSilanolIsobutyl POSS®, 1,3,5,7,9,11,14-Heptaisooctyltricyclo[7.3.3.1(5,11)]heptasiloxan-endo-3,7,14-triol wie zum Beispiel TriSilanol-Isooctyl POSS®, 1,3,5,7,9,11,14-Heptaphenyltricyclo[7.3.3.1(5,11)]heptasiloxan-endo-3,7,14-triol wie zum Beispiel TriSilanolPhenyl POSS®, und Tricyclo[7.3.3.3(3,7)]octasiloxan-5,11,14,17-tetraol-1,3,5,7,9,11,14,17-octaphenyl wie zum Beispiel TetraSilanolPhenyl POSS®), die sämtlich von Hybrid PlasticsTM erhältlich sind.
  • Die Zusammensetzungen enthalten ferner vorzugsweise einen Vernetzer (hierin austauschbar mit dem Begriff „Vernetzungsmittel” verwendet), der in dem Lösungsmittelsystem mit den Nanopartikeln gelöst oder dispergiert ist. Bevorzugte Vernetzer sind Vinylethervernetzer. Es ist besonders bevorzugt, dass die Vernetzer mehrfunktionell (di-, tri- und tetrafunktionell) sind. Ein Beispiel von kommerziell erhältlichen Vinylethern schließt jene ein, die unter dem Handelsnamen VECTomerTM (Aldrich, St. Louis, MO) verkauft werden. Geeignete Vinylethervernetzer können ferner wie hierin beschrieben hergestellt werden. Der Vernetzer liegt in der Zusammensetzung vorzugsweise mit einem Niveau von etwa 0,1 Gew.-% bis etwa 5 Gew.-%, bevorzugter von etwa 0,5 Gew.-% bis etwa 2,5 Gew.-%, und noch bevorzugter von etwa 1 Gew.-% bis etwa 2 Gew.-% vor, bezogen auf das Gesamtgewicht der Zusammensetzung, das als 100 Gew.-% angenommen wird.
  • Bevorzugter weisen die Vinylethervernetzer, wenn vorhanden, die Formel R'-(X-O-CH=CH2)n auf, in der R' ausgewählt ist aus der Gruppe bestehend aus Arylen (vorzugsweise C6-C14) und Alkylgruppen (vorzugsweise C1-C18 und bevorzugter C1-C10), jedes X einzeln ausgewählt ist aus der Gruppe bestehend aus Alkylgruppen (vorzugsweise C1-C18 und bevorzugter C1-C10), Alkoxygruppen (vorzugsweise C1-C18 und bevorzugter C1-C10), Carbonylgruppen und Kombinationen von zwei oder mehr der Vorgenannten, und n mindestens 2, und vorzugsweise 2 bis 6 ist. Die am meisten bevorzugten Vinylether schließen jene ein, die ausgewählt sind aus der Gruppe bestehend aus Ethylenglykolvinylether, Trimethylolpropantrivinylether, 1,4-Cyclohexandimethanoldivinylether und Mischungen davon. Ein weiterer bevorzugter Vinylether weist eine Formel auf, die ausgewählt ist aus der Gruppe bestehend aus
    Figure 00120001
    Figure 00130001
  • Bevorzugte Lösungsmittelsysteme schließen ein Lösungsmittel ein, das ausgewählt ist aus der Gruppe bestehend aus Ethyllaktat (EL), Propylenglykolmethyletheracetat (PGMEA), Propylenglykolmethylether (PGME), Propylenglykol-n-propylether (PnP), Dimethylacetamid, Cyclohexanon und Mischungen davon. Vorzugsweise weist das Lösungsmittelsystem einen Siedepunkt von etwa 50 bis 250°C und bevorzugter von etwa 100 bis 175°C auf. Das Lösungsmittelsystem sollte mit einem Anteil von etwa 90 Gew.-% bis etwa 99,9 Gew.-%, vorzugsweise von etwa 95 Gew.-% bis etwa 99 Gew.-% und noch bevorzugter von etwa 97,5 Gew.-% bis etwa 98,5 Gew.-% verwendet werden, bezogen auf das Gesamtgewicht der Zusammensetzung, das als 100% angenommen wird. Die Zusammensetzung enthält vorzugsweise einen Feststoffgehalt von etwa 0,1 Gew.-% bis etwa 90 Gew.-%, bevorzugter von etwa 1 Gew.-% bis etwa 5 Gew.-% und noch bevorzugter von etwa 1,5 Gew.-% bis etwa 2,5 Gew.-%, bezogen auf das Gesamtgewicht der Zusammensetzung, das als 100 Gew.-% angenommen wird.
  • Die Vernetzung der Nanopartikel wird vorzugsweise thermisch initiiert, und dies wird typischerweise durch einen Säurekatalysator ausgeführt, der in dem Lösungsmittelsystem mit dem Vernetzer und den Nanopartikeln dispergiert oder gelöst ist. Bevorzugte Katalysatoren schließen Sulfonsäuren (z. B. p-Toluolsulfonsäure, Styrolsulfonsäure), Sulfonate (z. B. Pyridinium-p-toluolsulfonat, Pyridiniumtrifluormethansulfonat, Pyridinium-3-nitrobenzolsulfonat) und Mischungen davon ein. Die Zusammensetzungen können etwa 0 Gew.-% bis etwa 5 Gew.-% Katalysator, vorzugsweise etwa 0,01 Gew.-% bis etwa 2 Gew.-% Katalysator und noch bevorzugter etwa 0,02 Gew.-% bis etwa 1 Gew.-% Katalysator enthalten, bezogen auf das Gesamtgewicht an Feststoffen in der Zusammensetzung, das als 100 Gew.-% angenommen werden. Vorzugsweise wird der Katalysator in dem Lösungsmittelsystem mit den Nanopartikeln und dem Vernetzer unter Umgebungsbedingungen und für eine Zeitdauer von etwa 1 bis etwa 18 h dispergiert oder gelöst.
  • In einer bevorzugten Ausführungsform kann anschließend ein Säureerzeuger zu der Zusammensetzung gegeben werden. Alternativ können die Bestandteile in der Zusammensetzung einfach alle auf einmal in dem Lösungsmittelsystem dispergiert oder gelöst werden. Ein bevorzugter Säureerzeuger ist ein Photosäureerzeuger („PAG”, sowohl ionisch als auch nichtionisch). Irgendein PAG, der in Anwesenheit von Strahlung Säure erzeugt, ist geeignet. Bevorzugte PAGs sind ausgewählt aus der Gruppe bestehend aus Di-(p-t-butylphenyl)iodoniumtris(perfluormethansulfonyl)methid (z. B. DTBPI-C1, erhalten von DAYCHEM Laboratories, Inc., Vandalia, OH), Oniumsalzen (z. B. Triphenylsulfoniumperfluorsulfonaten, wie zum Beispiel Triphenylsulfoniumnonaflat und Triphenylsulfoniumtriflat), Oximsulfonaten (z. B. jene, die unter dem Namen CGI® von CIBA verkauft werden), Triazinen (z. B. TAZ108®, erhältlich von Midori Kagaku Company) und Mischungen davon. Die Zusammensetzungen enthalten vorzugsweise etwa 0 Gew.-% bis etwa 10 Gew.-% Säureerzeuger, bevorzugter etwa 1 Gew.-% bis etwa 8 Gew.-% Säureerzeuger und noch bevorzugter etwa 2 Gew.-% bis etwa 5 Gew.-% Säureerzeuger, bezogen auf das Gesamtgewicht der Feststoffe, das als 100 Gew.-% angenommen wird.
  • Obwohl ein thermischer Säureerzeuger („TAG”) in die erfindungsgemäße Zusammensetzung eingeschlossen werden kann, ist die Zusammensetzung in einer bevorzugten Ausführungsform im Wesentlichen frei von TAGs. Das heißt, dass irgendwelche TAGS, falls vorhanden, mit sehr niedrigen Anteilen von weniger als etwa 0,5 Gew.-% und vorzugsweise etwa 0 Gew.-% eingeschlossen sind, bezogen auf das Gesamtgewicht der Zusammensetzung, das als 100 Gew.-% angenommen wird.
  • Die bevorzugten Zusammensetzungen schließen ferner Ablöschmittel ein. Bevorzugte Ablöschmittel sind ausgewählt aus der Gruppe bestehend aus Triethanolamin, Triethylamin, Trimethanolamin, Trimethylamin, Triisopropanolamin, Triisopropylamin, Tri-t-butanolamin, Tri-t-butylamin, Tri-n-butanolamin, Tri-n-butylamin, Diethanolamin, Diethylamin, Dimethanolamin, Dimethylamin, Diisopropanolamin, Diisopropylamin, Di-t-butanolamin, Di-t-butylamin, Di-n-butanolamin, Di-n-butylamin, Ethanolamin, Ethylamin, Methanolamin, Methylamin, Isopropanolamin, Isopropylamin, t-Butanolamin, t-Butylamin, n-Butanolamin und n-Butylamin und Kombinationen davon. Wenn vorhanden, enthalten die Antireflexbeschichtungszusammensetzungen vorzugsweise etwa 0 Gew.-% bis etwa 5 Gew.-% Ablöschmittel, bevorzugter etwa 0,25 Gew.-% bis etwa 4 Gew.-% Ablöschmittel und noch bevorzugter etwa 0,5 Gew.-% bis etwa 2 Gew.-% Ablöschmittel, bezogen auf das Gesamtgewicht an Feststoffen in der Zusammensetzung, das als 100 Gew.-% angenommen wird.
  • Zusätzliche Bestandteile, die in der Zusammensetzung enthalten sein können, schließen Tenside, Haftvermittler, Antioxidantien, Photoinitiatoren und Kombinationen der Vorgenannten ein. Polymere können ebenfalls in der Zusammensetzung enthalten sein. Beispiele von Polymeren, die in der Zusammensetzung verwendet werden können, schließen jene ein, die ausgewählt sind aus der Gruppe bestehend aus Acrylaten, Methacrylaten, Styrolen, Acrylamiden, Methacrylamiden und Kombinationen davon. Geeignete Polymere weisen vorzugsweise ein Molekulargewicht von etwa 1000 bis etwa 50000 Dalton auf, bevorzugter etwa 3000 bis etwa 25000 Dalton und noch bevorzugter etwa 4000 bis etwa 15000 Dalton auf. Trotzdem ist es bevorzugt, dass die Zusammensetzung im Wesentlichen frei von polymeren Bestandteilen ist (d. h. jenen mit mehr als 100 Wiederholungseinheiten). Somit enthalten die erfindungsgemäßen Zusammensetzungen vorzugsweise weniger als etwa 5 Gew.-% Polymere und bevorzugter weniger als etwa 2 Gew.-% Polymere, bezogen auf das Gesamtgewicht an Feststoffen in der Zusammensetzung, das als 100 Gew.-% angenommen wird.
  • Die erfindungsgemäßen Verfahren und Strukturen
  • Die 1(A) bis 1(D) veranschaulichen eine bevorzugte Ausführungsform der Erfindung. In dem Verfahren wird ein Substrat 10 mit einer Oberfläche 10a bereitgestellt. In der Erfindung kann irgendein mikroelektronisches Substrat verwendet werden. Beispielhafte Substrate 10 schließen jene ein, die ausgewählt sind aus der Gruppe bestehend aus Silicium, SiGe, SiO2, Si3N4, Aluminium, Wolfram, Wolframsilicid, Galliumarsenid, Germanium, Tantal, Tantalnitrid, Koralle, schwarzem Diamant, phosphor- oder bordotiertem Glas und Mischungen der Vorgenannten. Bei den Verfahren wird die erfindungsgemäße Zusammensetzung auf das Substrat 10 aufgebracht, um eine Schicht 12 der Zusammensetzung auf der Oberfläche 10a von Substrat 10 zu bilden. Die Zusammensetzung kann durch irgendein bekanntes Aufbringverfahren aufgebracht werden, wobei ein bevorzugtes Verfahren die Rotationsbeschichtung der Zusammensetzung bei Geschwindigkeiten von etwa 500 bis etwa 5000 U/min (vorzugsweise von etwa 1000 bis etwa 3000 U/min) für eine Zeitdauer von etwa 15 bis etwa 90 s (vorzugsweise von etwa 30 bis etwa 60 s) ist. Die Zusammensetzung kann direkt auf die Substratoberfläche 10a aufgebracht werden oder auf eine oder mehrere optionale Zwischenschichten (nicht gezeigt), die auf der Substratoberfläche gebildet worden sind. Geeignete Zwischenschichten schließen jene ein, die ausgewählt sind aus der Gruppe bestehend aus Rotationsbeschichtungskohlenstoffschichten (SOC), amorphen Kohlenstoffschichten, Grundantireflexbeschichtungen, Planarisierungsschichten und Kombinationen der Vorgenannten. Unabhängig davon kann das Substrat 10 eine planare Oberfläche umfassen oder es kann eine Topographie einschließen (Bohrungslöcher, Kontaktlöcher, erhabene Merkmale, etc.). Wie hierin verwendet, bezieht sich „Topographie” auf die Höhe oder Tiefe einer Struktur in oder auf einer Substratoberfläche.
  • Nachdem die Hartmaskenzusammensetzung aufgebracht worden ist, wird sie vorzugsweise durch Erhitzen auf eine Temperatur von etwa 110 bis 250°C, und bevorzugter von etwa 130 bis 205°C, für eine Zeitdauer von etwa 10 s bis etwa 120 s (vorzugsweise von etwa 30 s bis etwa 90 s) einer Härtungsstufe unterzogen, um eine vernetzte Matrix der Nanopartikel in der Zusammensetzung (d. h. eine gehärtete Hartmaskenschicht 12) zu bilden. Zum Beispiel enthält die vernetzte Nanopartikelmatrix in Ausführungsformen, bei denen die säurefunktionelle Gruppe der Nanopartikel eine Carbonsäuregruppe ist und der Vernetzer ein Vinylethervernetzer ist, Acetalbindungen. Die Dicke der Hartmaskenschicht 12 nach dem Backen beträgt vorzugsweise etwa 1 nm bis etwa 100 nm, bevorzugter etwa 10 nm bis etwa 80 nm und noch bevorzugter etwa 20 nm bis etwa 40 nm.
  • Die Hartmaskenschicht 12 besitzt vorzugsweise lichtabsorbierende Eigenschaften. Genauer gesagt weist die Hartmaskenschicht 12 in den erfindungsgemäßen Verfahren vorzugsweise einen k-Wert (die imaginäre Komponente des komplexen Brechungsindexes) von mindestens etwa 0,05, bevorzugter etwa 0,1 bis etwa 0,3 und noch bevorzugter etwa 0,15 bis etwa 0,25, und einen n-Wert (die reale Komponente des komplexen Brechungsindexes) von mindestens etwa 1,45, und bevorzugter etwa 1,55 bis etwa 2,25 und noch bevorzugter etwa 1,65 bis etwa 2 auf. Diese Werte können in einem weiten Bereich von Wellenlängen erhalten werden, einschließlich Wellenlängen von weniger als 500 nm (z. B. 365 nm, 248 nm, 193 nm, 157 nm oder 13,5 nm).
  • Vorzugsweise ist die gehärtete Hartmaskenschicht 12 ausreichend vernetzt, so dass sie in typischen Lösungsmitteln, wie zum Beispiel EL, PGMEA, PGME, PnP, Cyclohexanon, Aceton, gamma-Butyrolacton (GBL) und Mischungen davon, im Wesentlichen unlöslich ist. Somit weisen die gehärteten Hartmaskenschichten 12, wenn sie einem Stripping-Test unterzogen werden, ein prozentuales Stripping von weniger als etwa 5%, vorzugsweise weniger als etwa 1% und noch bevorzugter etwa 0% auf. Beim Stripping-Test wird zuerst die Dicke bestimmt, indem der Durchschnitt von Messungen an fünf verschiedenen Stellen der gehärteten Schicht genommen wird. Dies ist die anfängliche durchschnittliche Filmdicke. Anschließend wird der Film mit einem Lösungsmittel (z. B. Ethyllaktat) für etwa 30 s gewaschen, gefolgt von Rotationstrocknen bei etwa 500 bis 3000 U/min für etwa 20 bis 60 s, um das Lösungsmittel zu entfernen. Die Dicke wird unter Verwendung von Ellipsometrie erneut an fünf verschiedenen Punkten auf dem Wafer gemessen, und der Durchschnitt dieser Messungen wird bestimmt. Dies ist die durchschnittliche finale Filmdicke.
  • Die Strippingmenge ist die Differenz zwischen den anfänglichen und den finalen durchschnittlichen Filmdicken. Das prozentuale Stripping ist: % Stripping = (Strippingmenge/anfängliche durchschnittliche Filmdicke) × 100
  • Die gehärtete Hartmaskenschicht 12 ist ferner vorzugsweise in typischen Photoresistentwicklern wie hierin beschrieben im Wesentlichen unlöslich. Die Löslichkeit der gehärteten Hartmaskenschicht in dem Entwickler wird unter Verwendung der gleichen Methode und Berechnung wie in dem oben beschriebenen Stripping-Test bestimmt. Die gehärtete Schicht wird jedoch 60 s lang in 0,26 N Tetramethylammoniumhydroxid (TMAH)-Entwickler eingetaucht, anstatt dass sie mit einem Lösungsmittel wie zum Beispiel EL gewaschen wird. Irgendein Verlust an Dicke in der gehärteten Schicht wird als der „dunkle Verlust” definiert. Die gehärtete Schicht weist vorzugsweise einen dunklen Verlust von weniger als etwa 10 bevorzugter weniger als etwa 5 und noch bevorzugter etwa 0 auf.
  • Die Hartmaskenschicht 12 sollte ferner eine hohe Ätzselektivität gegenüber dem Substrat 10 aufweisen, um eine angemessene Plasmabarriere bereitzustellen. Dies liegt darin begründet, dass der anschließend aufgebrachte Photoresist in diesem Verfahren lediglich zur Musterbildung der Hartmaskenschicht 12 durch Bestrahlung und Nassentwicklung verwendet wird, während der Photoresist in herkömmlichen Verfahren auch als Plasmaätzbarriere fungiert. Somit beträgt die Ätzselektivität der Hartmaskenschicht 12 gegenüber dem Substrat 10 mindestens etwa 10:1, vorzugsweise mindestens etwa 20:1 und noch bevorzugter etwa 25:1 bis etwa 100:1, wenn O2-Plasma als Ätzmittel verwendet wird. Ferner beträgt die Ätzselektivität der Hartmaskenschicht 12 gegenüber dem Photoresist (z. B. einem 193 nm-Photoresist) mindestens etwa 1, vorzugsweise mindestens etwa 1,5 und bevorzugter etwa 2 bis etwa 4, wenn CF4-Plasma als Ätzmittel verwendet wird. Die Hartmaskenschicht 12 sollte mit einer Rate von weniger als etwa 30 nm/min und bevorzugter 0 nm/min bis etwa 10 nm/min ätzen, wenn O2-Plasma das Ätzmittel ist.
  • Eine Photoresistzusammensetzung kann anschließend auf die gehärtete Hartmaskenschicht aufgetragen werden, um eine Abbildungsschicht 14 zu bilden. Der sich ergebende Stapel 16 ist in 1(B) veranschaulicht. Die Abbildungsschicht 14 wird anschließend bei einer Temperatur von mindestens etwa 85°C und vorzugsweise von etwa 90°C bis etwa 120°C für eine Zeitdauer von etwa 30 s bis etwa 90 s Nach-Aufbringung gebacken (engl. „post-application baked”, „PAB”). Geeignete Abbildungszusammensetzungen schließen kommerziell erhältliche Photoresists (z. B. Pi6-001, TOK, Kawasaki shi, Kanagawa (Japan), ARX3001, JSR Micro, Sunnyvale, CA, AM2073J, JSR Micro) oder irgendwelche anderen photoempfindlichen Zusammensetzungen ein.
  • Die Abbildungsschicht 14 kann anschließend durch Einwirkung von Licht der geeigneten Wellenlänge, gefolgt von einer Entwicklung des bestrahlten Photoresists, gemustert werden. Genauer gesagt wird die Abbildungsschicht 14 unter Verwendung einer Maske 18, die oberhalb der Oberfläche der Abbildungsschicht 14 positioniert ist, bestrahlt. Die Maske 18 weist offene Bereiche 18a auf, die so konstruiert sind, dass sie es Strahlung (hν) ermöglichen, durch die Maske 18 zu dringen und mit der Abbildungsschicht 14 in Kontakt zu treten. Die verbleibenden festen Teile 18b der Maske 18 sind so konstruiert, dass sie verhindern, dass Strahlung mit der Oberfläche der Abbildungsschicht 14 in bestimmten Bereichen in Kontakt tritt. Für den Fachmann ist klar, dass die Anordnung von offenen Bereichen 18a und festen Teilen 18b auf Basis des gewünschten Musters konstruiert ist, das in der Abbildungsschicht 14 und letztlich in dem Substrat 10 gebildet werden soll.
  • Wenn die Abbildungsschicht 14 Strahlung (d. h. Licht) ausgesetzt wird, gilt dies vorzugsweise auch für die erfindungsgemäße Hartmaskenschicht 12. Durch Einwirkung von Licht wird die Nanopartikelmatrix in der photoempfindlichen Hartmaskenschicht 12 „entnetzt”. Das heißt, dass die Bindung, die zwischen den Nanopartikeln und dem Vernetzer bei der thermischen Vernetzung gebildet worden ist, gebrochen wird. Somit werden bei der Bestrahlung die Teile der Hartmaskenschicht 12 und Abbildungsschicht 14, die Strahlung ausgesetzt sind, gleichzeitig in wässrigem Entwickler löslich gemacht. Nach der Bestrahlung werden die Abbildungsschicht 14 und die Hartmaskenschicht 12 vorzugsweise einem Nach-Bestrahlungsbacken (PEB) bei einer Temperatur von etwa 85°C bis etwa 130°C, bevorzugter etwa 90°C bis etwa 110°C, für eine Zeitdauer von etwa 30 s bis 90 s unterzogen.
  • Die bestrahlten Teile der Abbildungsschicht 14 und Hartmaskenschicht 12, die durch das obige Verfahren löslich gemacht wurden, werden anschließend mit einem Photoresistentwickler in Kontakt gebracht, um die bestrahlten Teile zu entfernen. Die bestrahlten Teile der Hartmaskenschicht 12 unterhalb der bestrahlten Teile der Abbildungsschicht 14 werden durch den Entwickler entfernt, wenn die Abbildungsschicht 14 entfernt wird, so dass das gewünschte Muster 20 gleichzeitig in der Abbildungsschicht 14 und der Hartmaskenschicht 12 gebildet wird. Das Muster 20 können Durchgangslöcher, Gräben, Linien, Zwischenräume, etc. sein, die letztlich unter Verwendung eines Ätz- oder Ionenimplantationsverfahrens auf das Substrat 10 übertragen werden. Vorzugsweise werden durch den Entwickler mindestens etwa 95% der bestrahlten Teile der Abbildungsschicht 14 und der Hartmaskenschicht 12 entfernt, bevorzugter mindestens etwa 99% und noch bevorzugter werden etwa 100% entfernt. Wenn eine entwicklerlösliche Zwischenschicht verwendet wird (z. B. eine entwicklerlösliche Grundantireflexbeschichtung), können dann vorteilhafterweise auch die bestrahlten Teile der Zwischenschicht entfernt werden, so dass das gewünschte Muster 20 gleichzeitig in der Abbildungsschicht 14, der Hartmaskenschicht 12 und der Zwischenschicht (nicht gezeigt) gebildet wird.
  • Geeignete Entwickler sind organische oder anorganische, alkalische Lösungen, wie zum Beispiel Kaliumhydroxid (KOH), TMAH, und umfassen vorzugsweise eine wässrige Lösung von TMAH mit einer Konzentration von 0,26 N oder weniger. Einige dieser Entwickler sind unter den Handelsnamen PD523AD (erhältlich von Moses Lake Industries, Inc., Moses Lake, WA), MF-319 (erhältlich von Shipley, Massachusetts), MF-320 (erhältlich von Shipley) und NMD3 (erhältlich von TOK, Japan) kommerzialisiert. Vorzugsweise beträgt die Auflösungsrate der Hartmaskenschicht 12 in 0,26 N TMAH-Entwickler nach der Bestrahlung etwa 50 nm/s bis etwa 250 nm/s und bevorzugter etwa 100 nm/s bis etwa 200 nm/s.
  • Anschließend können herkömmliches Ätzen, Metallisierung, etc. auf dem gemusterten Stapel 22 durchgeführt werden, um die Herstellung der Vorrichtung zu vervollständigen. Das Bestrahlungs-Entwicklungs-Verfahren kann ferner unter Verwendung einer zweiten Abbildungsschicht wiederholt werden, die angrenzend an die gemusterte Hartmaskenschicht aufgebracht wird, wenn ein Mehrfachbestrahlungsverfahren erwünscht ist.
  • In einer alternativen Ausführungsform kann die ArF-Immersionslithographie (nicht gezeigt) verwendet werden, um den Photoresist zu mustern. Anstelle von Luft (wie in der herkömmlichen Lithographie) ist das Medium, durch das die Strahlung während der Bestrahlung dringt, eine Flüssigkeit. Die Abbildungsschicht 14 wird über ein optisches Projektionselement (d. h. eine Linse) eines lithographischen Systems Strahlung ausgesetzt, wobei die Immersionsflüssigkeit mit mindestens einem Teil des optischen Elements des lithographischen Systems und einem Teil der Struktur (d. h. dem Stapel 16) in Kontakt gebracht wird. Noch bevorzugter füllt die Flüssigkeit den Raum zwischen dem letzten optischen Element in dem System und der Abbildungsschicht 14, so dass das optische Element in die Flüssigkeit eingetaucht wird. Geeignete Immersionsflüssigkeiten weisen vorzugsweise einen Brechungsindex von größer als 1 (vorzugsweise von etwa 1 bis etwa 2 und bevorzugter von etwa 1,3 bis etwa 1,4) auf, und sind ausgewählt aus der Gruppe bestehend aus Wasser (bevorzugt gereinigtes Wasser), organischen Lösungsmitteln und Mischungen davon. Immersionslithograpiesysteme sind in der Technik bekannt und schließen das Amphibian-Interferometer von AmphibianTM Systems (Rochester, NY) ein.
  • Beispiele
  • Die folgenden Beispiele legen bevorzugte Verfahren gemäß der Erfindung dar. Diese Beispiele werden jedoch selbstverständlich zur Veranschaulichung bereitgestellt und nichts davon sollte als Einschränkung des Gesamtumfangs der Erfindung angenommen werden.
  • Beispiel 1
  • Hartmaskenformulierung 1
  • In diesem Verfahren wurde eine photoempfindliche Hartmaske gebildet, indem zuerst 3,5 g einer Isopropanollösung von kolloidalem Siliciumdioxid (~30 Gew.-% SiO2, durchschnittliche Partikelgröße 10 bis 15 nm) (OrganosilicasolTMIPA-ST, erhalten von Nissan Chemical, Houston, TX) mit 5 ml PGME (erhalten von Harcros Chemicals, St. Louis, MO) verdünnt wurden. Anschließend wurden 350 mg von trifunktionellem Vinylethervernetzer (siehe Beispiel 8) zu der Lösung gegeben, zusammen mit 5 mg Pyridinium-p-toluolsulfonat (PPTS, erhalten von Aldrich, Milwaukee, WI). Die Mischung wurde über Nacht bei Raumtemperatur in einem Glasfläschchen gerührt und anschließend mit PGME auf ein Gesamtgewicht der Lösung von 56 g weiterverdünnt. Anschließend wurden 20 mg Triethanolamin (TEA, erhalten von Aldrich, Milwaukee, WI) und 26 mg des PAG Di-(p-t-butylphenyl)iodoniumtris(perfluormethansulfonyl)methid (DTBPI-C1, erhalten von DAYCHEM Laboratories, Inc., Vandalia, OH) zugegeben. Die finale Formulierung wurde durch einen Partikelfilter filtriert.
  • Die Formulierung 1 wurde bei 2000 U/min auf ein Siliciumsubstrat rotationsbeschichtet und anschließend bei 130°C für 60 s gebacken. Die optischen Konstanten wurden unter Verwendung eines spektroskopischen Ellipsometers mit variablem Winkel (VASE®, J. A. Woollam Co., Inc.) gemessen. Der n-Wert des Films bei 193 nm betrug 1,40. Der k-Wert des Films bei 193 nm betrug 0,075. Der n-Wert des Films bei 248 nm betrug 1,45. Der k-Wert des Films bei 248 nm betrug 0,021.
  • Anschließend wurde die Lösungsmittelresistenz des Films getestet. Ein Substrat wurde mit Formulierung 1 wie oben beschrieben beschichtet und die anfängliche Dicke des Films wurde gemessen. Der Film wurde anschließend mit EL (Harcros Chemicals, St. Louis, MO) für 30 s gewaschen. Die resultierende Dicke des Films wurde erneut gemessen und registriert. Um den dunklen Verlust zu bestimmen, wurde ein weiteres Substrat mit Formulierung 1 beschichtet, und die anfängliche Dicke des Films wurde gemessen und registriert. Der Film wurde anschließend für 60 s in einen 0,26 N TMAH-Photoresistentwickler (PD523AD, erhalten von Moses Lake Industries, Inc., Moses Lake, WA) eingetaucht. Die Dicke des Films wurde gemessen und registriert. Um die Nassentwicklung des Films zu bestimmen, wurde ein drittes Substrat mit Formulierung 1 wie oben beschrieben beschichtet und die anfängliche Dicke des Films wurde gemessen. Der Film wurde anschließend Licht mit 248 nm aus einer Quecksilber-Xenonlampe ausgesetzt, gefolgt von Nach-Bestrahlungsbacken (PEB) bei 130°C für 60 s und einer Entwicklung unter Verwendung von PD523AD für 60 s. Die resultierende Dicke wurde gemessen. Die Ergebnisse, die in Tabelle 1 unten zusammengefasst sind, zeigen, dass die Formulierung 1 eine gute Lösungsmittelresistenz und einen geringen dunklen Verlust aufweist, jedoch durch alkalische Entwickler nach der Bestrahlung entfernt werden kann. Tabelle 1
    30 s (EL-Strip) Entwicklung ohne Bestrahlung Bestrahlung, PEB und Entwicklung
    Anfängliche Dicke (Å) 691 725 683
    Resultierende Dicke (Å) 732 776 0
    % Änderung 5,9% 7,0% –100%
  • Beispiel 2
  • Hartmaskenformulierung 2 und Photoempfindlichkeitstest
  • In diesem Verfahren wurde eine zweite Hartmaskenformulierung hergestellt, indem 10 mg DTBPI-C1 PAG zu 10 mg der Hartmaskenformulierung 1 aus Beispiel 1 oben gegeben wurden, um eine photoempfindlichere Formulierung 2 herzustellen. Die Formulierung wurde bei 2000 U/min auf ein Siliciumsubstrat rotationsbeschichtet und anschließend bei 130°C für 60 s gebacken. Der Film wurde anschließend durch einen 248 nm-Filter für verschiedene Zeitdauern (d. h. 5, 8, 10 und 12 s) UV-Licht ausgesetzt. Nach PEB bei 130°C für 60 s und einer Entwicklung unter Verwendung von PD523AD, wurde die verbleibende Dicke (in nm) der bestrahlten Bereiche gemessen und gegen die Bestrahlungsdosis (in mJ/cm2) aufgetragen. Die in 2 gezeigte repräsentative Kontrastkurve zeigt deutlich den scharfen Kontrast der Entwicklerlöslichkeit, bevor und nachdem die Bestrahlungsdosis 25 mJ/cm2 erreichte.
  • Beispiel 3
  • Abbilden unter Verwendung von Formulierung 2 auf Antireflexbeschichtungsmaterial
  • In diesem Verfahren wurde unter Verwendung von Hartmaskenformulierung 2 aus Beispiel 2 oben eine Struktur hergestellt und gemustert. Zuerst wurde ein Antireflexbeschichtungsmaterial (ARC® 29A, erhalten von Brewer Science, Inc., Rolla, MO) bei 2500 U/min auf einen Siliciumwafer rotationsbeschichtet, gefolgt von Backen bei 205°C. Die Antireflexbeschichtung wies eine Dicke von 80 nm auf. Die Formulierung 2 wurde bei 2000 U/min auf die Oberseite der ARC® 29A-Beschichtung rotationsbeschichtet und anschließend bei 130°C für 60 s gebacken. Der Filmstapel wurde durch einen 248 nm-Filter UV-Licht ausgesetzt, um eine Bestrahlung von > 25 mJ/cm2 zu ergeben (gemäß der Kontrastkurve in 2). Nach PEB bei 130°C für 60 s und einer Entwicklung unter Verwendung von PD523AD wurden die resultierenden Muster durch optische Mikroskopie abgebildet, um 3 zu ergeben, die positive Mikromuster (bis zu 10 μm-Linien herab) zeigt, die unter Verwendung der Hartmaskenformulierung 2 aufgelöst wurden.
  • Beispiel 4
  • Formulierung 3 und Test mit kommerziellen Photoresists
  • In diesem Verfahren wurde eine dritte Hartmaskenformulierung hergestellt und unter Verwendung eines kommerziell erhältlichen Photoresists getestet. Eine photoempfindlichere Hartmaskenformulierung 3 wurde hergestellt, indem 15 mg DTBPI-C1 PAG zu 10 g von Formulierung 1 gegeben wurden. Die resultierende Formulierung wurde bei 2500 U/min auf ein Siliciumsubstrat rotationsbeschichtet und anschließend bei 130°C für 60 s gebacken. Ein ArF-Photoresist (AM2073J, erhalten von JSR Micro, Sunnyvale, CA) wurde bei 1750 U/min auf die Oberseite der Hartmaskenschicht rotationsbeschichtet, gefolgt von Backen bei 112°C für 60 s. Der Filmstapel wurde anschließend durch ein 248 nm-Filter für verschiedene Zeitdauern (d. h. 0, 2, 4 und 6 s) UV-Licht ausgesetzt. Nach PEB bei 130°C für 60 s und einer Entwicklung unter Verwendung von PD523AD wurde die verbleibende Dicke (in nm) gemessen und gegen die Bestrahlungsdosis (in mJ/cm2) aufgetragen. Die repräsentative Kontrastkurve, die in 4 gezeigt ist, zeigt deutlich, dass die Formulierung 3 und der Photoresist zusammen verschwanden, als die Bestrahlungsdosis ungefähr 10 mJ/cm2 erreichte.
  • Beispiel 5
  • Formulierung 4 und Test mit einer entwicklerlöslichen Antireflexunterschicht und einem ArF-Photoresist auf der Oberseite
  • In diesem Verfahren wurde eine vierte Hartmaskenformulierung hergestellt, indem 3,5 g IPA-ST mit 10 ml PnP (erhalten von Harcros, St. Louis, MO) verdünnt wurden. Anschließend wurden 350 mg eines unternehmensinternen Vinylethervernetzers (erhalten von Brewer Science, Inc., Rolla, MO) zu der Lösung gegeben. Die Herstellung dieses Vernetzers wird in Beispiel 9 unten beschrieben. Die Mischung wurde mit PnP auf ein Gesamtgewicht der Lösung von 56 g weiterverdünnt, und anschließend wurden 7 mg TEA zugegeben. Die finale Formulierung 4 wurde durch einen Partikelfilter filtriert.
  • Eine Grundantireflexbeschichtung (BSI.WO07046B, erhalten von Brewer Science, Inc., Rolla, MO) wurde zuerst bei 1500 U/min auf einen Siliciumwafer rotationsbeschichtet, gefolgt von Backen bei 160°C für 60 s. Die Formulierung 4 wurde anschließend bei 2000 U/min auf die Oberseite der Grundantiflexbeschichtung rotationsbeschichtet und dann bei 150°C für 60 s gebacken. Der ArF-Photoresist AM2073J wurde bei 1300 U/min auf die Oberseite der Hartmaskenschicht rotationsbeschichtet, gefolgt von Backen bei 110°C für 60s.
  • Der resultierende Filmstapel wurde durch einen 248 nm-Filter für verschiedene Zeitdauern (d. h. 0, 2, 4 und 6 s) UV-Licht ausgesetzt. Nach PEB bei 130°C für 90 s und einer Entwicklung unter Verwendung von PD523AD wurde die verbleibende Dicke (in nm) der bestrahlten Bereiche gemessen und gegen die Bestrahlungsdosis (in mJ/cm2) aufgetragen. Sogar obwohl keine PAG-Moleküle zu der Formulierung 4 gegeben wurden, war sie, wie in
  • 5 gezeigt, wegen der Photosäurediffusion nach wie vor entwicklerlöslich mit der oberen Photoresistschicht und der entwickelbaren Grundantireflexschicht.
  • Beispiel 6
  • Herstellung von Formulierung 5 und entsprechende Tests
  • Hartmaskenformulierung 5 wurde hergestellt, indem 5 g IPA-ST mit 94,423 g PGME verdünnt wurden. Anschließend wurden 500 mg des unternehmenseigenen Vinylethervernetzers, der in Beispiel 9 unten hergestellt wird, zu der verdünnten IPA-ST-Lösung gegeben, zusammen mit 11,5 mg TEA, 27,9 mg Triphenylsulfoniumperfluor-1-butansulfonat(TPS-Nonaflat PAG, erhalten von Sigma-Aldrich, Inc., St. Louis, MO) und 37,6 mg Tris(4-tert-butylphenyl)sulfoniumperfluor-1-butansulfonat (SAFC PAG, erhalten von Sigma-Aldrich, Inc., St. Louis, MO). Die finale Formulierung 5 wurde durch einen Partikelfilter filtriert.
  • Formulierung 5 wurde bei 1500 U/min auf ein Siliciumsubstrat rotationsbeschichtet und anschließend bei 160°C für 60 s gebacken. Unter Verwendung von VASE® wurden die optischen Konstanten gemessen. Der n-Wert des Films bei 193 nm betrug 1,45. Der k-Wert des Films bei 193 nm betrug 0,083. Der n-Wert des Films bei 248 nm betrug 1,46. Der k-Wert des Films bei 248 nm betrug 0,029.
  • Die Lösungsmittelresistenz des Films wurde anschließend mittels des EL-Stripping-, dunklen Verlusts- und Nassentwicklungstests unter Verwendung der in Beispiel 1 beschriebenen Methoden getestet. Die Ergebnisse sind in Tabelle 2 unten zusammengefasst. Tabelle 2
    30 s EL-Strip Entwicklung ohne Bestrahlung Bestrahlung, PEB und Entwicklung
    Anfängliche Dicke (Å) 643 643 651
    Resultierende Dicke (Å) 654 645 0
    % Änderung 1,7% 0,3% –100%
  • Die Blanket-Ätzraten für Formulierung 5 wurden anschließend unter Verwendung einer Mischung von CF4-, Argon- und Sauerstoffgasen bestimmt, um die Fähigkeit der gemusterten Filme einzuschätzen, als Musterübertragungsschichten zu dienen. Tabelle 3 zeigt die verwendeten Bedingungen und Ätzraten gemäß einer 45-Sekunden-Ätzung. Tabelle 3
    Versuch CF4(SCCM) Ar(SCCM) O2(SCCM) Rate (nm/min)
    1 50 0 0 39
    2 16,5 16,5 16,5 51
    3 0 25 25 3
    4 16,5 16,5 16,5 51
    5 0 0 50 2
    6 0 50 0 6
    7 33,5 8,5 8,5 64
    8 8,5 33,5 8,5 69
    9 25 0 25 61
    10 16,5 16,5 16,5 51
    11 25 25 0 37
    12 8,5 8,5 33,5 24
  • Die Zusammenhänge zwischen Ätzrate und Gasmischung wurden analysiert und sind in 6 gezeigt. Die Ätzraten sind bei irgendeiner gegebenen Bedingung im Allgemeinen langsamer als organische Resists oder Grundantireflexbeschichtungsmaterialien bei den gleichen Bedingungen. Diese Hartmaskenformulierung zeigt bei der reinen Sauerstoffplasmaätzung eine ausgezeichnete Ätzresistenz, während die Mischung von CF4 und Sauerstoff (etwa im Verhältnis von 1:2) eine halbwegs schnelle Ätzung bereitstellt.
  • Beispiel 7
  • Herstellung von Hartmaskenformulierung 6
  • In diesem Verfahren wurde eine weitere Hartmaskenformulierung hergestellt, indem 240 mg POSS® Octaamidsäure (durchschnittliche Partikelgröße 1,5 nm) (POSS® Nanostructured® Chemical, erhalten von Hybrid PlasticsTM, Hattiesburg, MS), 80 mg eines unternehmenseigenen Vinylethervernetzers (erhalten von Brewer Science, Inc. Rolla, MO, siehe Beispiel 9), und 9,6 mg Triphenylsulfoniumtriflat PAG (Aldrich, St. Louis, MO) in 9,674 g Dimethylacetamid (Aldrich, St. Louis, MO) gelöst wurden, um eine Lösung von insgesamt 10 g herzustellen. Die Lösung wurde über Nacht bei Raumtemperatur in einem 20 ml-Glasfläschchen gemischt und anschließend durch einen Partikelfilter filtriert.
  • Figure 00300001
  • Formulierung 6 wurde bei 1500 U/min auf ein Siliciumsubstrat rotationsbeschichtet und anschließend bei verschiedenen Temperaturen (z. B. 130°C, 155°C, 170°C) für 60 s gebacken. Der resultierende Film wurde ohne Lichteinwirkung in Photoresistentwickler eingetaucht, um den dunklen Verlust zu bestimmen. Nach dem Backen bei 130°C wurde der Film vollständig weggestrippt (100% dunkler Verlust). Nach dem Backen bei 155°C änderte sich die Dicke von 87,9 nm auf 39,9 nm (54,6% dunkler Verlust) und nach dem Backen bei 170°C änderte sich die Dicke von 72,5 nm auf 70,8 (2,3% dunkler Verlust).
  • Beispiel 8
  • Trifunktioneller Vinylethervernetzer-Formulierung
  • In diesem Beispiel wurde ein trifunktioneller Vinylethervernetzer gemäß dem folgenden Reaktionsschema hergestellt.
  • Figure 00310001
  • Zuerst wurden 6 g Ethylenglykolvinylether und 7,5 ml Triethylamin in 40 ml Ether gemischt und tropfenweise mit einer Lösung von Trimesinsäurechlorid (6 g) in Ether (40%ig) behandelt. Nach der Zugabe wurde die Mischung für 1,5 h unter Rückfluss erhitzt. Rückständige Salze wurden durch Filtration entfernt und die Etherlösung wurde mit 10%iger NaOH (2 × 25 ml) gewaschen, gefolgt von Waschen mit Wasser (25 ml), und anschließend über wasserfreiem Magnesiumsulfat getrocknet. Nach der Entfernung des Lösungsmittels unter Druck wurde das leicht gelbe Öl gesammelt. Die Gesamtausbeute betrug 69%.
  • Beispiel 9
  • Zusätzliche Vinylethervernetzerformulierung
  • In diesem Beispiel wurde ein weiterer trifunktioneller Vinylethervernetzer hergestellt, indem 25,15 g Tetramethylenglykolmonovinylether (Aldrich, St. Louis, MO), 22,91 g Triethylamin (Aldrich, St. Louis, MO) und 250 ml Tetrahydrofuran („THF”, Aldrich, St. Louis, MO) zu einem 500 ml-Zweihalskolben gegeben wurden. Der Kolben wurde mit einem Rührstab, einem Zugabetrichter, einem Kühler, und einem Stickstoffzulauf und -ablauf ausgerüstet. Der Kolben wurde in Eiswasserbad eingetaucht und die Lösung wurde unter Stickstoffstrom gerührt.
  • Anschließend wurden 20,00 g 1,3,5-Benzoltricarbonyltrichlorid (Aldrich, St. Louis, MO) in 50 ml THF in einem 250 ml-Erlenmeyerkolben gelöst. Diese Lösung wurde in den Zugabetrichter auf dem 500 ml-Zweihalskolben überführt und tropfenweise etwa 15 min lang zu der gerührten Tetramethylenglykolmonovinylether/Triethylamin/THF-Lösung gegeben, bis die Zugabe vollständig war. Bei Kontakt bildete sich ein weißer Niederschlag. Der Kolben wurde anschließend aus dem Eisbad entfernt und die Aufschlämmung wurde in dem Kolben auf Raumtemperatur abkühlen gelassen, was etwa 16 h dauerte. Die Aufschlämmung wurde anschließend für 4 h unter Rückfluss erhitzt. Der Kolben wurde von der Hitze entfernt und auf Raumtemperatur abkühlen gelassen. Die Aufschlämmung wurde anschließend unter Verwendung einer Saugfiltrationseinrichtung filtriert und unter Verwendung eines Rotationsverdampfers konzentriert, um eine viskose, gelbe Flüssigkeit zu ergeben.
  • Diese Flüssigkeit wurde in 100 ml Diethlyether (Aldrich, St. Louis, MO) gelöst und zweimal mit 25 ml-Portionen von wässrigem, 12,5%igem TMAH (Aldrich, St. Louis, MO) gewaschen. Die Etherschicht wurde unter Verwendung eines Scheidetrichters extrahiert und anschließend zweimal unter Verwendung von 50 ml-Portionen von deionisiertem Wasser gewaschen. Die Etherschicht wurde absetzen gelassen und gesammelt. Die Etherschicht wurde durch Mischen mit 5 g aktiviertem, basischem Aluminiumoxid getrocknet. Die Mischung wurde für 1 h gerührt und durch Schwerkraft gefiltert. Die klare, gelbe Flüssigkeit wurde in einem Rotationsverdampfer konzentriert, um ein gelbes, viskoses Öl zu ergeben. Die Gesamtausbeute betrug etwa 29,28 g (77% Ausbeute).
  • Zusammenfassung
  • Neue Hartmaskenzusammensetzungen, die nichtpolymere, metallhaltige Nanopartikel enthalten, die in einem Lösungsmittelsystem dispergiert oder gelöst sind, und Verfahren zum Verwenden solcher Zusammensetzungen als Hartmaskenschichten in mikroelektronischen Strukturen werden bereitgestellt. Die Zusammensetzungen sind photoempfindlich und dazu geeignet, durch Einwirkung von Strahlung entwicklerlöslich gemacht zu werden. Die erfindungsgemäße Hartmaskenschicht wird gleichzeitig mit der Photoresistschicht gemustert und stellt eine Plasmaätzresistenz für die nachfolgende Musterübertragung bereit.

Claims (26)

  1. Verfahren zum Bilden einer mikroelektronischen Struktur, bei dem (a) ein Substrat mit einer Oberfläche bereitgestellt wird, (b) gegebenenfalls eine oder mehrere Zwischenschichten auf der Oberfläche gebildet werden, (c) eine Hartmaskenzusammensetzung angrenzend an die Zwischenschichten, falls vorhanden, oder angrenzend an die Substratoberfläche, falls keine Zwischenschichten vorhanden sind, aufgebracht wird, wobei die Hartmaskenzusammensetzung nichtpolymeren Nanopartikel enthält, der in einem Lösungsmittelsystem gelöst oder dispergiert ist, (d) die Hartmaskenzusammensetzung gebacken wird, um eine Hartmaskenschicht zu ergeben, (e) die Hartmaskenschicht Strahlung ausgesetzt wird, um einen bestrahlten Teil der Hartmaske zu erhalten und (f) die Hartmaskenschicht mit einem Entwickler in Kontakt gebracht wird, um so den bestrahlten Teil der Hartmaskenschicht zu entfernen.
  2. Verfahren nach Anspruch 1, bei dem ferner vor dem Bestrahlen eine Abbildungsschicht auf die Hartmaskenschicht aufgetragen wird.
  3. Verfahren nach Anspruch 2, bei dem das Bestrahlen bestrahlte Teile der Abbildungsschicht ergibt und das In-Kontakt-Bringen die bestrahlten Teile der Hartmaskenschicht und die bestrahlten Teile der Abbildungsschicht gleichzeitig entfernt.
  4. Verfahren nach Anspruch 1, bei dem beim Backen die Nanopartikel in der Zusammensetzung vernetzt werden, wodurch sich eine vernetzte Matrix der Nanopartikel ergibt, um die Hartmaskenschicht zu bilden.
  5. Verfahren nach Anspruch 4, bei dem beim Bestrahlen die Matrix entnetzt wird.
  6. Verfahren nach Anspruch 1, bei dem die Zwischenschicht ausgewählt ist aus der Gruppe bestehend aus Rotationsbeschichtungskohlenstoffschichten, amorphen Kohlenstoffschichten, Grundantireflexbeschichtungen, Planarisierungsschichten und Kombinationen der Vorgenannten.
  7. Verfahren nach Anspruch 6, bei dem das Bestrahlen bestrahlte Teile der Zwischenschicht ergibt und das In-Kontakt-Bringen die bestrahlten Teile der Hartmaskenschicht und die bestrahlten Teile der Zwischenschicht gleichzeitig entfernt.
  8. Verfahren nach Anspruch 1, bei dem die Hartmaskenschicht vor dem Bestrahlen eine anfängliche Löslichkeit in einem Basisentwickler aufweist und der bestrahlte Teil der Hartmaskenschicht eine finale Löslichkeit in einem Basisentwickler aufweist, wobei die finale Löslichkeit größer ist als die anfängliche Löslichkeit.
  9. Verfahren nach Anspruch 1, bei dem die Nanopartikel ein Metall enthalten, das ausgewählt ist aus der Gruppe bestehend aus Silicium, Titan, Hafnium, Zink, Zirkonium, Zinn, Aluminium, Cer, Tantal, Vanadium, Germanium, Cadmidum, Selen, Oxiden, Hydroxiden, Seleniden und Sulfiden der Vorgenannten und Kombinationen davon.
  10. Verfahren nach Anspruch 1, bei dem die Hartmaskenzusammensetzung ferner einen Vinylethervernetzer enthält, der in dem Lösungsmittelsystem mit den Nanopartikeln dispergiert oder gelöst ist.
  11. Zusammensetzung, die zum Bilden von mikroelektronischen Vorrichtungen geeignet ist, wobei die Zusammensetzung nichtpolymeren Nanopartikel enthält, der in einem Lösungsmittelsystem gelöst oder dispergiert ist, wobei die Zusammensetzung entwicklerlöslich ist.
  12. Zusammensetzung nach Anspruch 11, bei der der Nanopartikel eine durchschnittliche Partikelgröße von weniger als etwa 15 nm aufweist.
  13. Zusammensetzung nach Anspruch 11, bei der der Nanopartikel ein Metall enthält, das ausgewählt ist aus der Gruppe bestehend aus Silicium, Titan, Hafnium, Zink, Zirkonikum, Zinn, Aluminium, Cer, Tantal, Vanadium, Germanium, Cadmium, Selen, Oxiden, Hydroxiden, Seleniden und Sulfiden der Vorgenannten und Kombinationen davon.
  14. Zusammensetzung nach Anspruch 11, bei der der Nanopartikel ausgewählt ist aus der Gruppe bestehend aus kolloidalem Siliciumdioxid, polyedrischen, oligomeren Silsequioxanmolekülen und Mischungen davon.
  15. Zusammensetzung nach Anspruch 11, bei der der Nanopartikel säurefunktionelle Gruppen enthält.
  16. Zusammensetzung nach Anspruch 15, bei der die Säuregruppen ausgewählt sind aus der Gruppe bestehend aus Hydroxylgruppen, Carboxylgruppen, Phenolen, Fluoralkoholen, Fluoracrylamiden und Mischungen davon.
  17. Zusammensetzung nach Anspruch 11, die etwa 0,1 Gew.-% bis etwa 5 Gew.-% des Nanopartikels enthält, bezogen auf das Gesamtgewicht der Zusammensetzung, das als 100 Gew.-% angenommen wird.
  18. Zusammensetzung nach Anspruch 11, die ferner Vinylethervernetzer enthält, der in dem Lösungsmittelsystem mit dem Nanopartikel dispergiert oder gelöst ist.
  19. Zusammensetzung nach Anspruch 11, die ferner einen Photosäureerzeuger enthält.
  20. Mikroelektronische Struktur, die gegebenenfalls eine oder mehrere Zwischenschichten auf der Substratoberfläche, und eine Hartmaskenschicht angrenzend an die Zwischenschichten, falls vorhanden, oder angrenzend an die Substratoberfläche, falls keine Zwischenschichten vorhanden sind, enthält, wobei die Hartmaskenschicht vernetzten nichtpolymeren Nanopartikel enthält und entwicklerlöslich ist.
  21. Struktur nach Anspruch 20, bei der der Nanopartikel mit Vinylethervernetzer vernetzt ist.
  22. Struktur nach Anspruch 20, die ferner eine Abbildungsschicht angrenzend an die Hartmaskenschicht enthält.
  23. Struktur nach Anspruch 20, bei der die Hartmaskenschicht ein Muster enthält.
  24. Struktur nach Anspruch 23, bei der das Muster ausgewählt ist aus der Gruppe bestehend aus Löchern und Gräben.
  25. Struktur nach Anspruch 23, die ferner eine Abbildungsschicht angrenzend an die gemusterte Hartmaskenschicht enthält.
  26. Struktur nach Anspruch 20, bei der das Substrat ausgewählt ist aus der Gruppe bestehend aus Silicium, SiGe, SiO2, Si3N4, Aluminium, Wolfram, Wolframsilicid, Galliumarsenid, Germanium, Tantal, Tantalnitrit, Koralle, schwarzem Diamant, phosphor- oder bordotiertem Glas und Mischungen der Vorgenannten.
DE112009000979.4T 2008-04-23 2009-04-21 Photoempfindliche Hartmaske für die Mikrolithographie Active DE112009000979B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US4730208P 2008-04-23 2008-04-23
US61/047,302 2008-04-23
PCT/US2009/041282 WO2009132023A2 (en) 2008-04-23 2009-04-21 Photosensitive hardmask for microlithography

Publications (2)

Publication Number Publication Date
DE112009000979T5 true DE112009000979T5 (de) 2011-02-17
DE112009000979B4 DE112009000979B4 (de) 2014-12-11

Family

ID=41217392

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112009000979.4T Active DE112009000979B4 (de) 2008-04-23 2009-04-21 Photoempfindliche Hartmaske für die Mikrolithographie

Country Status (7)

Country Link
US (1) US7939244B2 (de)
JP (1) JP5739325B2 (de)
KR (1) KR101697790B1 (de)
CN (1) CN102016724B (de)
DE (1) DE112009000979B4 (de)
TW (1) TWI440069B (de)
WO (1) WO2009132023A2 (de)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8206996B2 (en) 2006-03-28 2012-06-26 Lam Research Corporation Etch tool process indicator method and apparatus
US9005907B2 (en) 2010-10-01 2015-04-14 St. Jude Children's Research Hospital Methods and compositions for typing molecular subgroups of medulloblastoma
US8647809B2 (en) 2011-07-07 2014-02-11 Brewer Science Inc. Metal-oxide films from small molecules for lithographic applications
JP6592243B2 (ja) * 2011-11-21 2019-10-16 ブルーワー サイエンス アイ エヌ シー. Euvリソグラフィのためのアシスト層
JP6410256B2 (ja) 2012-01-19 2018-10-24 ブルーワー サイエンス アイ エヌ シー. アダマンチル基を含む非ポリマー性反射防止組成物
WO2013158527A1 (en) * 2012-04-16 2013-10-24 Brewer Science Inc. Silicon hardmask layer for directed self-assembly
CN102723260B (zh) * 2012-06-18 2015-07-01 中国电子科技集团公司第四十六研究所 一种可自剥离的氮化物半导体材料生长方法
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
US9541834B2 (en) * 2012-11-30 2017-01-10 Rohm And Haas Electronic Materials Llc Ionic thermal acid generators for low temperature applications
JP5827939B2 (ja) * 2012-12-17 2015-12-02 東京エレクトロン株式会社 成膜方法、プログラム、コンピュータ記憶媒体及び成膜装置
US9348228B2 (en) 2013-01-03 2016-05-24 Globalfoundries Inc. Acid-strippable silicon-containing antireflective coating
CN105264642B (zh) * 2013-04-03 2018-03-09 布鲁尔科技公司 用于定向自组装的嵌段共聚物中的高度耐蚀刻的聚合物嵌段
WO2015109224A1 (en) 2014-01-16 2015-07-23 Brewer Science Inc. High-chi block copolymers for directed self-assembly
US9330221B2 (en) * 2014-05-23 2016-05-03 Globalfoundries Inc. Mask-aware routing and resulting device
US9583358B2 (en) 2014-05-30 2017-02-28 Samsung Electronics Co., Ltd. Hardmask composition and method of forming pattern by using the hardmask composition
KR102287343B1 (ko) * 2014-07-04 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
KR102287344B1 (ko) 2014-07-25 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
KR20160029900A (ko) 2014-09-05 2016-03-16 삼성전자주식회사 반도체 소자의 제조 방법
KR102384226B1 (ko) 2015-03-24 2022-04-07 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴 형성방법
KR102463893B1 (ko) * 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US10712483B2 (en) 2015-08-24 2020-07-14 Samsung Electronics Co., Ltd. Photosensitive compositions, quantum dot polymer composite pattern prepared therefrom, and electronic devices including the same
KR102631400B1 (ko) 2015-10-22 2024-01-29 삼성전자주식회사 감광성 조성물, 이로부터 제조된 양자점-폴리머 복합체 패턴, 및 이를 포함하는 전자 소자
KR102527764B1 (ko) 2015-12-17 2023-05-02 삼성전자주식회사 감광성 조성물, 이를 제조하기 위한 방법, 및 이로부터 제조된 양자점-폴리머 복합체 패턴
KR20170098173A (ko) * 2016-02-19 2017-08-29 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법
US10120277B2 (en) * 2016-02-19 2018-11-06 Jsr Corporation Radiation-sensitive composition and pattern-forming method
JP6389839B2 (ja) * 2016-03-23 2018-09-12 株式会社先端ナノプロセス基盤開発センター 感光性組成物およびパターン形成方法
US9929012B1 (en) 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
US11034847B2 (en) 2017-07-14 2021-06-15 Samsung Electronics Co., Ltd. Hardmask composition, method of forming pattern using hardmask composition, and hardmask formed from hardmask composition
KR102433666B1 (ko) 2017-07-27 2022-08-18 삼성전자주식회사 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
KR102486388B1 (ko) 2017-07-28 2023-01-09 삼성전자주식회사 그래핀 양자점의 제조방법, 상기 제조방법에 따라 얻어진 그래핀 양자점을 포함한 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
CN111095106B (zh) * 2017-08-30 2023-07-28 富士胶片株式会社 图案形成方法、离子注入方法、层叠体、试剂盒及电子器件的制造方法
KR20210010587A (ko) 2018-06-13 2021-01-27 브레우어 사이언스, 인코포레이션 Euv 리소그래피를 위한 접착층

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05163021A (ja) * 1991-12-13 1993-06-29 Ishihara Sangyo Kaisha Ltd フォトレジスト用酸化チタン微粉末、およびそれを使用するフォトレジスト用組成物
JP3798080B2 (ja) 1996-08-20 2006-07-19 富士写真フイルム株式会社 ベンゾアゼピン構造を有する芳香族三級アミン化合物
JP4022312B2 (ja) * 1998-05-08 2007-12-19 株式会社Kri レジスト組成物およびパターン形成方法
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US7070914B2 (en) 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
DE10219122B4 (de) 2002-04-29 2005-01-05 Infineon Technologies Ag Verfahren zur Herstellung von Hartmasken
US20040185674A1 (en) * 2003-03-17 2004-09-23 Applied Materials, Inc. Nitrogen-free hard mask over low K dielectric
US7364832B2 (en) 2003-06-11 2008-04-29 Brewer Science Inc. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
TW200510934A (en) * 2003-06-20 2005-03-16 Zeon Corp Radiation-sensitive resin composition and method for forming pattern using the composition
US7223517B2 (en) * 2003-08-05 2007-05-29 International Business Machines Corporation Lithographic antireflective hardmask compositions and uses thereof
US7550097B2 (en) * 2003-09-03 2009-06-23 Momentive Performance Materials, Inc. Thermal conductive material utilizing electrically conductive nanoparticles
US7270931B2 (en) * 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
JP4131864B2 (ja) * 2003-11-25 2008-08-13 東京応化工業株式会社 化学増幅型ポジ型感光性熱硬化性樹脂組成物、硬化物の形成方法、及び機能素子の製造方法
US7241682B2 (en) * 2004-02-27 2007-07-10 Taiwan Seminconductor Manufacturing Co., Ltd. Method of forming a dual damascene structure
US6900134B1 (en) * 2004-03-18 2005-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming openings in a substrate using bottom antireflective coatings
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US20050255410A1 (en) * 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20070207406A1 (en) * 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US7638266B2 (en) * 2004-08-12 2009-12-29 International Business Machines Corporation Ultrathin polymeric photoacid generator layer and method of fabricating at least one of a device and a mask by using said layer
US20060166132A1 (en) * 2005-01-27 2006-07-27 Meagley Robert P Ultraviolet light transparent nanoparticles for photoresists
US7341939B2 (en) * 2005-02-18 2008-03-11 Taiwan Semiconductor Maunfacturing Co., Ltd. Method for patterning micro features by using developable bottom anti-reflection coating
US7524606B2 (en) * 2005-04-11 2009-04-28 Az Electronic Materials Usa Corp. Nanocomposite photoresist composition for imaging thick films
JP2007025012A (ja) * 2005-07-13 2007-02-01 Nippon Zeon Co Ltd 樹脂組成物
CN100437160C (zh) * 2005-07-22 2008-11-26 鸿富锦精密工业(深圳)有限公司 彩色光阻的制造方法
KR100703007B1 (ko) * 2005-11-17 2007-04-06 삼성전자주식회사 감광성 유기 반사 방지막 형성용 조성물 및 이를 이용한패턴 형성 방법
US7914974B2 (en) * 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
US8168372B2 (en) * 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
EP1906249A3 (de) * 2006-09-26 2008-12-24 Rohm and Haas Electronic Materials, L.L.C. Antireflexbeschichtungszusammensetzungen für Photolithographie
KR101332227B1 (ko) * 2006-11-29 2013-11-22 주식회사 동진쎄미켐 유기 반사방지막 형성용 단량체, 중합체 및 이를 포함하는유기 조성물
US8053368B2 (en) * 2008-03-26 2011-11-08 International Business Machines Corporation Method for removing residues from a patterned substrate
US7919225B2 (en) * 2008-05-23 2011-04-05 International Business Machines Corporation Photopatternable dielectric materials for BEOL applications and methods for use
US8455176B2 (en) * 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
US8182978B2 (en) * 2009-02-02 2012-05-22 International Business Machines Corporation Developable bottom antireflective coating compositions especially suitable for ion implant applications
US8313889B2 (en) * 2009-04-01 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning method using metallic compound mask layer
US20100255412A1 (en) * 2009-04-06 2010-10-07 Sam Xunyun Sun Photo-imaging Hardmask with Negative Tone for Microphotolithography
US8911932B2 (en) * 2009-04-13 2014-12-16 Sam Xunyun Sun Photo-imageable hardmask with positive tone for microphotolithography
US8822347B2 (en) * 2009-04-27 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Wet soluble lithography

Also Published As

Publication number Publication date
DE112009000979B4 (de) 2014-12-11
TW201003735A (en) 2010-01-16
JP2011519063A (ja) 2011-06-30
CN102016724A (zh) 2011-04-13
TWI440069B (zh) 2014-06-01
JP5739325B2 (ja) 2015-06-24
WO2009132023A2 (en) 2009-10-29
KR101697790B1 (ko) 2017-02-01
WO2009132023A3 (en) 2010-01-28
CN102016724B (zh) 2014-07-02
KR20110020780A (ko) 2011-03-03
US7939244B2 (en) 2011-05-10
US20090297784A1 (en) 2009-12-03

Similar Documents

Publication Publication Date Title
DE112009000979B4 (de) Photoempfindliche Hartmaske für die Mikrolithographie
CN101971102B (zh) 用来通过多次暗视场曝光对硬掩模进行图案化的在线法
TWI481969B (zh) 光阻劑圖案修整方法
TWI510854B (zh) 光阻劑圖案修整方法
EP2089770B1 (de) Verfahren zur erzeugung von fotolithografischen strukturen mit entwicklergetrimmter hartmaske
EP1630610B1 (de) Schutzfilm bildende Zusammensetzung für die Immersion-Lithographie und Verfahren zur Herstellung von Mustern unter Verwendung dieser Zusammensetzung
KR101901564B1 (ko) 산화텅스텐막 형성용 조성물 및 이것을 사용한 산화텅스텐막의 제조법
TWI606098B (zh) 可溶性金屬氧化物羧酸鹽之旋轉塗佈組合物及其使用方法
US7521172B2 (en) Topcoat material and use thereof in immersion lithography processes
DE60034488T2 (de) Strahlungsempfindliche copolymere, fotoresistzusammensetzungen und zweischichtresistsysteme für den tiefen uv-bereich
TWI477918B (zh) 感光性抗蝕下層膜形成組成物及抗蝕圖型之形成方法
EP3559746B1 (de) Zusammensetzung aus aufschleudermaterialien mit metalloxidnanopartikeln und einem organischen polymer
TWI632437B (zh) 用於形成凸紋影像的方法
TW201918496A (zh) 光阻圖案的形成方法
JP4611137B2 (ja) 保護膜形成用材料、およびこれを用いたホトレジストパターン形成方法
DE4125042A1 (de) Negativ arbeitendes strahlungsempfindliches gemisch und damit hergestelltes strahlungsempfindliches aufzeichnungsmaterial
US9891526B2 (en) Pattern forming method
EP3394675B1 (de) Materialien mit metalloxiden, verfahren zur herstellung davon und verfahren zur verwendung davon
WO2021230185A1 (ja) 化合物及びその製造方法、組成物、レジスト膜、並びにパターン形成方法
JP5110077B2 (ja) レジスト組成物、レジストパターンの形成方法、及び電子デバイスの製造方法
KR20240037167A (ko) 금속 함유막 형성용 화합물, 금속 함유막 형성용 조성물, 패턴 형성 방법, 및 반도체 포토레지스트 재료
Mercado et al. Acid-degradable hyperbranched polymer and its application in bottom anti-reflective coatings
TW201030806A (en) Method for producing a resist pattern

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final