CN111095106B - 图案形成方法、离子注入方法、层叠体、试剂盒及电子器件的制造方法 - Google Patents

图案形成方法、离子注入方法、层叠体、试剂盒及电子器件的制造方法 Download PDF

Info

Publication number
CN111095106B
CN111095106B CN201880056528.7A CN201880056528A CN111095106B CN 111095106 B CN111095106 B CN 111095106B CN 201880056528 A CN201880056528 A CN 201880056528A CN 111095106 B CN111095106 B CN 111095106B
Authority
CN
China
Prior art keywords
group
resist
pattern
resin
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880056528.7A
Other languages
English (en)
Other versions
CN111095106A (zh
Inventor
畠山直也
米久田康智
东耕平
西田阳一
藤田光宏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujifilm Corp
Original Assignee
Fujifilm Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujifilm Corp filed Critical Fujifilm Corp
Publication of CN111095106A publication Critical patent/CN111095106A/zh
Application granted granted Critical
Publication of CN111095106B publication Critical patent/CN111095106B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F230/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal
    • C08F230/04Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal containing a metal
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Organic Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

通过本发明,可以提供一种图案形成方法及使用该图案形成方法的离子注入方法以及用于上述图案形成方法的层叠体、试剂盒、抗蚀剂下层膜形成用组合物、抗蚀剂组合物及电子器件的制造方法,该图案形成方法包括:(1)在被处理基板上形成抗蚀剂下层膜的工序;(2)利用含有(A)具有选自包括Si原子及Ti原子的组中的原子的树脂的抗蚀剂组合物,在抗蚀剂下层膜上形成抗蚀剂膜的工序;(3)曝光抗蚀剂膜的工序;(4)对经曝光的抗蚀剂膜进行显影而形成抗蚀剂图案的工序;及(5)将抗蚀剂图案作为掩模,对抗蚀剂下层膜进行加工来形成图案的工序,该图案形成方法中,抗蚀剂下层膜的膜厚为2.5μm以上,抗蚀剂膜的膜厚为1μm以下。

Description

图案形成方法、离子注入方法、层叠体、试剂盒及电子器件的 制造方法
技术领域
本发明涉及一种图案形成方法、离子注入方法、层叠体、试剂盒、抗蚀剂下层膜形成用组合物、抗蚀剂组合物及电子器件的制造方法。更具体而言,本发明涉及一种在IC(Integrated Circuit,集成电路)等半导体制造工序、液晶及热敏头等电路板的制造以及其他感光蚀刻加工的光刻工序中较佳的图案形成方法、离子注入方法、层叠体、试剂盒、抗蚀剂下层膜形成用组合物、抗蚀剂组合物及电子器件的制造方法。
背景技术
以往,在IC等半导体器件的制造工序中,使用抗蚀剂组合物通过光刻进行微细加工,并提出了各种图案形成方法。
作为抗蚀剂组合物已知有多种组合物,但是作为一形态,已知有含有树脂的组合物,所述树脂具有包含Si原子的重复单元。
例如,在专利文献1中公开有图案形成方法,所述图案形成方法包括:(1)在被加工基板上形成抗蚀剂下层膜的工序;(2)利用含有(A)具有包含Si原子的重复单元的树脂及(B)通过光化射线或辐射线的照射产生酸的化合物的抗蚀剂组合物,在抗蚀剂下层膜上形成抗蚀剂膜的工序;(3)曝光抗蚀剂膜的工序;(4)使用包含有机溶剂的显影液对经曝光的抗蚀剂膜进行显影来形成负型抗蚀剂图案的工序;及(5)将抗蚀剂图案作为掩模,对抗蚀剂下层膜及被加工基板进行加工来形成图案的工序,该形成方法中,树脂(A)的含量以抗蚀剂组合物的总固体成分中为基准为20质量%以上。
并且,例如,在专利文献2中公开有抗蚀剂材料中所使用的包含特定重复单元的含硅的高分子化合物。
以往技术文献
专利文献
专利文献1:国际公开第2016/208300号
专利文献2:日本特开2002-256033号公报
发明内容
发明要解决的技术课题
半导体器件的制造中,向基板的深部注入离子的方式中,考虑对特定区域被具有厚的膜厚(例如2.5μm以上)的抗蚀剂图案掩盖的基板进行离子的注入。
然而,欲通过对抗蚀剂膜的曝光及显影来形成具有厚的膜厚并且微细度在某种程度上高的抗蚀剂图案的情况下,在显影工序中,截面为纵长形状的抗蚀剂图案受到来自显影液的毛细管力(Capillary force),存在容易倒塌的问题。
本发明鉴于上述情况而完成,其目的为提供一种能够形成具有厚的膜厚(例如2.5μm以上)并且难以引起图案倒塌的图案的图案形成方法及使用该图案形成方法的离子注入方法以及用于上述图案形成方法的层叠体、试剂盒、抗蚀剂下层膜形成用组合物、抗蚀剂组合物及电子器件的制造方法。
用于解决技术课题的手段
即,本发明人等发现了通过以下结构能够结解决上述课题。
〔1〕一种图案形成方法,其包括:
(1)在被处理基板上形成抗蚀剂下层膜的工序;
(2)利用含有(A)具有选自包括Si原子及Ti原子的组中的原子的树脂的抗蚀剂组合物,在上述抗蚀剂下层膜上形成抗蚀剂膜的工序;
(3)曝光上述抗蚀剂膜的工序;
(4)对上述经曝光的抗蚀剂膜进行显影而形成抗蚀剂图案的工序;及
(5)将上述抗蚀剂图案作为掩模,对上述抗蚀剂下层膜进行加工来形成图案的工序,所述图案形成方法中,
上述抗蚀剂下层膜的膜厚为2.5μm以上,上述抗蚀剂膜的膜厚为1μm以下。
〔2〕如〔1〕所述的图案形成方法,其中,
上述树脂(A)为具有Si原子的树脂。
〔3〕如〔2〕所述的图案形成方法,其中,
上述树脂(A)中的Si原子的含量以上述树脂(A)的总量为基准为1~30质量%。
〔4〕如〔1〕~〔3〕中任一项所述的图案形成方法,其中,
上述树脂(A)具有包含酸分解性基团的重复单元。
〔5〕如〔1〕~〔4〕中任一项所述的图案形成方法,其中,
上述树脂(A)具有选自包括内酯结构、磺内酯结构及碳酸酯结构的组中的至少1种。
〔6〕如〔1〕~〔5〕中任一项所述的图案形成方法,其中,
上述工序(4)为通过显影液对上述经曝光的抗蚀剂膜进行显影来形成抗蚀剂图案的工序,上述显影液为碱显影液。
〔7〕如〔1〕~〔6〕中任一项所述的图案形成方法,其中,
上述工序(3)中,通过KrF曝光、ArF曝光及ArF液浸曝光中的任一个对上述抗蚀剂膜进行曝光。
〔8〕如〔1〕~〔7〕中任一项所述的图案形成方法,其中,
上述工序(5)为将上述抗蚀剂图案作为掩模,并通过对上述抗蚀剂下层膜进行干式蚀刻来形成图案的工序。
〔9〕如〔8〕所述的图案形成方法,其中,
相对于上述抗蚀剂下层膜的干式蚀刻为氧等离子体蚀刻。
〔10〕如〔1〕~〔9〕中任一项所述的图案形成方法,其中,
上述抗蚀剂下层膜的膜厚为4μm以上。
〔11〕如〔1〕~〔10〕中任一项所述的图案形成方法,其中,
上述抗蚀剂组合物为化学放大型抗蚀剂组合物。
〔12〕一种离子注入方法,其将通过〔1〕~〔11〕中任一项所述的图案形成方法得到的图案作为掩模,向上述被处理基板进行离子注入。
〔13〕一种层叠体,其用于〔1〕~〔11〕中任一项所述的图案形成方法,且在被处理基板上,依次层叠抗蚀剂下层膜及由抗蚀剂组合物所形成的抗蚀剂膜,所述抗蚀剂组合物含有(A)具有选自包括Si原子及Ti原子的组中的原子的树脂及(B)通过光化射线或辐射线的照射产生酸的化合物。
〔14〕一种试剂盒,其用于〔1〕~〔11〕中任一项所述的图案形成方法,且包含用于形成上述抗蚀剂下层膜的抗蚀剂下层膜形成用组合物及上述抗蚀剂组合物。
〔15〕一种抗蚀剂下层膜形成用组合物,其包含于〔14〕所述的试剂盒中。
〔16〕一种抗蚀剂组合物,其包含于〔14〕所述的试剂盒中。
〔17〕一种抗蚀剂下层膜形成用组合物,其用于〔1〕~〔11〕中任一项所述的图案形成方法。
〔18〕一种抗蚀剂组合物,其用于〔1〕~〔11〕中任一项所述的图案形成方法。
〔19〕一种电子器件的制造方法,其包括〔1〕~〔11〕中任一项所述的图案形成方法或〔12〕所述的离子注入方法。
发明效果
通过本发明,能够提供一种能够形成具有厚的膜厚(例如2.5μm以上)并且难以引起图案倒塌的图案的图案形成方法及使用该图案形成方法的离子注入方法以及用于上述图案形成方法的层叠体、试剂盒、抗蚀剂下层膜形成用组合物、抗蚀剂组合物及电子器件的制造方法。
具体实施方式
以下,对本发明的较佳方式进行详细说明。
本说明书中的基团及原子团的标记中,未明示经取代或未经取代的情况下,设为包括不具有取代基的基团和具有取代基的基团这两者。例如,未明示经取代或未经取代的“烷基”不仅包含不具有取代基的烷基(未经取代的烷基),还包含具有取代基的烷基(经取代的烷基)。
本发明中“光化射线”或“辐射线”是指,例如汞灯的明线光谱、以准分子激光为代表的远紫外线、极紫外线(EUV光)、X射线、电子束、离子束等的粒子束等。并且,本发明中“光”是指光化射线或辐射线。
并且,本说明书中的“曝光”只要没有特别说明,则不仅包含基于汞灯、以准分子激光为代表的远紫外线、X射线、极紫外线(EUV光)等的曝光,也包含基于电子束、离子束等粒子束的描绘。
本说明书中,“(甲基)丙烯酸酯”是指“丙烯酸酯及甲基丙烯酸酯中的至少1种”。并且,“(甲基)丙烯酸”是指“丙烯酸及甲基丙烯酸中的至少1种”。
在本说明书中,用“~”来表示的数值范围是指将记载于“~”前后的数值作为下限值及上限值而包含的范围。
并且,本发明书中,的含义与“0.1纳米(nm)”相同。
[图案形成方法]
本发明的图案形成方法(以下,也称为本发明的方法)包括:
(1)在被处理基板上形成抗蚀剂下层膜的工序;
(2)利用含有(A)具有选自包括Si原子及Ti原子的组中的原子的树脂的抗蚀剂组合物,在上述抗蚀剂下层膜上形成抗蚀剂膜的工序;
(3)曝光上述抗蚀剂膜的工序;
(4)对上述经曝光的抗蚀剂膜进行显影而形成抗蚀剂图案的工序;及
(5)将上述抗蚀剂图案作为掩模,对上述抗蚀剂下层膜进行加工来形成图案的工序,所述图案形成方法中,
上述抗蚀剂下层膜的膜厚为2.5μm以上,上述抗蚀剂膜的膜厚为1μm以下。
本发明的方法采取这种构成,因此认为可得到所希望的效果。其理由虽不明确,但是可推测为如下。
首先,在本发明的方法的工序(5)之后所得到的图案(以下,也称为“最终图案”)是在对抗蚀剂下层膜进行加工而形成的图案(以下,也称为“抗蚀剂下层膜图案”)上设置抗蚀剂图案的图案。
其中,抗蚀剂下层膜图案的膜厚为2.5μm以上,因此包含抗蚀剂下层膜图案的膜厚的最终图案也成为具有厚的膜厚的图案。如此,本发明最终可实现具有厚的膜厚的图案的形成。
并且,如上所述,用于形成抗蚀剂图案的抗蚀剂膜的膜厚设为1μm以下。如此,通过规定抗蚀剂膜的膜厚的上限,从而通过曝光及显影所形成的抗蚀剂图案的膜厚也被限制在1μm以下,因此在显影工序中即使从显影液受到毛细管力,抗蚀剂图案也难以倒塌。
并且,将抗蚀剂图案作为掩模来加工抗蚀剂下层膜(即,形成抗蚀剂下层膜图案)时,例如通过采用干式蚀刻处理等干式处理,能够避免所得到的图案受到基于显影液等液体的毛细管力。由此,抗蚀剂下层膜图案也难以倒塌。
另外,利用本发明中的抗蚀剂组合物得到的抗蚀剂图案含有树脂,该树脂具有选自包括Si原子及Ti原子的组中的原子。其中,Si原子及Ti原子是对抗蚀剂图案赋予高耐蚀刻性的原子,因此如上述那样将限制膜厚的抗蚀剂图案作为掩模,对抗蚀剂下层膜实施蚀刻处理,也能够如所希望残留作为掩模的抗蚀剂图案等而对所希望的形状的抗蚀剂下层膜进行加工。
通过以上,认为最终图案成为具有厚的膜厚并且难以倒塌的图案。
以下,对本发明的图案形成方法的各工序进行说明。
[工序(1):在被处理基板上形成抗蚀剂下层膜的工序]
工序(1)中的被处理基板可以设置于基底层上。
基底层、被处理基板及抗蚀剂下层膜的材料并无特别限定,分别例如能够使用硅、SiN、SiO2或SiN等无机基板、SOG(Spin on Glass,旋涂玻璃)等涂布类无机基板等、在IC等半导体制造工序、液晶、热敏头等电路板的制造工序、进而在其他感光蚀刻加工的光刻工序中通常被使用的基板。
尤其,作为被处理基板,能够较佳地举出硅(Si)基板。
并且,被处理基板也可以为阶梯差基板。阶梯差基板是指在基板上形成至少一个阶梯差形状的基板。
被处理基板为阶梯差基板的情况下,抗蚀剂下层膜的膜厚是指从阶梯差基板上的底面到所形成的抗蚀剂下层膜的上表面的高度。
例如,向被处理基板注入离子的方式中,作为阶梯差基板,能够使用在平面的基板上翅片或栅极被图案化的基板。如此在翅片或栅极被图案化的阶梯差基板上涂布抗蚀剂下层膜的情况下,抗蚀剂下层膜的膜厚不是从翅片或栅极的上表面到所形成的抗蚀剂下层膜的上表面的高度,而是如上述那样从阶梯差基板上的底面到所形成的抗蚀剂下层膜的上表面的高度。
翅片及栅极的尺寸(宽度、长度、高度等)、间隔、结构、构成等,例如能够适当适用电子信息通讯学会志Vol.91,No.1,2008 25~29页“最尖端Fi nFET工序·集成化技术”或Jpn.J.Appl.Phys.Vol.42(2003)pp.4142-4146Pa rt1,No.6B,June 2003“Fin-TypeDouble-GateMetal-Oxide-Semiconductor Field-Effect Transistors Fabricated byOrientation-Dependent Etchin g and Electron Beam Lithography”中记载者。
作为阶梯差基板,例如可举出具有槽宽度为曝光波长以下(优选为100nm以下,更优选为40nm以下,通常为15nm以上)、深度为100nm以下(优选为50~100nm,更优选为65~100nm)的槽部的阶梯差基板或具有直径为曝光波长以下(优选为100nm以下,更优选为40nm以下,通常为15nm以上)、深度为100nm以下(优选为50~100nm,更优选为65~100nm)的圆筒状凹部的阶梯差基板等。
作为具有上述的槽部的阶梯差基板,可举出例如以间距20nm~200nm(优选为50~150nm,更优选为70~120nm)并以等间隔反复具有多个槽的阶梯差基板等。
并且,作为具有上述的圆筒状凹部的阶梯差基板,可举出例如以间距20nm~200nm(优选为50~150nm,更优选为70~120nm)并以等间隔反复具有多个圆筒状凹部的阶梯差基板等。
作为抗蚀剂下层膜,要求提高抗蚀剂层的图案分辨率的功能及以良好地维持图案形状的状态将抗蚀剂图案转印到上述被处理基板上的功能,例如能够较佳地举出SOC(Spinon Carbon,旋涂碳)层。
并且,作为抗蚀剂下层膜,也能够较佳地举出交联膜。更具体而言,也能够较佳地举出对由含有树脂、交联剂、光产酸剂或热产酸剂及根据需要添加的添加剂的组合物得到的涂布膜进行光交联或热交联而成的膜。这些树脂、交联剂、热产酸剂、添加剂等的各成分例如能够适当采用以往公知的材料。
本发明中,由于抗蚀剂下层膜的膜厚为2.5μm以上,其膜厚较厚,可以根据需要多次进行“涂布膜的形成及涂布膜的光交联或热交联”,使最终形成的抗蚀剂下层膜的膜厚成为2.5μm以上。
被处理基板及抗蚀剂下层膜的形成能够通过根据所使用的材料的种类适当采用周知的方法来进行。
在基底层上形成被处理基板的情况下,作为其方法,可举出在基底层上,根据以往公知的旋涂法、喷涂法、辊涂法、浸渍法等涂布含有构成被处理基板的材料的液体并使其干燥的方法或使用CVD法堆积构成被处理基板的材料的方法等。
作为形成抗蚀剂下层膜的方法,可举出在被处理基板上,根据以往公知的旋涂法、喷涂法、辊涂法、浸渍法等涂布含有构成抗蚀剂下层膜的材料的液体并使其干燥的方法或使用CVD法堆积构成抗蚀剂下层膜的材料的方法等。含有构成抗蚀剂下层膜的材料的液体的固体成分浓度优选为10~55质量%,更优选为15~50质量%,进一步优选为20~45质量%。
抗蚀剂下层膜的膜厚为2.5μm以上,优选为4μm以上。并且,抗蚀剂下层膜的膜厚优选为30μm以下,更优选为25μm以下,进一步优选为20μm以下。
对于本发明中所使用的抗蚀剂下层膜较佳地要求提高抗蚀剂膜的图案分辨率的功能及以良好地维持图案形状的状态将形成于上层的抗蚀剂图案转印到被处理基板上的功能。作为辅助抗蚀剂膜的图案分辨率的功能之一,可举出控制曝光波长下的抗蚀剂下层膜的折射率与消光系数,并适当地控制光刻工序中的曝光时来自基板侧的反射,使曝光时所形成的光学像维持成良好的形状的光学功能。并且,作为其他功能,也可举出通过树脂的主链及侧链的结构以及并用的交联剂或其他添加剂的官能团来提高与抗蚀剂的相互作用,并通过维持显影后的图案截面的矩形性及抑制图案倒塌或桥接、图案缺陷等显影缺陷的作用来辅助曝光后的显影工序中的分辨率的功能。另外,将图案形状转印到被处理基板上时,作为在与上层中所形成的抗蚀剂膜及抗蚀剂下层膜、被处理基板的各个厚度及蚀刻速度对应而适当选择的条件下进行蚀刻时的蚀刻掩模,也可以举出维持良好的掩模性能的功能。
作为使曝光时的反射特性变得良好的方法,例如在掩模曝光工序中,根据包含掩模的图案形状或透射率及曝光强度、投影光源的偏向或形状等的曝光信息,例如通过以产品名PROLITH(KLA-Tencor Corporation制)已知的模拟软件,求出在曝光波长下反射特性良好,结果用于使曝光时的光学像维持矩形性的下层膜的折射率n值或消光系数k值、下层膜的膜厚等成为目标的设计信息,通过对所得到的目标使用适当的树脂结构及交联剂等添加剂,能够得到良好的反射特性及分辨率。优选本发明的抗蚀剂下层膜鉴于上述所要求的性质来设计。作为下层膜的折射率n值的优选范围,优选为1.2以上且3.0以下。并且,作为下层膜的消光系数k值的优选范围,优选为0.05以上且1.0以下。
并且,作为通过维持图案截面的矩形性及抑制图案倒塌或桥接、图案缺陷等显影缺陷而使分辨率变得良好的方法,机制虽不明确,但通过抗蚀剂下层膜与抗蚀剂膜的化学相互作用(分子间相互作用)、基于抗蚀剂膜与抗蚀剂下层膜的层间的轻微的表面混合的基脚(footing)、通过抗蚀剂下层膜与抗蚀剂膜之间的成分的相関移动而显影时所进行的酸引起的保护基的脱保护反应、使反应后的聚合物在显影液中的溶解的反应活性发生变化,结果能够提高分辨率。作为能够用于抗蚀剂下层膜的树脂,鉴于光刻性能及被处理基板的处理性的观点,选择更加适当的树脂,由此能够得到良好的分辨率及处理适性。
并且,作为其他功能,也可举出在已加工的基板上的光刻工序中,需要在具有沿着图案形状的凹凸结构的基板上形成平坦的抗蚀剂下层膜,满足间隙填充性或涂布后的平坦性的功能。
<抗蚀剂下层膜用树脂>
作为能够在本发明的抗蚀剂下层膜中所使用的树脂(以下,也称为“抗蚀剂下层膜用树脂”),如上所述,例如能够适当采用以往公知的材料,但是从兼顾光刻工序中的分辨率、缺陷及被处理基板的处理性的观点考虑,优选任意设计使用后述的聚合物或树脂的组合物而使用。
但是,抗蚀剂下层膜用树脂典型地不具有酸分解性基团(具体而言,后述的树脂(A)中的酸分解性基团)。
作为抗蚀剂下层膜用树脂,能够使用(甲基)丙烯酸树脂、苯乙烯树脂、纤维素树脂及酚醛树脂(酚醛清漆树脂)等。并且,作为其他树脂,能够使用芳香族聚酯树脂、芳香族聚酰亚胺树脂、聚苯并噁唑树脂、芳香族聚酰胺树脂、苊类树脂、异氰脲酸类树脂等。
尤其,作为芳香族聚酰胺树脂、芳香族聚酰亚胺树脂,例如能够使用日本专利第4120584号所述的树脂化合物、日本专利第4466877号〔0021〕~〔0053〕中记载的树脂化合物、日本专利第4525940号〔0025〕~〔0050〕中记载的树脂化合物。并且,作为酚醛清漆树脂,能够使用日本专利第5215825号〔0015〕~〔0058〕、日本专利第5257009号〔0023〕~〔0041〕中记载的树脂化合物。
并且,作为苊类树脂,例如能够使用日本专利第4666166号〔0032〕~〔0052〕段中记载的树脂化合物、日本专利第04388429号〔0037〕~〔0043〕中记载的树脂化合物、日本专利第5040839号〔0026〕~〔0065〕中记载的聚合物、日本专利第4892670号〔0015〕~〔0032〕中记载的树脂化合物等。
也优选抗蚀剂下层膜用树脂为含有具有交联反应基即羟基的重复单元的树脂。
并且,也优选抗蚀剂下层膜用树脂为含有树脂(A)中后面叙述的具有内酯结构的重复单元。
抗蚀剂下层膜用树脂也能够共聚非交联性单体而成,由此可进行干式蚀刻速度、反射率等微调整。作为这样的共聚合单体,可举出以下化合物。例如,具有1个选自丙烯酸酯类、丙烯酰胺类、甲基丙烯酸酯类、甲基丙烯酰胺类、烯丙基化合物、乙烯基醚类、乙烯基酯类、苯乙烯类、巴豆酸酯类等的加成聚合性不饱和键的化合物。
作为丙烯酸酯类,例如可举出烷基的碳原子数为1~10的烷基丙烯酸酯。
作为甲基丙烯酸酯类,例如可举出烷基的碳原子数为1~10的甲基丙烯酸烷基酯。
作为丙烯酰胺类,可举出丙烯酰胺或N-烷基丙烯酰胺、N-芳基丙烯酰胺、N,N-二烷基丙烯酰胺、N,N-二芳基丙烯酰胺、N-甲基-N-苯基丙烯酰胺、N-2-乙酰氨基乙基-N-乙酰基丙烯酰胺等。
作为甲基丙烯酰胺类,例如可举出甲基丙烯酰胺、N-烷基甲基丙烯酰胺、N-芳基甲基丙烯酰胺、N,N-二烷基甲基丙烯酰胺、N,N-二芳基甲基丙烯酰胺、N-甲基-N-苯基甲基丙烯酰胺、N-乙基-N-苯基甲基丙烯酰胺等。
作为乙烯基醚类,例如可举出烷基乙烯基醚、乙烯基芳基醚等。
作为乙烯基酯类,例如可举出丁酸乙烯基酯、异丁酸乙烯基酯、三甲基乙酸乙烯基酯等。
作为苯乙烯类,例如可举出苯乙烯、烷基苯乙烯、烷氧基苯乙烯、卤素苯乙烯等。
作为巴豆酸酯类,例如可举出巴豆酸丁酯、巴豆酸己酯、甘油单油酸酯等巴豆酸烷基酯。
并且,可举出衣康酸二烷基类、马来酸或富马酸的二烷基酯类或单烷基酯类、巴豆酸、衣康酸、马来酸酐、马来酰亚胺、丙烯腈、甲基丙烯腈、马来腈等。此外,通常只要为能够与至少在每个重复单元中含有1个以上的交联反应基即羟基的聚合物共聚的加成聚合性不饱和化合物,就能够使用。
抗蚀剂下层膜用树脂可以为无规聚合物、嵌段聚合物或接枝聚合物中的任一个。形成抗蚀剂下层膜的聚合物能够通过自由基聚合、阴离子聚合、阳离子聚合等方法来合成。其方式可以为溶液聚合、悬浮聚合、乳化聚合、本体聚合等各种方法。
并且,抗蚀剂下层膜用树脂能够使用具有苯酚结构部分的各种苯酚类聚合物。优选能够举出酚醛清漆树脂、对羟基苯乙烯均聚物、间羟基苯乙烯均聚物、具有对羟基苯乙烯结构的共聚聚合物、具有间羟基苯乙烯结构的共聚聚合物。这些共聚聚合物中,作为共聚部分,优选具有由下述通式(1)表示的重复单元。
[化学式1]
通式(1)
式中,R1表示氢原子、碳原子数1~3的烷基、氰基、卤原子,优选为氢原子或甲基。L1表示单键、-COO-、-CON(R3)-、亚芳基,R3表示氢原子、碳原子数1~3的烷基。作为L1,优选为单键、-COO-、亚苯基。L2表示单键、碳原子数1~10的亚烷基、碳原子数6~18的亚芳基、-COO-、-O-,优选为单键、碳原子数1~4的亚烷基、亚苯基。Rb表示碳原子数1~10的烷基、碳原子数4~30的环烷基、碳原子数5~25的桥接脂环式烃基、碳原子数6~18的芳基,优选为碳原子数1~8的烷基(甲基、乙基、丁基、叔丁基等)、碳原子数5~8的环烷基(环己基、环辛基等)、碳原子数5~20的桥接脂环式烃基、碳原子数6~12的芳基(苯基、萘基等)。这些基团可以具有取代基,作为取代基的例子,能够举出卤原子(Cl、Br等)、氰基、碳原子数1~4的烷基、羟基、碳原子数1~4的烷氧基、碳原子数1~4的酰基、碳原子数6~12的芳基。以下举出上述碳原子数5~20的桥接脂环式烃基的优选的骨架。
[化学式2]
[化学式3]
作为这些基团之中尤其优选的例子,可举出(5)、(6)、(7)、(8)、(9)、(10)、(13)、(14)、(15)、(23)、(28)、(36)、(37)、(40)、(42)及(47)。
本发明中所使用的抗蚀剂下层膜用树脂为上述共聚聚合物的情况下,由通式(1)表示的重复单元的含量相对于共聚聚合物的总重复单元,优选为0~80摩尔%,更优选为0~60摩尔%。并且,该共聚聚合物除了上述重复单元以外,也可以为以提高制膜性、密合性、显影性等的目的进一步具有重复单元的共聚物。
本发明中所使用的抗蚀剂下层膜用树脂除了由通式(1)表示的重复单元以外,也可以为以提高制膜性、密合性、显影性等的目的进一步具有其他重复单元的共聚物。作为相当于这种其他重复单元的单体,例如可举出具有1个选自丙烯酸酯类、甲基丙烯酸酯类、丙烯酰胺类、甲基丙烯酰胺类、烯丙基化合物、乙烯基醚类、乙烯基酯类等的加成聚合性不饱和键的化合物。
具体而言,例如丙烯酸酯类、例如烷基(优选烷基的碳原子数为1~10)丙烯酸酯(例如,丙烯酸甲酯、丙烯酸乙酯、丙烯酸丙酯、丙烯酸戊酯、丙烯酸环己基酯、丙烯酸乙基己酯、丙烯酸辛酯、丙烯酸叔辛酯、丙烯酸氯乙酯、三羟甲基丙烷单丙烯酸酯、新戊四醇单丙烯酸酯、丙烯酸苄酯、丙烯酸甲氧基苄酯、丙烯酸糠酯、丙烯酸四氢糠酯等);
甲基丙烯酸酯类、例如烷基(优选烷基的碳原子数为1~10。)甲基丙烯酸酯(例如甲基丙烯酸甲酯、甲基丙烯酸乙酯、甲基丙烯酸丙酯、甲基丙烯酸异丙酯、甲基丙烯酸戊酯、甲基丙烯酸己酯、甲基丙烯酸环己酯、甲基丙烯酸苄酯、甲基丙烯酸氯苄酯、甲基丙烯酸辛酯、三羟甲基丙烷单甲基丙烯酸酯、季戊四醇单甲基丙烯酸酯、甲基丙烯酸糠酯、甲基丙烯酸四氢糠酯等);
丙烯酰胺类、例如为丙烯酰胺、N-烷基丙烯酰胺(作为烷基,有碳原子数1~10的烷基,例如甲基、乙基、丙基、丁基、叔丁基、庚基、辛基、环己基、羟基乙基等。)、N,N-二烷基丙烯酰胺(作为烷基,有碳原子数1~10的烷基,例如甲基、乙基、丁基、异丁基、乙基己基、环己基等。)、N-羟基乙基-N-甲基丙烯酰胺、N-2-乙酰氨乙基-N-乙酰基丙烯酰胺等;
甲基丙烯酰胺类、例如甲基丙烯酰胺、N-烷基甲基丙烯酰胺(作为烷基,有碳原子数1~10的烷基,例如甲基、乙基、叔丁基、乙基己基、羟基乙基、环己基等。)、N,N-二烷基甲基丙烯酰胺(作为烷基,有乙基、丙基、丁基等。)、N-羟基乙基-N-甲基甲基丙烯酰胺等;
烯丙基化合物、例如烯丙基酯类(例如乙酸烯丙基酯、己酸烯丙基酯、辛酸烯丙基酯、月桂酸烯丙基酯、棕檩酸烯丙基酯、硬脂酸烯丙基酯、苯甲酸烯丙基酯、乙酰氧基乙酸烯丙基酯、乳酸烯丙基酯等)、烯丙氧基乙醇等;
乙烯基醚类、例如烷基乙烯基醚(例如己基乙烯基醚、辛基乙烯基醚、癸基乙烯基醚、乙基己基乙烯基醚、甲氧基乙基乙烯基醚、乙氧基乙基乙烯基醚、氯乙基乙烯基醚、1-甲基-2,2-二甲基丙基乙烯基醚、2-乙基丁基乙烯基醚、羟基乙基乙烯基醚、二乙二醇乙烯基醚、二甲基氨基乙基乙烯基醚、二乙基氨基乙基乙烯基醚、丁基氨基乙基乙烯基醚、苄基乙烯基醚、四氢糠乙烯基醚等);
乙烯基酯类、例如丁酸乙烯基酯、异丁酸乙烯基酯、三甲基乙酸乙烯基酯、乙烯基二乙基乙酸酯、戊酸乙烯基酯、己酸乙烯基酯、乙烯基氯乙酸酯、乙烯基二氯乙酸酯、乙烯基甲氧基乙酸酯、丁氧基乙酸乙烯基酯、乙酰乙酸乙烯基酯、乳酸乙烯基酯、丁酸乙烯基-β-苯酯、环己基羧酸乙烯基酯等;
衣康酸二烷基类(例如衣康酸二甲基、衣康酸二乙基、衣康酸二丁基等);富马酸的二烷基酯类(例如二丁基延胡索酸酯等)或单烷基酯类;丙烯酸、甲基丙烯酸、巴豆酸、衣康酸、马来酸酐、马来酰亚胺、丙烯腈、甲基丙烯腈、马来腈等。除此以外,能够与上述各种重复单元共聚合的加成聚合性的不饱和化合物即可。
作为苯酚类聚合物的较佳的例子,能够举出如以下所述的聚合物。
[化学式4]
[化合物5]
抗蚀剂下层膜用树脂可以使用1种,也可以使用2种以上。
抗蚀剂下层膜形成用组合物的较佳的一实施方式中,除了树脂以外,还包含溶剂、产酸剂、交联剂、表面活性剂等。这种情况下,优选对由抗蚀剂下层膜形成用组合物形成的涂布膜进行曝光或加热,由此形成交联膜,并将其作为抗蚀剂下层膜。
<产酸剂>
抗蚀剂下层膜形成用组合物根据需要还可以含有产酸剂。该产酸剂是指通过曝光或加热产生酸的成分。通过含有产酸剂,能够消除抗蚀剂下层膜中的交联反应抑制(由基板(尤其,低电介质膜)产生的物质(例如,OH-、CH3-、NH2-等碱)向抗蚀剂下层膜扩散,由此使抗蚀剂下层膜中的酸失活,抑制交联反应的问题)。即,通过所形成的抗蚀剂下层膜中的产酸剂与抑制物质进行反应,能够防止抑制物质向抗蚀剂下层膜的扩散。
产酸剂之中,作为通过曝光产生酸的产酸剂(以下,也称为“光产酸剂”),例如可举出国际公开第07/105776号小册子[0076]~[0081]段中记载的化合物等。
在这些光产酸剂之中,优选二苯基碘三氟甲烷磺酸盐、二苯基碘九氟正丁烷磺酸盐、二苯基碘芘磺酸盐、二苯基碘正十二烷苯磺酸盐、二苯基碘10-樟脑磺酸盐、二苯基碘萘磺酸盐、双(4-叔丁基苯基)碘三氟甲烷磺酸盐、双(4-叔丁基苯基)碘九氟正丁烷磺酸盐、双(4-叔丁基苯基)碘正十二烷苯磺酸盐、双(4-叔丁基苯基)碘10-樟脑磺酸盐、双(4-叔丁基苯基)碘萘磺酸盐,更优选双(4-叔丁基苯基)碘九氟正丁烷磺酸盐。另外,这些光产酸剂能够单独使用也能够混合2种以上而使用。
作为光产酸剂,也能够优选使用抗蚀剂组合物中后面叙述的光产酸剂。
并且,作为通过加热产生酸的产酸剂(以下,也称为“热产酸剂”),例如可举出2,4,4,6-四溴环己二烯酮、安息香甲苯磺酸、2-硝基苄基甲苯磺酸、烷基磺酸盐类等。这些热产酸剂能够单独使用也能够混合2种以上而使用。另外,作为产酸剂,也能够并用光产酸剂及热产酸剂。
作为产酸剂的含有率,相对于抗蚀剂下层膜用树脂100质量份,优选100质量份以下,进一步优选0.1质量份~30质量份,尤其优选0.1质量份~10质量份。
<交联剂>
通过抗蚀剂下层膜形成用组合物含有交联剂,抗蚀剂下层膜在更加低温下固化,能够形成相对于被处理基板的保护膜。
作为这样的交联剂,除了多核苯酚类以外,能够使用各种固化剂。作为上述多核苯酚类,例如可举出4,4’-联苯二醇、4,4’-亚甲基双酚、4,4’-亚乙基双酚、双酚A等2核苯酚类;4,4’,4”-亚甲基三酚、4,4’-[1-[4-[1-(4-羟基苯基)-1-甲基乙基]苯基]亚乙基]双酚等3核苯酚类;酚醛清漆等多酚类等。这些之中,优选4,4’-[1-[4-[1-(4-羟基苯基)-1-甲基乙基]苯基]亚乙基]双酚、酚醛清漆。另外,这些多核苯酚类能够单独使用也能够混合2种以上而使用。
并且,作为上述固化剂,例如可举出二异氰酸盐类或环氧化合物、三聚氰胺类固化剂、苯并胍胺类固化剂、二醇脲类固化剂等。这些之中,优选三聚氰胺类固化剂、二醇脲类固化剂,更优选1,3,4,6-四(甲氧基甲基)甘脲。另外,这些固化剂能够单独使用也能够混合2种以上而使用。并且,作为交联剂,也能够并用多核苯酚类及固化剂。
作为交联剂的含有率,相对于抗蚀剂下层膜用树脂100质量份,优选100质量份以下,进一步优选1质量份~20质量份,尤其优选1质量份~10质量份。
<其他任意成分>
抗蚀剂下层膜形成用组合物除了上述成分以外,根据需要也可以含有热固性聚合物、辐射线吸收剂、保存稳定剂、消泡剂、粘接助剂等其他任意成分。
[工序(2):抗蚀剂膜形成工序]
工序(2)中,通过抗蚀剂组合物在抗蚀剂下层膜上形成抗蚀剂膜。
首先,对工序(2)中所使用的部件、材料进行说明,之后对工序(2)的步骤进行说明。
〔抗蚀剂组合物〕
本发明的抗蚀剂组合物含有具有选自包括Si原子及Ti原子的组中的原子的树脂。
本发明的抗蚀剂组合物为正型抗蚀剂组合物,也可以为负型抗蚀剂组合物。
并且,本发明的抗蚀剂组合物典型为化学放大型抗蚀剂组合物。
以下,对本发明的抗蚀剂组合物中所含有的各成分进行说明。
[1]树脂(A)
本发明的抗蚀剂组合物含有具有选自包括Si原子及Ti原子的组中的原子的树脂。
树脂(A)优选为具有包含选自包括Si原子及Ti原子的组中的原子的重复单元的树脂。
优选树脂(A)为具有Si原子的树脂,更优选为具有包含Si原子的重复单元的树脂。
树脂(A)中的Si原子的含量优选为1~30质量%,更优选为3~25质量%,进一步优选为5~20质量%。但是,树脂(A)具有极性基团被在酸的作用下分解而脱离的脱离基保护的结构(即,具有酸分解性基团),并且上述脱离基具有Si原子的情况下,树脂(A)中的Si原子的含量中不包含上述脱离基中的Si原子的量。
另外,本申请说明书中,具有Si原子及酸分解性基团这两者的重复单元相当于具有Si原子的重复单元,也相当于具有后述的酸分解性基团的重复单元。例如,仅由具有Si原子及酸分解性基团这两者的重复单元组成的树脂相当于包含具有Si原子的重复单元及具有酸分解性基团的重复单元的树脂。
如上所述,树脂(A)为具有Si原子的树脂的情况下,优选树脂(A)为具有包含Si原子的重复单元的树脂。
具有Si原子的重复单元只要具有Si原子,则并无特别限制。例如可举出硅烷类重复单元(-SiR2-:R2为有机基团)、硅氧烷类重复单元(-SiR2-O-:R2为有机基团)、具有Si原子的(甲基)丙烯酸酯类重复单元、具有Si原子的乙烯基类重复单元等。
优选具有Si原子的重复单元不具有酸分解性基团。
优选具有Si原子的重复单元具有硅倍半氧烷结构。另外,也可以在主链上具有硅倍半氧烷结构,也可以在侧链中具有,但优选在侧链中具有。
作为硅倍半氧烷结构,例如可举出笼型硅倍半氧烷结构、梯型硅倍半氧烷结构(梯子型硅倍半氧烷结构)、无规型硅倍半氧烷结构等。其中,优选笼型硅倍半氧烷结构。
其中,笼型硅倍半氧烷结构是指具有笼状骨架的硅倍半氧烷结构。笼型硅倍半氧烷结构可以为完全笼型硅倍半氧烷结构,也可以为不完全笼型硅倍半氧烷结构,但优选为完全笼型硅倍半氧烷结构。
并且,梯型硅倍半氧烷结构是指具有梯状骨架的硅倍半氧烷结构。
并且,无规型硅倍半氧烷结构是指骨架为无规的硅倍半氧烷结构。
优选上述笼型硅倍半氧烷结构为由下述式(S)表示的硅氧烷结构。
[化合物6]
上述式(S)中,R表示1价的有机基团。多个R可以相同,也可以不同。
上述有机基团并无特别限制,但是作为具体例子,可举出卤原子、羟基、硝基、羧基、烷氧基、氨基、巯基、封端化巯基(例如,被酰基嵌段(保护)的巯基)、酰基、酰亚胺基、膦基、氧膦基、甲硅烷基、乙烯基、也可以具有杂原子的烃基、含(甲基)丙烯酸基的基团及含环氧基的基团等。
作为上述卤原子,例如可举出氟原子、氯原子、溴原子、碘原子等。
作为也可以具有上述杂原子的烃基的杂原子,例如可举出氧原子、氮原子、硫原子、磷原子等。
作为也可以具有上述杂原子的烃基的烃基,例如可举出脂肪族烃基、芳香烃或组合它们的基团等。
上述脂肪族烃基可以为直链状、支链状、环状中的任一个。作为上述脂肪族烃基的具体例子,可举出直链状或支链状的烷基(尤其,碳原子数1~30)、直链状或支链状的烯基(尤其,碳原子数2~30)、直链状或支链状的炔基(尤其,碳原子数2~30)等。
作为上述芳香烃,例如可举出苯基、甲苯基、二甲苯基、萘基等碳原子数6~18的芳香烃等。
优选具有Si原子的重复单元由下述式(I)表示。
[化合物7]
上述式(I)中,L表示单键或2价的连接基。
作为2价的连接基,可举出亚烷基、-COO-Rt-基、-O-Rt-基等。式中,Rt表示亚烷基或亚环烷基。
L优选单键或-COO-Rt-基。优选Rt为碳原子数1~5的亚烷基,更优选-CH2-基、-(CH2)2-基、-(CH2)3-基。
上述式(I)中,X表示氢原子或有机基团。
作为有机基团,例如可举出氟原子、可以具有羟基等取代基的烷基,优选氢原子、甲基、三氟甲基、羟甲基。
上述式(I)中,A表示含Si的基团。其中,优选由下述式(a)或(b)表示的基团。
[化合物8]
上述式(a)中,R表示1价的有机基团。多个R可以相同,也可以不同。R的具体例及较佳的方式与上述的式(S)相同。另外,上述式(I)中的A为由上述式(a)表示的情况下,上述式(I)由下述式(I-a)表示。
[化合物9]
[化合物10]
上述式(b)中,Rb表示可以具有杂原子的烃基。可以具有杂原子的烃基的具体例及较佳的方式与上述的式(S)中的R相同。
树脂(A)所包含的具有Si原子的重复单元可以为1种也可以并用2种以上。
相对于树脂(A)的总重复单元的具有Si原子的重复单元的含量并无特别限制,但优选为1~70摩尔%,更优选为3~50摩尔%。
含有包含具有Si原子的重复单元的树脂的抗蚀剂组合物中,包含具有Si原子的重复单元的树脂在曝光时产生外部气体,或在液浸曝光时向液浸水中溶出,由此,存在包含Si原子的成分附着在投影透镜表面而使透射率降低的风险。作为用于降低这种外部气体或溶出的方式,优选举出包含具有Si原子的重复单元的树脂相对于曝光波长稳定或包含具有Si原子的重复单元的树脂的分子量较大的情况。
树脂(A)所包含的具有Si原子的重复单元优选为,作为标准物质使用福尔马嗪,由基于作为测量方式使用积分球测量方式的JIS K0101:1998的浊度为1ppm以下的单体得到的重复单元。通过使用浊度为1ppm以下的单体,可改善浮渣缺陷。
优选上述浊度为0.8ppm以下,更优选为0.1ppm以下。上述浊度通常为0.01ppm以上。
作为上述浊度的具有Si原子的单体的获得方法,优选例如以浊度成为1ppm以下的方式对具有合成后或市售的硅原子的单体进行纯化的方法。作为纯化方法,能够采用公知的纯化方法,具体而言,例如能够举出过滤、离心分离、吸附、分液、蒸馏、升华、晶化及它们的2种以上的组合等。
优选树脂(A)中所包含的具有Si原子的重复单元为由以GPC(Gel Perme ationChromatography,凝胶渗透色谱法)面积规定的纯度(GPC纯度)为95%以上的单体得到的重复单元。通过使用GPC纯度为95%以上的单体,可改善图案形成后的浮渣缺陷。
更优选GPC纯度为97%以上,进一步优选为99%以上。上述GPC纯度通常为99.9%以下。
GPC纯度能够在以下所述的试验法中进行测量。
GPC纯度的测量法:用GPC(凝胶渗透色谱法)进行测量。管柱使用连接T SKgelSuperHZ 2000(4.6mmI.D×15cm、TOSOH CORPORATION制)及TSKgel S uperHZ 1000(4.6mmI.D×15cm、TOSOH CORPORATION制)而成的管柱,洗提液为四氢呋喃、流速1.0mL/分钟、管柱温度40℃、检测器使用差示折射计,试样设为0.1重量%浓度的四氢呋喃溶液,注入量设为100μL。所得到的色谱图中,峰值分离的情况下,从峰值之间的极小值垂直分割,峰值重叠的情况下,从峰值之间的反曲点垂直分割,根据所得到的各峰值的面积值计算主峰的面积百分率。
合成具有Si原子的单体的情况下,其合成方法均能够采用公知者。例如,能够举出日本特表2008-523220号公报及国际公开第01/010871号小册子等中记载的方法。
聚合后的树脂溶液也可以用陶瓷过滤器、尼龙过滤器等进行纯化。
树脂(A)优选具有包含酸分解性基团的重复单元。具有酸分解性基团的重复单元优选不具有Si原子。
其中,酸分解性基团是指在酸的作用下分离而产生极性基团的基团。
酸分解性基团优选具有极性基团被在酸的作用下分解而脱离的基团(脱离基)保护的结构。
作为极性基团,可举出酚性羟基、羧基、氟化醇基(优选为六氟异丙醇基)、磺酸基、磺酰胺基、磺酰基酰亚胺基、(烷基磺酰基)(烷基羰基)亚甲基、(烷基磺酰基)(烷基羰基)酰亚胺基、双(烷基羰基)亚甲基、双(烷基羰基)酰亚胺基、双(烷基磺酰基)亚甲基、双(烷基磺酰基)酰亚胺基、三(烷基羰基)亚甲基、三(烷基磺酰基)亚甲基等酸性基团(在2.38质量%四甲基氢氧化铵水溶液中解离的基团)或醇羟基等。
另外,醇羟基是指与烃基键合的羟基并且除了在芳香环上直接键合的羟基(酚性羟基)以外的羟基,作为羟基,α位被氟原子等吸电子基团取代的脂肪族醇(例如,氟化醇基(六氟异丙醇基等))除外。作为醇羟基,优选pKa(酸解离常数)为12以上且20以下的羟基。
作为优选的极性基团,可举出羧基、氟化醇基(优选六氟异丙醇基)、磺酸基。
作为酸分解性基团而优选的基团,为这些基团的氢原子被以酸脱离的基团取代的基团。
作为以酸脱离的基团(脱离基),例如能够举出-C(R36)(R37)(R38)、-C(R36)(R37)(OR39)、-C(R01)(R02)(OR39)等。
式中,R36~R39分别独立地表示烷基、环烷基、芳基、芳烷基或烯基。R36与R37可以彼此键合而形成环。
R01及R02分别独立地表示氢原子、烷基、环烷基、芳基、芳烷基或烯基。
R36~R39、R01及R02的烷基优选碳原子数1~8的烷基,例如能够举出甲基、乙基、丙基、正丁基、仲丁基、己基、辛基等。
R36~R39、R01及R02的环烷基可以为单环型,也可以为多环型。作为单环型,优选碳原子数3~8的环烷基,例如能够举出环丙基、环丁基、环戊基、环己基、环辛基等。作为多环型,优选碳原子数6~20的环烷基,例如能够举出金刚烷基、降冰片基、异冰片基、樟脑基、二环戊基、α-菔基、三环癸基、四环十二烷基、雄甾烷基等。另外,环烷基中的至少1个碳原子也可以通过氧原子等杂原子来取代。
优选R36~R39、R01及R02的芳基为碳原子数6~10的芳基,例如能够举出苯基、萘基、蒽基等。
优选R36~R39、R01及R02的芳烷基为碳原子数7~12的芳烷基,例如能够举出苄基、苯乙基、萘基甲基等。
优选R36~R39、R01及R02的烯基为碳原子数2~8的烯基,例如能够举出乙烯基、烯丙基、丁烯基、环己烯基等。
作为R36与R37键合而形成的环,优选环烷基(单环或多环)。作为环烷基,优选环戊基、环己基等单环的环烷基、降冰片基、四环癸基、四环十二烷基、金刚烷基等多环的环烷基。更优选碳原子数5~6的单环的环烷基,尤其优选碳原子数5的单环的环烷基。
作为酸分解性基团,优选为枯基酯基、烯醇酯基、缩醛酯基、叔烷基酯基等。进一步优选为叔烷基酯基。
树脂(A)作为具有酸分解性基团的重复单元优选具有由下述通式(AI)表示的重复单元。由通式(AI)表示的重复单元在酸的作用下产生羧基作为极性基团。
[化合物11]
通式(AI)中,
Xa1表示氢原子、烷基、氰基或卤原子。
T表示单键或2价的连接基。
Rx1~Rx3分别独立地表示烷基或环烷基。
Rx1~Rx3中的2个可以键合而形成环结构。
作为T的2价的连接基,可举出亚烷基、-COO-Rt-基、-O-Rt-基、亚苯基等。式中,Rt表示亚烷基或亚环烷基。
T优选单键或-COO-Rt-基。优选Rt为碳原子数1~5的亚烷基,更优选-CH2-基、-(CH2)2-基、-(CH2)3-基。T更优选为单键。
Xa1的烷基可以具有取代基,作为取代基,例如可举出羟基、卤原子(优选为氟原子)。
Xa1的烷基优选碳原子数1~4,可举出甲基、乙基、丙基、羟甲基或三氟甲基等,但优选为甲基。
Xa1优选为氢原子或甲基。
作为Rx1、Rx2及Rx3的烷基,可以为直链状,也可以为支链状,优选举出甲基、乙基、正丙基、异丙基、正丁基、异丁基、叔丁基等。作为烷基的碳原子数,优选1~10,更优选1~5。
作为Rx1、Rx2及Rx3的环烷基,优选环戊基、环己基等单环的环烷基、降冰片基、四环癸基、四环十二烷基、金刚烷基等多环的环烷基。
作为Rx1、Rx2及Rx3中的2个键合而形成的环结构,优选环戊基环、环己基环等单环的环烷环、降莰烷环、四环癸烷环、四环十二烷环、金刚烷环等多环的环烷基。尤其优选碳原子数5或6的单环的环烷环。
Rx1、Rx2及Rx3分别独立地优选为烷基,更优选为碳原子数1~4的直链状或支链状的烷基。
上述各基团可以具有取代基,作为取代基,例如可举出烷基(碳原子数1~4)、环烷基(碳原子数3~8)、卤原子、烷氧基(碳原子数1~4)、羧基、烷氧羰基(碳原子数2~6)等,优选碳原子数8以下。其中,从更加提高酸分解前后的相对于包含有机溶剂的显影液的溶解对比度的观点考虑,更优选氧原子、氮原子、硫原子等不具有杂原子的取代基(例如,更优选不是被羟基取代的烷基等)、进一步优选仅由氢原子及碳原子组成的基团,尤其优选为直链或支链的烷基、环烷基。
通式(AI)中,Rx1~Rx3分别独立地为烷基,优选Rx1~Rx3中的2个键合而未形成环结构。由此,能够抑制作为在酸的作用下分解而脱离的基团的由-C(Rx1)(Rx2)(Rx3)表示的基团的体积的增大,在曝光工序及曝光工序后可以实施的曝光后加热工序中,倾向于能够抑制曝光部的体积收缩。
以下,举出由通式(AI)表示的重复单元的具体例,但是本发明并不限定于这些具体例中。
具体例中,Rx表示氢原子、CH3、CF3或CH2OH。Rxa、Rxb分别独立地表示烷基(优选碳原子数1~10,更优选碳原子数1~5的烷基)。Xa1表示氢原子、CH3、CF3或CH2OH。Z表示取代基,存在多个的情况下,多个Z可以彼此相同也可以不同。p表示0或正的整数。Z的具体例及优选的例子与Rx1~Rx3等各基团能够具有的取代基的具体例及优选的例子相同。
[化合物12]
[化合物13]
/>
[化合物14]
并且,树脂(A)作为具有酸分解性基团的重复单元,也优选具有日本特开2014-202969号公报的[0057]~[0071]段中记载的重复单元。
并且,树脂(A)作为具有酸分解性基团的重复单元,也可以具有产生日本特开2014-202969号公报的[0072]~[0073]段中记载的醇羟基的重复单元。
并且,树脂(A)作为具有酸分解性基团的重复单元,也优选具有包含酚性羟基被在酸的作用下分解而脱离的脱离基保护的结构的重复单元。另外,本说明书中,酚性羟基是指将芳香烃的氢原子被羟基取代而成的基团。芳香烃的芳香环为单环或多环的芳香环,可举出苯环及萘环等。
作为具有酚性羟基被在酸的作用下分解而脱离的脱离基保护的结构的重复单元,优选由下述通式(AII)表示的重复单元。
[化合物15]
通式(AII)中,
R61、R62及R63分别独立地表示氢原子、烷基、环烷基、卤原子、氰基或烷氧羰基。其中,R62可与Ar6键合而形成环,这种情况下的R62表示单键或亚烷基。
X6表示单键、-COO-或-CONR64-。R64表示氢原子或烷基。
L6表示单键或亚烷基。
Ar6表示(n+1)价的芳香烃,与R62键合而形成环的情况下,表示(n+2)价的芳香烃。
Y2为n≥2的情况下,分别独立地表示氢原子或在酸的作用下脱离的基团。但是,Y2中的至少1个表示在酸的作用下脱离的基团。作为Y2的在酸的作用下脱离的基团优选为作为上述脱离基而举出的基团。
n表示1~4的整数。
上述各基可以具有取代基,作为取代基,例如可举出烷基(碳原子数1~4)、卤原子、羟基、烷氧基(碳原子数1~4)、羧基及烷氧羰基(碳原子数2~6)等,优选碳原子数8以下的取代基。
[化合物16]
[化合物17]
具有酸分解性基团的重复单元可以为1种,也可以并用2种以上。
树脂(A)中所包含的具有酸分解性基团的重复单元的含量(具有酸分解性基团的重复单元存在多个的情况下是其总计)相对于树脂(A)的总重复单元,优选为20~90摩尔%,更优选为40~80摩尔%。其中,优选树脂(A)具有由上述通式(AI)表示的重复单元并且相对于由上述通式(AI)表示的重复单元的树脂(A)的总重复单元的含量为40摩尔%以上。
优选树脂(A)具有选自包括内酯结构、磺内酯结构及碳酸酯结构的组中的至少1种,更优选具有包含选自包括内酯结构、磺内酯结构及碳酸酯结构的组中的至少1种的重复单元。
作为内酯结构或磺内酯结构,只要具有内酯结构或磺内酯结构,就均能够使用,但是优选为5~7员环内酯结构或5~7员环磺内酯结构,更优选以在5~7员环内酯结构上形成双环结构、螺结构的形式稠合其他环结构而成者,或以在5~7员环磺内酯结构上形成双环结构、螺结构的形式稠合其他环结构而成者。进一步优选具有包含由下述通式(LC1-1)~(LC1-21)中的任一个表示的内酯结构或由下述通式(SL1-1)~(SL1-3)中的任一个表示的磺内酯结构的重复单元。并且,内酯结构或磺内酯结构也可以与主链直接键合。作为优选的内酯结构为(LC1-1)、(LC1-4)、(LC1-5)、(LC1-6)、(LC1-13)、(LC1-14)、(LC1-17),尤其优选的内酯结构为(LC1-4)。通过使用这种特定内酯结构,LER、显影缺陷变得良好。
[化合物18]
内酯结构部分或磺内酯结构部分可以具有取代基(Rb2),也可以不具有取代基(Rb2)。作为优选的取代基(Rb2),可举出碳原子数1~8的烷基、碳原子数4~7的环烷基、碳原子数1~8的烷氧基、碳原子数2~8的烷氧羰基、羧基、卤原子、羟基、氰基、酸分解性基团等。更优选为碳原子数1~4的烷基、氰基、酸分解性基团。n2表示0~4的整数。n2为2以上时,存在多个的取代基(Rb2)可以相同,也可以不同。并且,存在多个的取代基(Rb2)彼此可以键合而形成环。
具有内酯结构或磺内酯结构的重复单元通常存在光学异构物,但是也可以使用任一光学异构物。并且,可以单独使用1种光学异构物,也可以混合多个光学异构物而使用。主要使用1种光学异构物的情况下,其光学纯度(ee)优选为90%以上,更优选为95%以上。
具有内酯结构或磺内酯结构的重复单元优选为由下述通式(III)表示的重复单元。
[化合物19]
上述通式(III)中,
A表示酯键(由-COO-表示的基团)或酰胺键(由-CONH-表示的基团)。
R0存在多个的情况下,分别独立地表示亚烷基、亚环烷基或其组合。
Z存在多个的情况下,分别独立地表示单键、醚键、酯键、酰胺键、氨基甲酸酯键
[化学式20]
或脲键
[化学式21]
其中,R分别独立地表示氢原子、烷基、环烷基或芳基。
R8表示具有内酯结构或磺内酯结构的1价的有机基团。
n为由-R0-Z-表示的结构的重复数量,表示0~5的整数,优选为0或1,更优选为0。n为0的情况下,不存在-R0-Z-而成为单键。
R7表示氢原子、卤原子或烷基。
R0的亚烷基、亚环烷基可以具有取代基。
Z优选为醚键、酯键,尤其优选为酯键。
R7的烷基优选碳原子数1~4的烷基,更优选甲基、乙基,尤其优选甲基。
R0的亚烷基、亚环烷基、R7中的烷基可以分别被取代,作为取代基,例如可举出氟原子、氯原子、溴原子等卤原子或巯基、羟基、甲氧基、乙氧基、异丙氧基、叔丁氧基、苄氧基等烷氧基、乙酰氧基、丙酰基氧基等酰氧基。
优选R7为氢原子、甲基、三氟甲基、羟甲基。
作为R0中的优选的链状亚烷基,优选碳原子数为1~10的链状的亚烷基,更优选为碳原子数1~5,例如可举出亚甲基、亚乙基、亚丙基等。作为优选的亚环烷基,为碳原子数3~20的亚环烷基,例如可举出亚环己基、亚环戊基、亚降冰片基、亚金刚烷基等。为了显现本发明的效果,更优选链状亚烷基,尤其优选亚甲基。
具有由R8表示的内酯结构或磺内酯结构的1价的有机基团只要具有内酯结构或磺内酯结构,则无限定,作为具体例可举出由通式(LC1-1)~(LC1-21)及(SL1-1)~(SL1-3)内的任一个表示的内酯结构或磺内酯结构,它们之中尤其优选由(LC1-4)表示的结构。并且,(LC1-1)~(LC1-21)中的n2更优选2以下。
并且,优选R8具有未经取代的内酯结构或磺内酯结构的1价的有机基团、或者具有将甲基、氰基或烷氧羰基作为取代基而具有的内酯结构或磺内酯结构的1价的有机基团,更优选具有将氰基作为取代基而具有的内酯结构(氰基内酯)的1价的有机基团。
以下示出具有包含内酯结构或磺内酯结构的基团的重复单元的具体例,但是本发明并不限定于此。
[化合物22]
(式中,Rx表示H、CH3、CH2OH或CF3。)
[化合物23]
(式中,Rx表示H、CH3、XH2OH或CF3。)
[化合物24]
(式中,Rx表示H、CH3、CH2OH或CF3。)
为了提高本发明的效果,也能够并用2种以上的具有内酯结构或磺内酯结构的重复单元。
树脂(A)含有具有内酯结构或磺内酯结构的重复单元的情况下,具有内酯结构或磺内酯结构的重复单元的含量相对于树脂(A)中的总重复单元,优选为5~60摩尔%,更优选为5~55摩尔%,进一步优选为10~50摩尔%。
具有碳酸酯结构(环状碳酸酯结构)的重复单元优选为由下述通式(A-1)表示的重复单元。
[化合物25]
通式(A-1)中,RA 1表示氢原子或烷基。
RA 2中,n为2以上的情况下分别独立地表示取代基。
A表示单键或2价的连接基。
Z表示由式中的-O-C(=O)-O-表示的基团并且形成单环或多环结构的原子团。
n表示0以上的整数。
对通式(A-1)进行详细说明。
由RA 1表示的烷基可以具有氟原子等取代基。RA 1优选表示氢原子、甲基或三氟甲基,更优选表示甲基。
由RA 2表示的取代基例如为烷基、环烷基、羟基、烷氧基、氨基、烷氧基羰基氨基。优选为碳原子数1~5的烷基,例如能够举出甲基、乙基、丙基、丁基等碳原子数1~5的直链状烷基;异丙基、异丁基、叔丁基等碳原子数3~5的支链状烷基等。烷基可以具有羟基等取代基。
n为表示取代基数的0以上的整数。n例如优选为0~4,更优选为0。
作为通过A表示的2价的连接基,例如可举出亚烷基、亚环烷基、酯键、酰胺键、醚键、氨基甲酸酯键、脲键或其组合等。作为亚烷基,优选碳原子数1~10的亚烷基,更优选碳原子数1~5的亚烷基,例如可举出亚甲基、亚乙基、亚丙基等。
本发明的一方式中,优选A为单键、亚烷基。
作为由Z表示的包含-O-C(=O)-O-的单环,例如,可举出在由下述通式(a)表示的环状碳酸酯中,nA=2~4的5~7员环,优选为5员环或6员环(nA=2或3),更优选为5员环(nA=2)。
作为由Z表示的包含-O-C(=O)-O-的多环,例如,可举出由下述通式(a)表示的环状碳酸酯形成1或2以上的其他环结构并且形成稠环的结构或形成螺环的结构。作为能够形成稠环或螺环的“其他环结构”,可以为脂环式烃基,也可以为芳香烃,还可以为杂环。
[化合物26]
与由上述通式(A-1)表示的重复单元对应的单体,例如能够通过TetrahedronLetters,Vol.27,No.32p.3741(1986)、Organic Letters,Vol.4,No.15p.2561(2002)等中记载的以往公知的方法来合成。
树脂(A)中可以单独包含由通式(A-1)表示的重复单元中的1种,也可以包含2种以上。
树脂(A)中,具有环状碳酸酯结构的重复单元(优选为由通式(A-1)表示的重复单元)的含有率相对于构成树脂(A)的总重复单元,优选为3~80摩尔%,更优选为3~60摩尔%,进一步优选为3~45摩尔%,尤其优选为3~30摩尔%,最优选为10~15摩尔%。通过设为这样的含有率,能够提高作为抗蚀剂的显影性、低缺陷性、低LWR(Line WidthRoughness,线宽粗糙度)、低PEB(Post Exposure Bake,曝光后烘烤)温度依赖性、轮廓等。
以下,举出由通式(A-1)表示的重复单元的具体例,但是本发明并不限定于这些。
另外,以下的具体例中的RA 1的含义与通式(A-1)中的RA 1相同。
[化合物27]
/>
树脂(A)可以具有包含酚性羟基的重复单元。
作为具有酚性羟基的重复单元,可举出羟基苯乙烯重复单元或羟基苯乙烯(甲基)丙烯酸酯重复单元。作为具有酚性羟基的重复单元,其中,优选由下述通式(I)表示的重复单元。
[化合物28]
式中,
R41、R42及R43分别独立地表示氢原子、烷基、环烷基、卤原子、氰基或烷氧羰基。但是,R42可与Ar4键合而形成环,这种情况下的R42表示单键或亚烷基。
X4表示单键、-COO-或-CONR64-,R64表示氢原子或烷基。
L4表示单键或2价的连接基。
Ar4表示(n+1)价的芳香烃,与R42键合而形成环的情况下,表示(n+2)价的芳香烃。
n表示1~5的整数。
在将由通式(I)表示的重复单元高极性化的目的中,优选n为2以上的整数或X4为-COO-或-CONR64-。
作为由通式(I)中的R41、R42及R43表示的烷基,优选可以具有取代基的甲基、乙基、丙基、异丙基、正丁基、仲丁基、己基、2-乙基己基、辛基及十二烷基等碳原子数20以下的烷基,更优选碳原子数8以下的烷基,进一步优选碳原子数3以下的烷基。
作为由通式(I)中的R41、R42及R43表示的环烷基,可以为单环,也可以为多环。优选可以具有取代基的环丙基、环戊基及环己基等碳原子数3~8个且单环的环烷基。
作为由通式(I)中的R41、R42及R43表示的卤原子,可举出氟原子、氯原子、溴原子及碘原子等,优选氟原子。
作为由通式(I)中的R41、R42及R43表示的烷氧羰基中所包含的烷基,优选与上述R41、R42及R43中的烷基相同。
作为上述各基中的优选的取代基,例如可举出烷基、环烷基、芳基、氨基、酰胺基、脲基、氨基甲酸酯基、羟基、羧基、卤原子、烷氧基、硫醚基、酰基、酰氧基、烷氧羰基、氰基及硝基等,优选取代基的碳原子数为8以下。
Ar4表示(n+1)价的芳香烃。n为1的情况下的2价的芳香烃可以具有取代基,优选例如亚苯基、甲亚苯基、亚萘基及亚蒽基等碳原子数6~18的亚芳基或例如包含噻吩、呋喃、吡咯、苯并噻吩、苯并呋喃、苯并吡咯、三嗪、咪唑、苯并咪唑、三唑、噻二唑及噻唑等杂环的芳香烃。
作为n为2以上的整数的情况下的(n+1)价的芳香烃的具体例,能够较佳地举出从2价的芳香烃的上述的具体例去除(n-1)个的任意的氢原子而成的基团。
(n+1)价的芳香烃还可以具有取代基。
作为上述的烷基、环烷基、烷氧羰基及(n+1)价的芳香烃能够具有的取代基,例如可举出由通式(I)中的R41、R42及R43例举的烷基;甲氧基、乙氧基、羟基乙氧基、丙氧基、羟基丙氧基及丁氧基等烷氧基;苯基等芳基;等。
作为由X4表示的-CONR64-(R64表示氢原子或烷基)中的R64的烷基,优选可以具有取代基的甲基、乙基、丙基、异丙基、正丁基、仲丁基、己基、2-乙基己基、辛基及十二烷基等碳原子数20以下的烷基,更优选碳原子数8以下的烷基。
作为X4优选单键、-COO-或-CONH-,更优选单键或-COO-。
作为L4的2价的连接基,优选为亚烷基,作为亚烷基,优选可以具有取代基的亚甲基、亚乙基、亚丙基、亚丁基、亚己基及亚辛基等碳原子数1~8的亚烷基。
作为Ar4,优选可以具有取代基的碳原子数6~18的芳香烃,更优选苯环基、萘环基或亚联苯环基。其中,优选由通式(I)表示的重复单元为来自于羟基苯乙烯的重复单元。即,优选Ar4为苯环基。
以下示出具有酚性羟基的重复单元的具体例,但是本发明并不限定于此。式中,a表示1或2。
[化合物29]
树脂(A)可以具有单独1种具有酚性羟基的重复单元也可以并用2种以上而具有。
树脂(A)中,具有酚性羟基的重复单元的含量相对于树脂(A)中的总重复单元,优选40摩尔%以上,更优选50摩尔%以上,进一步优选60摩尔%以上,优选85摩尔%以下,更优选80摩尔%以下。
优选树脂(A)具有除了上述的重复单元以外的具有羟基或氰基的重复单元。由此,提高基板密合性、显影液亲和性。优选具有羟基或氰基的重复单元为具有被羟基或氰基取代的脂环烃结构的重复单元,优选不具有酸分解性基团。作为被羟基或氰基取代的脂环烃结构中的脂环烃结构,优选金刚烷基、二金刚烷基、降莰烷基。作为优选的被羟基或氰基取代的脂环烃结构,优选由下述通式表示的结构。
[化合物30]
具有羟基或氰基的重复单元的含量相对于树脂(A)中的总重复单元,优选5~40mol%,更优选为5~30mol%,进一步优选为10~25mol%。
作为具有羟基或氰基的重复单元的具体例,能够举出美国公开专利2012/0135348号公报的0340段中公开的重复单元,但是本发明并不限定于这些。
树脂(A)可以具有包含碱可溶性基的重复单元。作为碱可溶性基,可举出羧基、磺酰胺基、磺酰基酰亚胺基、双磺酰基酰亚胺基、α位被吸电子基团取代的脂肪族醇(例如六氟异丙醇基),更优选具有包含羧基的重复单元。通过包含具有碱可溶性基的重复单元,提高接触孔用途中的分辨率。作为具有碱可溶性基的重复单元,优选如基于丙烯酸、甲基丙烯酸的重复单元的在树脂的主链上直接键合有碱可溶性基的重复单元、或经由连接基在树脂的主链上键合有碱可溶性基的重复单元、进而在聚合时使用具有碱可溶性基的聚合引发剂或链转移剂并导入到聚合物链的末端的方法中的任一个,连接基也可以具有单环或多环的环状烃结构。尤其优选为基于丙烯酸、甲基丙烯酸的重复单元。
具有碱可溶性基的重复单元的含量相对于树脂(A)中的总重复单元,优选0~20mol%,更优选为3~15mol%,进一步优选为5~10mol%。
作为具有碱可溶性基的重复单元的具体例,能够举出美国公开专利2012/0135348号公报的0344段中公开的重复单元,但是本发明并不限定于此。
本发明的树脂(A)还具有不具备极性基团(例如,上述碱可溶性基、羟基、氰基等)的脂环烃结构,并能够具有不显示酸分解性的重复单元。作为这种重复单元,可举出由通式(IV)表示的重复单元。
[化合物31]
上述通式(IV)中,R5表示具有至少一个环状结构且不具备极性基团的烃基。
Ra表示氢原子、烷基或-CH2-O-Ra2基。式中,Ra2表示氢原子、烷基或酰基。Ra优选氢原子、甲基、羟甲基、三氟甲基,尤其优选氢原子、甲基。
R5所具有的环状结构中包含单环式烃基及多环式烃基。作为单环式烃基,例如可举出环戊基、环己基、环庚基、环辛基等碳原子数3~12的环烷基、环己烯基等碳原子数3~12的环烯基。作为优选的单环式烃基,可举出碳原子数3~7的单环式烃基,更优选为环戊基、环己基。
多环式烃基中包含环集合烃基、交联环式烃基,作为环集合烃基的例子包含联环己基、全氢萘基等。作为交联环式烃环,例如可举出蒎烷、降冰片烷、降蒎烷、降莰烷、双环辛烷环(双环[2.2.2]辛烷环、双环[3.2.1]辛烷环等)等2环式烃环及均布雷烷环、金刚烷、三环[5.2.1.02,6]癸烷、三环[4.3.1.12,5]十一烷环等3环式烃环、四环[4.4.0.12,5.17,10]十二烷、全氢-1,4-亚甲基-5,8-亚甲基萘环等4环式烃环等。并且,交联环式烃环中也包含稠环式烃环、例如全氢萘(十氢萘)、全氢蒽、全氢菲、全氢苊、全氢芴、全氢茚、全氢非那烯环等5~8员环烷烃环多个稠合而成的稠环。
作为优选的交联环式烃环,可举出降冰片基、金刚烷基、双环辛烷基、三环[5.2.1.02,6]癸基等。作为更优选的交联环式烃环可举出降冰片基、金刚烷基。
这些脂环式烃基可以具有取代基,作为优选的取代基,可举出卤原子、烷基、氢原子经取代的羟基、氢原子经取代的氨基等。作为优选的卤原子,可举出溴、氯、氟原子,作为优选的烷基,可举出甲基、乙基、丁基、叔丁基。上述的烷基还可以具有取代基,作为还可以具有的取代基,能够举出卤原子、烷基、氢原子经取代的羟基、氢原子经取代的氨基。
作为上述氢原子经取代的基团,例如可举出烷基、环烷基、芳烷基、取代的甲基、取代的乙基、烷氧羰基、芳烷氧基羰基。作为优选的烷基可举出碳原子数1~4的烷基,作为优选的取代的甲基,可举出甲氧基甲基、甲氧基硫甲基、苄氧基甲基、叔丁氧基甲基、2-甲氧基乙氧基甲基,作为优选的取代的乙基,可举出1-乙氧基乙基、1-甲基-1-甲氧基乙基,作为优选的酰基可举出甲酰、乙酰基、丙酰基、丁酰基、异丁酰基、戊酰基、三甲基乙酰基等碳原子数1~6的脂肪族酰基,作为烷氧羰基可举出碳原子数1~4的烷氧羰基等。
树脂(A)具有不具备极性基团的脂环烃结构,也可以含有不显示酸分解性的重复单元,还可以不含有不显示酸分解性的重复单元,但是含有的情况下,该重复单元的含量相对于树脂(A)中的总重复单元,优选1~40摩尔%,更优选为2~20摩尔%。
作为具有不具备极性基团的脂环烃结构且不显示酸分解性的重复单元的具体例,能够举出美国公开专利2012/0135348号公报的0354段中公开的重复单元,但是本发明并不限定于这些。
本发明的方法中所使用的树脂(A)除了上述重复结构单元以外,以调节干式耐蚀刻性或标准显影液适性、基板密合性、抗蚀剂轮廓、进而调节抗蚀剂的通常所需的特性即分辨力、耐热性、灵敏度等的目的,能够具有各种重复结构单元。作为这种重复结构单元,能够举出相当于下述单体的重复结构单元,但是并不限定于这些。
由此,能够微调整本发明的方法中所使用的树脂(A)中所需的性能、尤其(1)相对于涂布溶剂的溶解性、(2)制膜性(玻璃化转变温度)、(3)碱性显影性、(4)薄膜化(亲疏水性、碱可溶性基选择)、(5)未曝光部对基板的密合性、(6)干式耐蚀刻性等。
作为这种单体,例如能够举出具有1个选自丙烯酸酯类、甲基丙烯酸酯类、丙烯酰胺类、甲基丙烯酰胺类、烯丙基化合物、乙烯基醚类、乙烯基酯类等的加成聚合性不饱和键的化合物等。
除此以外,只要为能够与相当于上述各种重复结构单元的单体共聚合的加成聚合性不饱和化合物,则可以进行共聚合。
树脂(A)中,为了调节抗蚀剂的干式耐蚀刻性或标准显影液适性、基板密合性、抗蚀剂轮廓、进而调节抗蚀剂的通常所需的性能即分辨力、耐热性、灵敏度等,可适当设定各重复结构单元的含有摩尔比。
本发明的抗蚀剂组合物为ArF曝光用时,从对ArF光的透明性的观点考虑,优选树脂(A)实质上不包含芳香族基。更具体而言,优选树脂(A)的总重复单元中,具有芳香族基的重复单元为整体的5摩尔%以下,更优选3摩尔%以下,理想为进一步优选0摩尔%,即不具有具有芳香族基的重复单元。并且,优选树脂(A)具有单环或多环脂环烃结构。
另外,树脂(A)也优选不含有氟原子及硅原子。
作为树脂(A),优选为所有重复单元由(甲基)丙烯酸酯类重复单元构成。这种情况下,也能够使用所有重复单元为甲基丙烯酸酯类重复单元、所有重复单元为丙烯酸酯类重复单元、所有重复单元为基于甲基丙烯酸酯类重复单元与丙烯酸酯类重复单元中的任一个,但优选丙烯酸酯类重复单元为总重复单元的50mol%以下。
树脂(A)能够根据常规方法(例如自由基聚合)来合成。例如,作为通常的合成方法,可举出使单体种类及引发剂溶解于溶剂,并通过加热进行聚合的一次聚合法、用1~10小时向加热溶剂中滴加单体种类与引发剂的溶液而加入的滴加聚合法等,优选滴加聚合法。作为反应溶剂,例如可举出四氢呋喃、1,4-二噁烷、二异丙基醚等醚类或如甲基乙基酮、甲基异丁基酮的酮类、如乙酸乙酯的酯溶剂、二甲基甲酰胺、二甲基乙酰胺等酰胺溶剂、进而后述的如丙二醇单甲基醚乙酸酯、丙二醇单甲基醚、环己酮的溶解本发明的抗蚀剂组合物的溶剂。更优选使用与本发明的抗蚀剂组合物中所使用的溶剂相同的溶剂进行聚合。由此能够抑制保存时产生粒子。
优选聚合反应在氮气或氩气等不活性气体环境下进行。作为聚合引发剂,可使用市售的自由基引发剂(偶氮类引发剂、过氧化物等)开始聚合。作为自由基引发剂,优选偶氮类引发剂,优选具有酯基、氰基、羧基的偶氮类引发剂。作为优选的引发剂,可举出偶氮二异丁腈、偶氮双二甲基戊腈、二甲基2,2’-偶氮双(2-甲基丙酸酯)等。根据需要,加入或分批添加引发剂,反应结束之后,投入到溶剂中,通过粉体或固态回收等方法回收所希望的聚合物。反应溶液中的固体成分浓度为5~50质量%,优选为10~30质量%。反应温度通常为10℃~150℃,优选为30℃~120℃,进一步优选为60~100℃。
另外,树脂(A)可以为无规聚合物、嵌段聚合物及接枝聚合物中的任一个。
树脂(A)的重均分子量优选为1,000~200,000,更优选为2,000~40,000,进一步更优选为3,000~30,000,尤其优选为4,000~25,000。通过将重均分子量设为1,000~200,000,能够防止耐热性或干式耐蚀刻性的劣化,并且能够防止显影性劣化或粘度变高而制膜性劣化。
树脂(A)的分散度(分子量分布)通常为1.0~3.0,优选使用1.0~2.6,进一步优选使用1.0~2.0,尤其优选使用1.1~2.0。分子量分布越小,分辨率、抗蚀剂形状越优异,并且抗蚀剂图案的侧壁越平滑,粗糙度越优异。
另外,本申请说明书中,重均分子量(Mw)及分散度为根据下述条件的凝胶渗透色谱法(GPC)求出的标准聚苯乙烯换算值。
·管柱的种类:TSK gel Multipore HXL-M(TOSOH CORPORATION制、7.8mmID×30.0cm)
·展开溶剂:THF(四氢呋喃)
·管柱温度:40℃·流量:1ml/min
·样品注入量:10μl
·装置名称:HLC-8120(TOSOH CORPORATION制)
树脂(A)的含量相对于抗蚀剂组合物的总固体成分,优选为20质量%以上,更优选为40质量%以上,进一步优选为60质量%以上,尤其优选为80质量%以上。树脂(A)的含量相对于抗蚀剂组合物的总固体成分,优选为99质量%以下。
本发明中,树脂(A)可以使用1种,也可以并用多个。
[2]通过光化射线或辐射线的照射产生酸的化合物
本发明的抗蚀剂组合物优选含有通过光化射线或辐射线的照射产生酸的化合物(以下,也称为“光产酸剂”)。作为光产酸剂,并无特别限定,但优选为通过光化射线或辐射线的照射产生有机酸的化合物。
作为光产酸剂,能够适当选择使用光阳离子聚合的光引发剂、光自由基聚合的光引发剂、色素类的光消色剂、光变色剂或微抗蚀剂等中所使用的通过光化射线或辐射线的照射产生酸的公知的化合物及它们的混合物,例如可举出日本特开2010-061043号公报的[0039]~[0103]段中记载的化合物、日本特开2013-004820号公报的[0284]~[0389]段中记载的化合物等,但是本发明并不限定于此。
例如能够举出重氮盐、鏻盐、锍盐、碘盐、酰亚胺磺酸盐、肟磺酸盐、偶氮二砜、二砜、邻硝基苄基磺酸盐。
作为本发明的抗蚀剂组合物所含有的光产酸剂,例如能够较佳地举出由下述通式(3)表示的通过光化射线或辐射线的照射产生酸的化合物(特定光产酸剂)。
[化合物32]
(阴离子)
通式(3)中,
Xf分别独立地表示氟原子或被至少一个氟原子取代的烷基。
R4及R5分别独立地表示氢原子、氟原子、烷基或被至少一个氟原子取代的烷基,存在多个时的R4、R5分别可以相同,也可以不同。
L表示2价的连接基,存在多个时的L可以相同,也可以不同。
W表示包含环状结构的有机基团。
o表示1~3的整数。p表示0~10的整数。q表示0~10的整数。
Xf表示氟原子或被至少1个氟原子取代的烷基。该烷基的碳原子数优选为1~10,更优选为1~4。并且,被至少1个氟原子取代的烷基优选为全氟烷基。
Xf优选为氟原子或碳原子数1~4的全氟烷基。Xf更优选为氟原子或CF3。尤其,优选两者的Xf为氟原子。
R4及R5分别独立地表示氢原子、氟原子、烷基或被至少一个氟原子取代的烷基,存在多个时的R4、R5分别可以相同,也可以不同。
作为R4及R5的烷基可以具有取代基,优选碳原子数1~4的取代基。R4及R5优选为氢原子。
被至少一个氟原子取代的烷基的具体例及较佳的方式与通式(3)中的Xf的具体例及较佳的方式相同。
L表示2价的连接基,存在多个时的L可以相同,也可以不同。
作为2价的连接基,例如可举出-COO-(-C(=O)-O-)、-OCO-、-CONH-、-NHCO-、-CO-、-O-、-S-、-SO-、-SO2-、亚烷基(优选为碳原子数1~6)、亚环烷基(优选为碳原子数3~10)、亚烯基(优选为碳原子数2~6)或组合它们的多个的2价的连接基等。这些之中,优选-COO-、-OCO-、-CONH-、-NHCO-、-CO-、-O-、-SO2-、-COO-亚烷基-、-OCO-亚烷基-、-CONH-亚烷基-或-NHCO-亚烷基-,更优选-COO-、-OCO-、-CONH-、-SO2-、-COO-亚烷基-或-OCO-亚烷基-。
W表示包含环状结构的有机基团。其中,优选环状的有机基团。
作为环状的有机基团,例如可举出脂环基、芳基及杂环基。
脂环基可以为单环式,也可以为多环式。作为单环式脂环基,例如可举出环戊基、环己基及环辛基等单环的环烷基。作为多环式脂环基,例如可举出降冰片基、三环癸基、四环癸基、四环十二烷基及金刚烷基等多环的环烷基。其中,从PEB(曝光后加热)工序中的膜中扩散性的抑制及提高MEEF(Mask Err or Enhancement Factor,掩模误差增强因子)的观点考虑,优选降冰片基、三环癸基、四环癸基、四环十二烷基及金刚烷基等具有碳原子数7以上的大体积结构的脂环基。
芳基可以为单环式,也可以为多环式。作为该芳基,例如可举出苯基、萘基、菲基及蒽基。其中,优选193nm下的光吸光度相对低的萘基。
杂环基可以为单环式,也可以为多环式,但是多环式能够更加抑制酸的扩散。并且,杂环基可以具有芳香族性,也可以不具有芳香族性。作为具有芳香族性的杂环,例如可举出呋喃环、噻吩环、苯并呋喃环、苯并噻吩环、二苯并呋喃环、二苯并噻吩环及吡啶环。作为不具有芳香族性的杂环,例如可举出四氢哌喃环、内酯环、磺内酯环及十氢异喹啉环。作为杂环基中的杂环,尤其优选呋喃环、噻吩环、吡啶环或十氢异喹啉环。并且,作为内酯环及磺内酯环的例子,可举出前述树脂中例示的内酯结构及磺内酯结构。
上述环状的有机基团可以具有取代基。作为该取代基,例如可举出烷基(也可以为直链、支链中的任一个,优选碳原子数1~12)、环烷基(也可以为单环、多环、螺环中的任一个,优选碳原子数3~20)、芳基(优选碳原子数6~14)、羟基、烷氧基、酯基、酰胺基、氨基甲酸酯基、脲基、硫醚基、磺酰胺基及磺酸酯基。另外,构成环状的有机基团的碳(有助于环形成的碳)可以为羰基碳。
o表示1~3的整数。p表示0~10的整数。q表示0~10的整数。
一方式中,优选通式(3)中的o为1~3的整数,p为1~10的整数,q为0。优选Xf为氟原子,R4及R5一同优选为氢原子,优选W为多环式烃基。更优选o为1或2,进一步优选为1。更优选p为1~3的整数,进一步优选为1或2,尤其优选1。更优选W为多环的环烷基,进一步优选为金刚烷基或二金刚烷基。
上述通式(3)中,作为除了W以外的部分结构,优选可举出SO3 --CF2-CH2-OCO-、SO3 --CF2-CHF-CH2-OCO-、SO3 --CF2-COO-、SO3 --CF2-CF2-CH2-、SO3 --CF2-CH(CF3)-OCO-。
(阳离子)
通式(3)中,X+表示阳离子。
X+只要为阳离子,则并无特别限制,但是作为较佳的方式,例如可举出后述的通式(ZI)、(ZII)或(ZIII)中的阳离子(除了Z-以外的部分)。
(较佳的方式)
作为特定光产酸剂的较佳的方式,例如可举出由下述通式(ZI)、(ZII)或(ZIII)表示的化合物。
[化合物33]
上述通式(ZI)中,
R201、R202及R203分别独立地表示有机基团。
作为R201、R202及R203的有机基团的碳原子数通常为1~30,优选为1~20。
并且,R201~R203中的2个可以键合而形成环结构,在环内也可以包含氧原子、硫原子、酯键、酰胺键、羰基。作为R201~R203内的2个键合而形成的基团,能够举出亚烷基(例如,亚丁基、亚戊基)。
Z-表示通式(3)中的阴离子,具体而言,表示下述阴离子。
[化合物34]
作为通过R201、R202及R203表示的有机基团,例如能够举出后述的化合物(ZI-1)、(ZI-2)、(ZI-3)及(ZI-4)中的对应的基团。
另外,可以为具有多个由通式(ZI)表示的结构的化合物。例如,可以为具有由通式(ZI)表示的化合物的R201~R203中的至少1个与由通式(ZI)表示的另一个化合物的R201~R203中的至少1个经由单键或连接基键合的结构的化合物。
作为进一步优选的(ZI)成分,能够举出以下说明的化合物(ZI-1)、(ZI-2)及(ZI-3)及(ZI-4)。
首先,对化合物(ZI-1)进行说明。
化合物(ZI-1)为上述通式(ZI)的R201~R203中的至少1个为芳基的芳基锍化合物即将芳基锍设为阳离子的化合物。
芳基锍化合物中,所有R201~R203可以为芳基,R201~R203的一部分为芳基且剩余也可以为烷基或环烷基。
作为芳基锍化合物,例如能够举出三芳基锍化合物、二芳基烷基锍化合物、芳基二烷基锍化合物、二芳基环烷基锍化合物、芳基二环烷基锍化合物。
作为芳基锍化合物的芳基,优选苯基、萘基,进一步优选为苯基。芳基可以为具有包含氧原子、氮原子、硫原子等的杂环结构的芳基。作为杂环结构,可举出吡咯残基、呋喃残基、噻吩残基、吲哚残基、苯并呋喃残基、苯并噻吩残基等。芳基锍化合物具有2个以上的芳基的情况下,具有2个以上的芳基可以相同也可以不同。
优选芳基锍化合物根据需要所具有的烷基或环烷基为碳原子数1~15的直链或支链烷基及碳原子数3~15的环烷基,例如能够举出甲基、乙基、丙基、正丁基、仲丁基、叔丁基、环丙基、环丁基、环己基等。
R201~R203的芳基、烷基、环烷基作为取代基可以具有烷基(例如碳原子数1~15)、环烷基(例如碳原子数3~15)、芳基(例如碳原子数6~14)、烷氧基(例如碳原子数1~15)、卤原子、羟基、苯硫基。
接着,对化合物(ZI-2)进行说明。
化合物(ZI-2)为式(ZI)中的R201~R203分别独立地表示不具有芳香环的有机基团的化合物。在此,芳香环也包含含有杂原子的芳香族环。
作为R201~R203的不含有芳香环的有机基团通常为碳原子数1~30,优选为碳原子数1~20。
R201~R203分别独立地优选为烷基、环烷基、烯丙基、乙烯基,进一步优选为直链或支链的2-氧代烷基、2-氧代环烷基、烷氧基羰基甲基,尤其优选为直链或支链2-氧代烷基。
作为R201~R203的烷基及环烷基,优选能够举出碳原子数1~10的直链或支链烷基(例如,甲基、乙基、丙基、丁基、戊基)、碳原子数3~10的环烷基(环戊基、环己基、降冰片基)。
R201~R203还可以通过卤原子、烷氧基(例如碳原子数1~5)、羟基、氰基、硝基被取代。
接着,对化合物(ZI-3)进行说明。
化合物(ZI-3)是指由以下通式(ZI-3)表示的化合物,且具有苯甲酰甲基锍盐结构的化合物。
[化合物35]
通式(ZI-3)中,
R1c~R5c分别独立地表示氢原子、烷基、环烷基、芳基、烷氧基、芳氧基、烷氧羰基、烷基羰氧基、环烷基羰氧基、卤原子、羟基、硝基、烷硫基或芳硫基。
R6c及R7c分别独立地表示氢原子、烷基、环烷基、卤原子、氰基或芳基。
Rx及Ry分别独立地表示烷基、环烷基、2-氧代烷基、2-氧代环烷基、烷氧基羰基烷基、烯丙基或乙烯基。
R1c~R5c中的任一个或2个以上、R5c与R6c、R6c与R7c、R5c与Rx及Rx与Ry可以分别键合而形成环结构,该环结构也可以包含氧原子、硫原子、酮基、酯键、酰胺键。
作为上述环结构,能够举出芳香族或非芳香族的烃环、芳香族或非芳香族的杂环或组合2个以上这些环而成的多环稠环。作为环结构,能够举出3~10员环,优选为4~8员环,更优选为5或6员环。
作为R1c~R5c中的任一个或2个以上、R6c与R7c及Rx与Ry键合而形成的基团,能够举出亚丁基、亚戊基等。
作为R5c与R6c及R5c与Rx键合而形成的基团,优选单键或亚烷基,作为亚烷基,能够举出亚甲基、亚乙基等。
Zc-表示通式(3)中的阴离子,具体而言,如上所述。
作为R1c~R5c的烷氧羰基中的烷氧基的具体例与上述作为R1c~R5c的烷氧基的具体例相同。
作为R1c~R5c的烷基羰氧基及烷硫基中的烷基的具体例与上述作为R1c~R5c的烷基的具体例相同。
作为R1c~R5c的环烷基羰氧基中的环烷基的具体例与上述作为R1c~R5c的环烷基的具体例相同。
作为R1c~R5c的芳氧基及芳硫基中的芳基的具体例与上述作为R1c~R5c的芳基的具体例相同。
作为本发明中的化合物(ZI-2)或(ZI-3)中的阳离子,能够举出美国专利申请公开第2012/0076996号说明书的[0036]段以后记载的阳离子。
接着,对化合物(ZI-4)进行说明。
化合物(ZI-4)由下述通式(ZI-4)表示。
[化合物36]
通式(ZI-4)中,
R13表示氢原子、氟原子、羟基、烷基、环烷基、烷氧基、烷氧羰基或具有环烷基的基团。这些基团可以具有取代基。
R14存在多个的情况下,分别独立地表示羟基、烷基、环烷基、烷氧基、烷氧羰基、烷基羰基、烷基磺酰基、环烷基磺酰基或具有环烷基的基团。这些基团可以具有取代基。
R15分别独立地表示烷基、环烷基或萘基。这些基团可以具有取代基。2个R15可以彼此键合而形成环。2个R15彼此键合而形成环时,也可以在环骨架内包含氧原子、氮原子等杂原子。一方式中,优选2个R15为亚烷基,且彼此键合而形成环结构。
l表示0~2的整数。
r表示0~8的整数。
Z-表示通式(3)中的阴离子,具体而言,如上所述。
通式(ZI-4)中,作为R13、R14及R15的烷基为直链状或支链状,优选碳原子数1~10,优选甲基、乙基、正丁基、叔丁基等。
作为本发明中的由通式(ZI-4)表示的化合物的阳离子,能够举出日本特开2010-256842号公报的[0121]、[0123]、[0124]段及日本特开2011-076056号公报的[0127]、[0129]、[0130]段等中记载的阳离子。
接着,对通式(ZII)、(ZIII)进行说明。
通式(ZII)、(ZIII)中,R204~R207分别独立地表示芳基、烷基或环烷基。
作为R204~R207的芳基,优选苯基、萘基,进一步优选为苯基。R204~R207的芳基可以为具有包含氧原子、氮原子、硫原子等的杂环结构的芳基。作为具有杂环结构的芳基的骨架,例如能够举出吡咯、呋喃、噻吩、吲哚、苯并呋喃、苯并噻吩等。
作为R204~R207中的烷基及环烷基,优选能够举出碳原子数1~10的直链或支链烷基(例如,甲基、乙基、丙基、丁基、戊基)、碳原子数3~10的环烷基(环戊基、环己基、降冰片基)。
R204~R207的芳基、烷基、环烷基可以具有取代基。作为R204~R207的芳基、烷基、环烷基可以具有的取代基,例如能够举出烷基(例如碳原子数1~15)、环烷基(例如碳原子数3~15)、芳基(例如碳原子数6~15)、烷氧基(例如碳原子数1~15)、卤原子、羟基、苯硫基等。
Z-表示通式(3)中的阴离子,具体而言,如上所述。
光产酸剂(包含特定光产酸剂。以下相同。)可以为低分子化合物的形态,也可以为嵌入到聚合物的一部分的形态。并且,也可以并用低分子化合物的形态与嵌入到聚合物的一部分的形态。
光产酸剂为低分子化合物的形态的情况下,优选分子量为580以上,更优选为600以上,进一步优选为620以上,尤其优选为640以上。上限并无特别限制,但优选3000以下,更优选2000以下,进一步优选1000以下。
光产酸剂为嵌入到聚合物的一部分的形态的情况下,可以嵌入到前述的树脂的一部分,也可以嵌入到与树脂不同的树脂。
光产酸剂能够由公知的方法合成,例如能够按照日本特开2007-161707号公报中记载的方法合成。
光产酸剂能够单独使用1种或组合2种以上而使用。
光产酸剂的组合物中的含量(存在多个的情况下为其总计)以组合物的总固体成分为基准,优选0.1~30质量%,更优选为0.5~25质量%,进一步优选为3~20质量%,尤其优选为3~15质量%。
作为光产酸剂,包含由上述通式(ZI-3)或(ZI-4)表示的化合物的情况下,组合物中所包含的光产酸剂的含量(存在多个的情况下为其总计)以组合物的总固体成分为基准,优选1.5~35质量%,更优选5~35质量%,进一步更优选8~30质量%,进一步优选9~30质量%,尤其优选9~25质量%。
[3]酸扩散控制剂
本发明的抗蚀剂组合物优选含有酸扩散控制剂。酸扩散控制剂作为捕获曝光时从光产酸剂等产生的酸并抑制因剩余的产生酸引起的未曝光部中的酸分解性树脂的反应的淬灭剂来发挥作用。作为酸扩散控制剂,能够使用碱性化合物、具有氮原子并具有在酸的作用下脱离的基团的低分子化合物、通过光化射线或辐射线的照射碱性降低或消失的碱性化合物或相对于光产酸剂为相对弱酸的鎓盐。
作为碱性化合物,能够优选地举出具有由下述式(A)~(E)表示的结构的化合物。
[化合物37]
通式(A)及(E)中,
R200、R201及R202可以相同也可以不同,表示氢原子、烷基(优选为碳原子数1~20)、环烷基(优选为碳原子数3~20)或芳基(碳原子数6~20),其中,R201与R202可以彼此键合而形成环。
R203、R204、R205及R206可以相同也可以不同,表示碳原子数1~20个的烷基。
关于上述烷基,作为具有取代基的烷基,优选碳原子数1~20的氨基烷基、碳原子数1~20的羟基烷基或碳原子数1~20的氰基烷基。
这些通式(A)及(E)中的烷基更优选为未经取代。
作为优选的化合物,能够举出胍、氨基吡咯啶、吡唑、吡唑啉、哌嗪、氨基吗福林、氨基烷基吗啉、哌啶等,作为进一步优选的化合物,能够举出具有咪唑结构、二吖双环结构、鎓氢氧化物结构、鎓羧酸酯结构、三烷基胺结构、苯胺结构或吡啶结构的化合物、具有羟基和/或醚键的烷基胺衍生物、具有羟基和/或醚键的苯胺衍生物等。
作为优选的化合物的具体例,能够举出US2012/0219913A1[0379]中例示的化合物。
作为优选的碱性化合物,还能够举出具有苯氧基的胺化合物、具有苯氧基的铵盐化合物、具有磺酸酯基的胺化合物及具有磺酸酯基的铵盐化合物。
这些碱性化合物可以单独使用1种,也可以组合2种以上而使用。
本发明的抗蚀剂组合物可以含有碱性化合物也可以不含有碱性化合物,但是含有的情况下,碱性化合物的含有率以组合物的固体成分为基准,通常为0.001~10质量%,优选为0.01~5质量%。
光产酸剂与碱性化合物的组合物中的使用比例优选光产酸剂/碱性化合物(摩尔比)=2.5~300,更优选为5.0~200,进一步优选为7.0~150。
具有氮原子并具有在酸的作用下脱离的基团的低分子化合物(以下,也称为“化合物(C)”。)优选在氮原子上具有通过酸的作用脱离的基团的胺衍生物。
作为在酸的作用下脱离的基团,优选缩醛基、碳酸酯基、胺基甲酸酯基、叔酯基、叔羟基、半胺缩醛醚基,尤其优选胺基甲酸酯基、半胺缩醛醚基。
化合物(C)的分子量优选100~1000,更优选100~700,尤其优选100~500。
化合物(C)可以具有在氮原子上具有保护基的胺基甲酸酯基。作为构成胺基甲酸酯基的保护基,能够由下述通式(d-1)表示。
[化合物38]
通式(d-1)中,
Rb分别独立地表示氢原子、烷基(优选碳原子数1~10)、环烷基(优选碳原子数3~30)、芳基(优选碳原子数3~30)、芳烷基(优选碳原子数1~10)或烷氧基烷基(优选碳原子数1~10)。Rb可以彼此连接而形成环。
Rb所表示的烷基、环烷基、芳基、芳烷基可以被羟基、氰基、氨基、吡咯啶基、哌啶基、吗啉基、氧代基等官能团、烷氧基、卤原子取代。关于Rb所表示的烷氧基烷基也相同。
作为Rb,优选为直链状或支链状的烷基、环烷基、芳基。更优选为直链状或支链状的烷基、环烷基。
作为2个Rb彼此连接而形成的环,可举出脂环式烃基、芳香烃、杂环式烃基或其衍生物等。
作为由通式(d-1)表示的基团的具体的结构,能够举出US2012/0135348A1[0466]中公开的结构,但是并不限定于此。
尤其优选化合物(C)具有由下述通式(6)表示的结构。
[化合物39]
/>
通式(6)中,Ra表示氢原子、烷基、环烷基、芳基或芳烷基。l为2时,2个Ra可以相同也可以不同,2个Ra可以彼此连接而与式中的氮原子一同形成杂环。该杂环中也可包含除了式中的氮原子以外的杂原子。
Rb的含义与上述通式(d-1)中的Rb相同,优选的例子也相同。
l表示0~2的整数,m表示1~3的整数,满足l+m=3。
通式(6)中,作为Ra的烷基、环烷基、芳基、芳烷基,可以被与作为Rb的烷基、环烷基、芳基、芳烷基可以被取代的基团的前述的基团相同的基团取代。
作为上述Ra的烷基、环烷基、芳基及芳烷基(这些烷基、环烷基、芳基及芳烷基可以被上述基团取代)的具体例,可举出与针对Rb前述的具体例相同的基团。
作为本发明中的尤其优选的化合物(C)的具体例,能够举出US2012/0135348A1[0475]中公开的化合物,但是并不限定于此。
由通式(6)表示的化合物能够根据日本特开2007-298569号公报、日本特开2009-199021号公报等来合成。
本发明中,在氮原子上具有在酸的作用下脱离的基团的低分子化合物(C)能够单独使用一种或混合2种以上而使用。
本发明的抗蚀剂组合物中的化合物(C)的含量以组合物的总固体成分为基准,优选为0.001~20质量%,更优选为0.001~10质量%,进一步优选为0.01~5质量%。
通过光化射线或辐射线的照射碱性降低或消失的碱性化合物(以下,也称为“化合物(PA)”。)为具有质子受体性官能团并且通过光化射线或辐射线的照射分解从而质子受体性降低、消失或从质子受体性改变为酸性的化合物。
质子受体性官能团是指能够与质子静电相互作用的基团或具有电子的官能团,例如是指具有环状聚醚等大环化合物结构的官能团或含有具有无助于π共轭的未共享电子对的氮原子的官能团。具有无助于π共轭的未共享电子对的氮原子是指,例如具有下述式所示的部分结构的氮原子。
[化合物40]
作为质子受体性官能团的优选的部分结构,例如能够举出冠醚、氮杂冠醚、伯~叔胺、吡啶、咪唑、吡嗪结构等。
化合物(PA)产生通过光化射线或辐射线的照射而分解从而质子受体性降低、消失或从质子受体性改变成酸性的化合物。在此,质子受体性的降低、消失或从质子受体性到酸性的变化是指因质子加成到质子受体性官能团而引起的质子受体性的变化,具体而言,从具有质子受体性官能团的化合物(PA)与质子生成质子加成物时,其化学平衡中的平衡常数降低。
质子受体性能够通过进行pH测量来确认。
本发明中,优选化合物(PA)通过光化射线或辐射线的照射分解并产生的化合物的酸解离常数pKa满足pKa<-1,更优选为-13<pKa<-1,进一步优选为-13<pKa<-3。
本发明中,酸解离常数pKa表示水溶液中的酸解离常数pKa,例如为化学手册(II)(改订4版、1993年、日本化学会编、MARUZEN Co.,Ltd.)中记载的酸解离常数pKa,该值越低表示酸强度越大。关于水溶液中的酸解离常数pKa,具体而言,能够通过使用无限稀释水溶液,测量在25℃下的酸解离常数进行实际测量,并且,也能够通过使用下述套装软件(Software package)1并计算基于哈米特取代基常数及公知文献值的数据库的值来求出。本说明书中记载的pKa的值均表示通过使用该套装软件进行计算而求出的值。
套装软件1:Advanced Chemistry Development(ACD/Labs)Software V8.14 forSolaris(1994-2007ACD/Labs)。
化合物(PA)作为通过光化射线或辐射线的照射分解并产生的上述质子加成物,例如产生由下述通式(PA-1)表示的化合物。由通式(PA-1)表示的化合物与质子受体性官能团一同具有酸性基团,由此与化合物(PA)相比,为质子受体性降低、消失或从质子受体性改变成酸性的化合物。
[化合物41]
Q-A-(X)n-B-R (PA-1)
通式(PA-1)中,
Q表示-SO3H、-CO2H或-W1NHW2Rf。其中,Rf表示烷基(优选碳原子数1~20)、环烷基(优选碳原子数3~20)或芳基(优选碳原子数6~30),W1及W2分别独立地表示-SO2-或-CO-。
A表示单键或2价的连接基。
X表示-SO2-或-CO-。
n表示0或1。
B表示单键、氧原子或-N(Rx)Ry-。其中,Rx表示氢原子或1价的有机基团,Ry表示单键或2价的有机基团。Rx可以与Ry键合而形成环,也可以与R键合而形成环。
R表示具有质子受体性官能团的1价的有机基团。
化合物(PA)优选为离子性化合物。质子受体性官能团可以包含于阴离子部、阳离子部中的任一个,但优选包含于阴离子部位。
并且,本发明中,也能够适当选择除了产生由通式(PA-1)表示的化合物的化合物以外的化合物(PA)。例如,也可以使用离子性化合物且在阳离子部具有质子受体部位的化合物。更具体而言,可举出由下述通式(7)表示的化合物等。
[化合物42]
式中,A表示硫原子或碘原子。
m表示1或2,n表示1或2。但是,A为硫原子时,m+n=3,A为碘原子时,m+n=2。
R表示芳基。
RN表示被质子受体性官能团取代的芳基。X-表示抗衡阴离子。
作为X-的具体例,能够举出与前述的光产酸剂的阴离子相同的离子。
作为R及RN的芳基的具体例,优选地举出苯基。
作为RN所具有的质子受体性官能团的具体例,与前述的式(PA-1)中说明的质子受体性官能团相同。
以下,作为在阳离子部具有质子受体部位的离子性化合物的具体例,能够举出US2011/0269072A1[0291]中例示的化合物。
另外,这种化合物例如能够参考日本特开2007-230913号公报及日本特开2009-122623号公报等中记载的方法来合成。
化合物(PA)可以单独使用1种,也可以组合2种以上而使用。
化合物(PA)的含量以组合物的总固体成分为基准,优选0.1~10质量%,更优选1~8质量%。
本发明的抗蚀剂组合物中,能够将相对于光产酸剂为相对弱酸的鎓盐用作酸扩散控制剂。
混合使用光产酸剂及产生相对于从光产酸剂产生的酸为相对弱酸的酸的鎓盐的情况下,若通过光化射线性或辐射线的照射从光产酸剂产生的酸与具有未反应的弱酸阴离子的鎓盐发生冲突,则通过盐交换释放弱酸而产生具有强酸阴离子的鎓盐。在该过程中,由于将强酸交换成催化性能更低的弱酸,因此酸明显失活而能够进行酸扩散的控制。
作为相对于光产酸剂为相对弱酸的鎓盐,优选为由下述通式(d1-1)~(d1-3)表示的化合物。
[化合物43]
式中,R51为可以具有取代基的烃基,Z2c为可以具有取代基的碳原子数1~30的烃基(其中,在与S相邻的碳上未取代有氟原子),R52为有机基团,Y3为直链状、支链状或环状的亚烷基或亚芳基,Rf为包含氟原子的烃基,M+分别独立地为锍或碘阳离子。
作为M+所表示的锍阳离子或碘阳离子的优选的例子,能够举出由通式(ZI)例示的锍阳离子及由通式(ZII)例示的碘阳离子。
作为由通式(d1-1)表示的化合物的阴离子部的优选的例子,能够举出日本特开2012-242799号公报的〔0198〕段中例示的结构。
作为由通式(d1-2)表示的化合物的阴离子部的优选的例子,能够举出日本特开2012-242799号公报的〔0201〕段中例示的结构。
作为由通式(d1-3)表示的化合物的阴离子部的优选的例子,能够举出日本特开2012-242799号公报的〔0209〕及〔0210〕段中例示的结构。
相对于光产酸剂为相对弱酸的鎓盐可以为在同一分子内具有(C)阳离子部位及阴离子部位,并且,该阳离子部位与阴离子部位通过共价键连接的化合物(以下,也称为“化合物(CA)”。)。
作为化合物(CA),优选为由下述通式(C-1)~(C-3)中的任一个表示的化合物。
[化合物44]
通式(C-1)~(C-3)中,
R1、R2、R3表示碳原子数1以上的取代基。
L1表示连接阳离子部位及阴离子部位的2价的连接基或单键。
-X-表示选自-COO-、-SO3 -、-SO2 -、-N--R4的阴离子部位。R4表示在与相邻的N原子的连接部位具有羰基:-C(=O)-、磺酰基:-S(=O)2-、亚磺酰基:-S(=O)-的1价的取代基。
R1、R2、R3、R4、L1可以彼此键合而形成环结构。并且,在(C-3)中,也可以将R1~R3中的2个对应,与N原子形成双键。
作为R1~R3中的碳原子数1以上的取代基,可举出烷基、环烷基、芳基、烷基氧羰基、环烷基氧羰基、芳基氧羰基、烷基氨基羰基、环烷基氨基羰基、芳基氨基羰基等。优选为烷基、环烷基、芳基。
作为2价的连接基的L1可举出直链或支链状亚烷基、亚环烷基、亚芳基、羰基、醚键、酯键、酰胺键、氨基甲酸酯基、脲键及组合它们的2种以上而成的基团等。L1更优选为亚烷基、亚芳基、醚键、酯键及组合它们的2种以上而成的基团。
作为由通式(C-1)表示的化合物的优选的例子,能够举出日本特开2013-006827号公报的〔0037〕~〔0039〕段及日本特开2013-008020号公报的〔0027〕~〔0029〕段中例示的化合物。
作为由通式(C-2)表示的化合物的优选的例子,能够举出日本特开2012-189977号公报的〔0012〕~〔0013〕段中例示的化合物。
作为由通式(C-3)表示的化合物的优选的例子,能够举出日本特开2012-252124号公报的〔0029〕~〔0031〕段中例示的化合物。
相对于光产酸剂为相对弱酸的鎓盐的含量以组合物的固体成分基准计,优选为0.5~10.0质量%,更优选为0.5~8.0质量%,进一步优选为1.0~8.0质量%。
[4]溶剂
本发明的抗蚀剂组合物通常含有溶剂。
作为能够在制备组合物时所使用的溶剂,例如能够举出亚烷基二醇单烷基醚羧酸酯、亚烷基二醇单烷基醚、乳酸烷基酯、烷氧基丙酸烷基酯、环状内酯(优选碳原子数4~10)、可以具有环的单酮化合物(优选碳原子数4~10)、亚烷基碳酸酯、烷氧基乙酸烷基酯、丙酮酸烷基酯等有机溶剂。
这些溶剂的具体例能够举出美国专利申请公开2008/0187860号说明书[0441]~[0455]中记载的溶剂。
本发明中,作为有机溶剂也可以使用混合了结构中含有羟基的溶剂与不含有羟基的溶剂的混合溶剂。
作为含有羟基的溶剂、不含有羟基的溶剂,能够适当选择前述的例示化合物,但是作为含有羟基的溶剂,优选亚烷基二醇单烷基醚、乳酸烷基酯等,更优选丙二醇单甲基醚(PGME、别名1-甲氧基-2-丙醇)、乳酸乙酯、2-羟基异丁酸甲酯。并且,作为不含有羟基的溶剂,优选亚烷基二醇单烷基醚乙酸酯、烷基烷氧基丙酸酯、可以含有环的单酮化合物、环状内酯、乙酸烷基酯等,这些中,尤其优选丙二醇单甲基醚乙酸酯(PGMEA、别名1-甲氧基-2-乙酰氧基丙烷)、乙基乙氧基丙酸酯、2-庚酮、γ-丁内酯、环己酮、乙酸丁酯,最优选丙二醇单甲基醚乙酸酯、乙基乙氧基丙酸酯、2-庚酮。
含有羟基的溶剂与不含有羟基的溶剂的混合比(质量)为1/99~99/1,优选为10/90~90/10,进一步优选为20/80~60/40。从涂布均匀性的观点考虑,尤其优选含有50质量%以上的不含有羟基的溶剂的混合溶剂。
溶剂优选包含丙二醇单甲基醚乙酸酯,优选为丙二醇单甲基醚乙酸酯单独溶剂或含有丙二醇单甲基醚乙酸酯的2种以上的混合溶剂。
[5]表面活性剂
本发明的抗蚀剂组合物还可以含有表面活性剂,也可以不包含表面活性剂,含有的情况下,更优选含有氟类和/或硅类表面活性剂(氟类表面活性剂、硅类表面活性剂、具有氟原子与硅原子这两者的表面活性剂)中的任一个或2种以上。
通过本发明的抗蚀剂组合物含有表面活性剂,使用250nm以下、尤其220nm以下的曝光光源时,能够以良好的灵敏度及分辨率提供密合性及显影缺陷少的抗蚀剂图案。
作为氟类和/或硅类表面活性剂,能够举出美国专利申请公开第2008/0248425号说明书的[0276]段中记载的表面活性剂。
并且,本发明中,也能够使用除了美国专利申请公开第2008/0248425号说明书的[0280]段中记载的氟类和/或硅类表面活性剂以外的其他表面活性剂。
这些表面活性剂可以单独使用,并且,也可以几种组合使用。
本发明的抗蚀剂组合物含有表面活性剂的情况下,表面活性剂的使用量相对于组合物的总固体成分,优选为0.0001~2质量%,更优选为0.0005~1质量%。
[6]其他添加剂
本发明的抗蚀剂组合物可以含有羧酸鎓盐也可以不含有羧酸鎓盐。这种羧酸鎓盐能够举出美国专利申请公开2008/0187860号说明书[0605]~[0606]中记载的羧酸鎓盐。
这些羧酸鎓盐能够通过使氢氧化锍、氢氧化碘、氢氧化铵及羧酸在适当的溶剂中与氧化银反应来合成。
本发明的抗蚀剂组合物含有羧酸鎓盐的情况下,其含量相对于组合物的总固体成分,通常为0.1~20质量%,优选为0.5~10质量%,进一步优选为1~7质量%。
本发明的抗蚀剂组合物中,根据需要,还能够含有酸增殖剂、染料、增塑剂、光敏剂、光吸收剂、碱可溶性树脂、溶解抑制剂及促进相对于显影液的溶解性的化合物(例如,分子量1000以下的苯酚化合物、具有羧基的脂环族或脂肪族化合物)等。
这种分子量1000以下的苯酚化合物,例如参考日本特开平4-122938号公报、日本特开平2-028531号公报、美国专利第4,916,210、欧州专利第219294等中记载的方法,本技术领域人员能够轻易地合成。
作为具有羧基的脂环族或脂肪族化合物的具体例,可举出胆酸、脱氧胆酸、石胆酸等具有类固醇结构的羧酸衍生物、金刚烷羧酸衍生物、金刚烷二羧酸、环己烷羧酸、环己烷二羧酸等,但是并不限定于这些。
本发明的抗蚀剂组合物的固体成分浓度通常为1.0~20质量%,优选为2.0~15质量%,进一步优选为2.0~10质量%。通过将固体成分浓度设为上述范围,能够将抗蚀剂溶液均匀地涂布于基板上,还能够形成线宽粗糙度优异的抗蚀剂图案。其理由虽不明确,但是认为可能是通过将固体成分浓度设为20质量%以下,由此抑制抗蚀剂溶液中的原材料、尤其光产酸剂的凝聚,其结果,能够形成均匀的抗蚀剂膜。
固体成分浓度是指相对于组合物的总重量的除了溶剂以外的其他抗蚀剂成分的重量的重量百分率。
本发明的抗蚀剂组合物的制备方法并无特别限制,但是将上述的各成分溶解于特定有机溶剂、优选为上述混合溶剂,并优选进行过滤器过滤。优选过滤器过滤中所使用的过滤器的孔径尺寸为0.1μm以下,更优选为0.05μm以下,进一步优选为0.03μm以下的聚四氟乙烯制、聚乙烯制、尼龙制。过滤器过滤中,例如如日本特开2002-062667号公报,可以进行循环的过滤或将多种类的过滤器串联或并联连接而进行过滤。并且,可以将组合物过滤多次。另外,在过滤器过滤前后,也可以对组合物进行除气处理等。
〔工序(2)的步骤〕
工序(2)的步骤并无特别限制,但是可举出将抗蚀剂组合物涂布于抗蚀剂下层膜上,并根据需要,实施固化处理的方法(涂布法)或在伪支撑体上形成抗蚀剂膜,并在基板上转印抗蚀剂膜的方法等。其中,从生产性优异的观点考虑,优选涂布法。
〔抗蚀剂膜〕
通过上述理由,抗蚀剂膜的膜厚为1μm以下,更优选为700nm以下,进一步优选为500nm以下。
并且,抗蚀剂膜的膜厚优选为1nm以上,优选为10nm以上,进一步优选为100nm以上为。将组合物中的固体成分浓度设定成适当的范围而使其具有适当的粘度,提高涂布性、制膜性,由此能够制备这种膜厚。
为了减少抗蚀剂图案的剥离或倒塌,可以在抗蚀剂下层膜与抗蚀剂膜之间设置密合辅助层。
作为密合辅助层的形成方法,较佳地举出在抗蚀剂下层膜上形成具有聚合性基团的密合辅助层的方法。关于通过本方法形成的密合辅助层中的聚合性基团,由于在抗蚀剂下层膜及抗蚀剂膜之间形成化学或物理键合,因此其结果,认为在抗蚀剂下层膜与抗蚀剂膜之间显现优异的密合性。
密合辅助层优选具有聚合性基团。更具体而言,优选形成密合辅助层的材料(尤其,优选树脂)具有聚合性基团。
聚合性基团的种类并无特别限制,但是例如可举出(甲基)丙烯酰基、环氧基、氧杂环丁基、马来酰亚胺基、衣康酸酯基、巴豆酸酯基、异巴豆酸酯基、马来酸酯基、苯乙烯基、乙烯基、丙烯酰胺基、甲基丙烯酰胺基等。其中,优选(甲基)丙烯酰基、环氧基、氧杂环丁基、马来酰亚胺基,更优选(甲基)丙烯酰基。
密合辅助层的厚度并无特别限制,但是从能够形成更加高精度的微细图案的理由考虑,优选为1~100nm,更优选为1~50nm,进一步优选为1~10nm,尤其优选为1~5nm。
上述密合辅助层的形成方法并无特别限制,但是可举出将密合辅助层形成用组合物涂布于抗蚀剂下层膜上,并根据需要,实施固化处理来形成上述密合辅助层的方法(涂布法)或在伪支撑体上形成密合辅助层,并在抗蚀剂下层膜上转印密合辅助层的方法等。其中,从生产性优异的观点考虑,优选涂布法。
作为在抗蚀剂下层膜上涂布密合辅助层形成用组合物的方法,并无特别限制,能够使用公知的方法,但是半导体制造领域中优选使用旋转涂布法。
在抗蚀剂下层膜上涂布密合辅助层形成用组合物之后,也可以根据需要进行固化处理。固化处理并无特别限制,但是例如可举出曝光处理或加热处理等。
曝光处理中可以使用基于UV灯、可见光线等的光照射等。作为光源,例如有汞灯、金属卤化物灯、氙气灯、化学灯、碳弧灯等。作为辐射线,也有电子束、X射线、离子束、远红外线等。作为具体的方式,可较佳地举出基于红外线激光的扫描曝光、氙气放电灯等高照度闪光灯曝光或红外线灯曝光等。
作为曝光时间,因聚合物的反应性及光源而不同,但是通常为10秒钟~5小时之间。作为曝光能量,只要为10~10000mJ/cm2左右即可,优选为100~8000mJ/cm2的范围。
并且,使用加热处理的情况下,能够使用送风干燥机、烘箱、红外线干燥机、加热鼓等。
也可以组合曝光处理及加热处理。
[工序(3):曝光工序]
工序(3)为向工序(2)中所形成的膜(抗蚀剂膜)照射(曝光)光化射线或辐射线的工序。
如上述那样,抗蚀剂膜的膜厚设为1μm以下,其膜厚设定成较薄。从而,曝光时的光难以被抗蚀剂膜中的树脂等吸收,光容易到达曝光部的底部。其结果,本发明具有抗蚀剂膜的曝光灵敏度高等优点。
曝光中所使用的光并无特别限制,但是例如能够举出红外光、可见光、紫外光、远紫外光、极紫外光、X射线、电子束等。可举出优选为250nm以下、更优选为220nm以下、进一步优选为1~200nm的波长的远紫外光。
更具体而言,可举出KrF准分子激光(248nm)、ArF准分子激光(193nm)、F2准分子激光(157nm)、X射线、EUV(13nm)、电子束等,其中,优选为KrF准分子激光、ArF准分子激光、EUV或电子束,更优选为KrF准分子激光或ArF准分子激光,进一步优选为KrF准分子激光。
曝光工序中能够应用液浸曝光方法。液浸曝光方法能够与相移法、变形照明法等超分辨技术组合。液浸曝光能够按照例如日本特开2013-242397号公报的[0594]~[0601]段中记载的方法来进行。
工序(3)中,优选通过KrF曝光、ArF曝光及ArF液浸曝光中的任一个来曝光抗蚀剂膜,优选通过KrF曝光进行曝光。
工序(3)之后,在后述的工序(4)之前,也可以对在工序(3)中照射了(曝光了)光化射线或辐射线的膜实施加热处理(PEB:Post Exposure Bak e,中烘)。通过本工序促进曝光部的反应。加热处理(PEB)也可以进行多次。
加热处理的温度优选为70~130℃,更优选为80~120℃。
加热处理的时间优选30~300秒钟,更优选30~180秒钟,进一步优选为30~90秒钟。
加热处理能够以通常的曝光·显影机中所具备的机构进行,也可以使用加热板等来进行。
[工序(4):显影工序]
工序(4)为对在工序(3)中照射了(曝光了)光化射线或辐射线的膜进行显影来形成抗蚀剂图案的工序。
作为抗蚀剂图案的优选的实施方式,能够举出具有线宽5000nm以下的线部的抗蚀剂图案。该实施方式中,线部的线宽更优选为1000nm以下,进一步优选为500nm以下。并且,线部的线宽通常为10nm以上。
形成具有这种范围的线宽的线部的抗蚀剂图案的情况下,工序(5)之后最终得到的图案(最终图案)的截面形状有成为纵长形状(即,纵横比较大的形状)的倾向。通常,具有纵长形状的截面的图案存在容易倒塌的倾向,但是本发明由于先前记载的理由而抗蚀剂下层膜图案难以倒塌,因此在具有上述范围的线宽的线部的最终图案的形成中非常有用。
工序(4)优选通过显影液对经曝光的抗蚀剂膜进行显影来形成抗蚀剂图案的工序,显影液可以为碱显影液,也可以为包含有机溶剂的显影液。
作为碱显影液,通常可以使用以四甲基氢氧化铵为代表的季铵盐,但是除此以外也能够使用无机碱、伯~叔胺、醇胺、环状胺等碱水溶液。
具体而言,作为碱显影液,例如能够使用氢氧化钠、氢氧化钾、碳酸钠、硅酸钠、偏硅酸钠、氨水等无机碱类;乙胺、正丙基胺等伯胺类;二乙胺、二正丁基胺等仲胺类;三乙胺、甲基二乙胺等叔胺类;二甲基乙醇胺、三乙醇胺等醇胺类;四甲基氢氧化铵、四乙基氢氧化铵等季铵盐;吡咯、哌啶等环状胺类;等碱性水溶液。这些之中,优选使用四乙基氢氧化铵的水溶液。
另外,也可以向上述碱显影液添加适当量的醇类、表面活性剂。碱显影液的碱浓度通常为0.1~20质量%。碱显影液的pH通常为10.0~15.0。
使用碱显影液进行显影的时间通常为10~300秒钟。
碱显影液的碱浓度(及pH)及显影时间根据所形成的图案能够适当调整。
在使用碱显影液的显影之后也可以使用冲洗液进行清洗,作为其冲洗液,使用纯水,也能够适当添加表面活性剂来使用。
并且,在显影处理或冲洗处理之后,能够进行通过超临界流体去除附着于图案上的显影液或冲洗液的处理。
另外,在基于冲洗处理或超临界流体的处理之后,为了去除残留于图案中的水分而能够进行加热处理。
作为有机类显影液,能够使用酮类溶剂、酯类溶剂、醇类溶剂、酰胺类溶剂、醚类溶剂等极性溶剂及烃类溶剂,具体而言例如可举出日本特开2014-048500号公报的[0461]~[0463]段中记载的溶剂,除此之外,还可举出2-羟基异丁酸甲基、丁酸丁基、异丁酸异丁基、丙酸丁基、丁烷酸丁基及乙酸异戊基。
上述的溶剂可以混合多个,也可以混合除了上述以外的溶剂或水来使用。但是,为了充分发挥本发明的效果,优选作为显影液整体的含水率小于10质量%,更优选实际上不含有水分。
即,关于有机类显影液的有机溶剂的使用量相对于显影液的总量,优选90质量%以上且100质量%以下,优选95质量%以上且100质量%以下。
尤其,优选有机类显影液为含有选自包括酮类溶剂、酯类溶剂、醇类溶剂、酰胺类溶剂及醚类溶剂的组中的至少1种的有机溶剂的显影液。
有机类显影液的蒸气压在20℃中优选5kPa以下,更优选3kPa以下,尤其优选2kPa以下。通过将有机类显影液的蒸气压设为5kPa以下,可抑制显影液在基板上或显影杯内的蒸发,提高晶片面内的温度均匀性,作为结果,晶片面内的尺寸均匀性得到改善。
有机类显影液中能够根据需要适当添加表面活性剂。
作为表面活性剂并无特别限定,但是例如能够使用离子性或非离子性氟类和/或硅类表面活性剂等。作为这些氟和/或硅类表面活性剂,例如能够举出日本特开昭62-036663号公报、日本特开昭61-226746号公报、日本特开昭61-226745号公报、日本特开昭62-170950号公报、日本特开昭63-034540号公报、日本特开平7-230165号公报、日本特开平8-062834号公报、日本特开平9-054432号公报、日本特开平9-005988号公报、美国专利第5405720号说明书、美国专利第5360692号说明书、美国专利第5529881号说明书、美国专利第5296330号说明书、美国专利第5436098号说明书、美国专利第5576143号说明书、美国专利第5294511号说明书、美国专利第5824451号说明书记载的表面活性剂,优选为非离子性的表面活性剂。作为非离子性表面活性剂并无特别限定,但进一步优选使用氟类表面活性剂或硅类表面活性剂。
表面活性剂的使用量相对于显影液的总量,通常为0.001~5质量%,优选为0.005~2质量%,进一步优选为0.01~0.5质量%。
有机类显影液可以包含碱性化合物。作为本发明中所使用的有机类显影液能够包含的碱性化合物的具体例及优选的例子,与作为酸扩散控制剂进行前述的组合物能够包含的碱性化合物中的那些相同。
作为显影方法,例如能够应用在盛满显影液的槽中将基板浸渍一定时间的方法(浸渍法)、在基板表面使显影液因表面张力而隆起,静置一定时间,从而进行显影的方法(旋覆浸没法)、在基板表面喷涂显影液的方法(喷涂法)、在以恒定速度旋转的基板上以恒定速度扫描显影液喷出喷嘴的同时喷出显影液的方法(动态分配法)等。另外,关于调整所喷出的显影液的喷出压力的较佳的范围及显影液的喷出压力的方法等,并无特别限定,但是例如能够使用日本特开2013-242397号公报的[0631]~[0636]中记载的范围及方法。
本发明的图案形成方法中,也可以组合使用利用碱显影液进行显影的工序(碱性显影工序)及利用包含有机溶剂的显影液来进行显影的工序。由此,能够形成更加微细的图案。
本发明中,通过有机溶剂显影工序去除曝光强度弱的部分,进而通过进行碱性显影工序来去除曝光强度强的部分。如此,通过进行多次显影的多重显影工序,可仅不溶解中间的曝光强度的区域而进行图案形成,因此能够形成比通常微细的图案(与日本特开2008-292975号公报的[0077]段相同的机制)。
本发明的图案形成方法中,碱性显影工序及有机溶剂显影工序的步骤并无特别限定,但更优选在有机溶剂显影工序之前进行碱性显影。
使用包含有机溶剂的显影液来进行显影的工序之后,优选包括使用冲洗液进行清洗的工序。
作为使用包含有机溶剂的显影液来进行显影的工序之后的冲洗工序中所使用的冲洗液,只要不溶解抗蚀剂图案则无特别限制,能够使用包含通常的有机溶剂的溶液。作为冲洗液,优选使用含有选自包括烃类溶剂、酮类溶剂、酯类溶剂、醇类溶剂、酰胺类溶剂及醚类溶剂的组中的至少1种的有机溶剂的冲洗液。
作为烃类溶剂、酮类溶剂、酯类溶剂、醇类溶剂、酰胺类溶剂及醚类溶剂的具体例,能够举出与在包含有机溶剂的显影液中说明的溶剂相同的溶剂。
在使用包含有机溶剂的显影液来进行显影的工序之后,更优选进行使用含有选自包括酮类溶剂、酯类溶剂、醇类溶剂、酰胺类溶剂、烃类溶剂的组中的至少1种的有机溶剂的冲洗液来进行清洗的工序,进一步优选进行使用含有醇类溶剂或酯类溶剂的冲洗液来进行清洗的工序,尤其优选进行使用含有1价醇的冲洗液来进行清洗的工序,最优选进行使用含有碳原子数5以上的1价醇的冲洗液来进行清洗的工序。
作为含有烃类溶剂的冲洗液,优选碳原子数6~30的烃化合物,更优选碳原子数8~30的烃化合物,尤其优选碳原子数10~30的烃化合物。其中,通过使用包含癸烷和/或十一烷的冲洗液可抑制图案倒塌。
作为有机溶剂使用酯类溶剂的情况下,除了酯类溶剂(1种或2种以上)以外,还可以使用二醇醚类溶剂。作为这种情况的具体例,可举出将酯类溶剂(优选乙酸丁酯)用作主成分,并将二醇醚类溶剂(优选丙二醇单甲基醚(PGME))用作副成分的情况。由此,可更加抑制残渣缺陷。
其中,作为冲洗工序中所使用的1价醇,可举出直链状、支链状、环状的1价醇,具体而言,能够使用1-丁醇、2-丁醇、3-甲基-1-丁醇、叔丁醇、1-戊醇、2-戊醇、1-己醇、4-甲基-2-戊醇、1-庚醇、1-辛醇、2-己醇、环戊醇、2-庚醇、2-辛醇、3-己醇、3-庚醇、3-辛醇、4-辛醇等,作为尤其优选的碳原子数5以上的1价醇,能够使用1-己醇、2-己醇、4-甲基-2-戊醇、1-戊醇、3-甲基-1-丁醇等。
各成分可以混合多个,也可以与除了上述以外的有机溶剂混合而使用。
优选冲洗液中的含水率为10质量%以下,更优选为5质量%以下,尤其优选为3质量%以下。通过将含水率设为10质量%以下,能够得到良好的显影特性。
使用包含有机溶剂的显影液来进行显影的工序之后所使用的冲洗液的蒸气压在20℃下优选0.05kPa以上且5kPa以下,进一步优选0.1kPa以上且5kPa以下,最优选0.12kPa以上且3kPa以下。通过将冲洗液的蒸气压设为0.05kPa以上且5kPa以下,提高晶片面内的温度均匀性,还可抑制因冲洗液的浸透而引起的膨润,晶片面内的尺寸均匀性得到改善。
冲洗液中也能够添加适当量的表面活性剂而使用。
冲洗工序中,使用包含上述的有机溶剂的冲洗液对进行了使用包含有机溶剂的显影液的显影的晶片进行清洗处理。清洗处理的方法并无特别限定,但例如能够应用在以恒定速度旋转的基板上持续喷出冲洗液的方法(旋转涂布法)、在盛满冲洗液的槽中将基板浸渍一定时间的方法(浸渍法)、向基板表面喷涂冲洗液的方法(喷涂法)、等,其中,优选通过旋转涂布方法进行清洗处理,并在清洗之后使其以2000rpm~4000rpm的转速进行旋转,从而从基板上去除冲洗液。并且,也优选冲洗工序之后包括加热工序(Post Bake)。去除通过烘烤而残留于图案间及图案内部的显影液及冲洗液。冲洗工序之后的加热工序通常为40~160℃,优选为70~95℃,通常进行10秒钟~3分钟,优选进行30秒钟到90秒钟。
本发明的抗蚀剂组合物及本发明的图案形成方法中所使用的各种材料(例如,显影液、冲洗液等)优选不包含金属等杂质。作为金属杂质成分,例如能够举出Na、K、Ca、Fe、Cu、Mn、Mg、Al、Cr、Ni、Zn、Ag、Sn、Pb及Li。作为这些材料中所包含的杂质的总计含量,优选1ppm(parts per million)以下,更优选10ppb以下,进一步优选100ppt(parts pertrillion)以下,尤其优选10ppt以下,最优选1ppt以下。
作为从上述各种材料去除金属等杂质的方法,例如能够举出使用了过滤器的过滤。作为过滤器孔径,优选孔径尺寸50nm以下,更优选10nm以下,进一步优选5nm以下。作为过滤器的材质,优选聚四氟乙烯制、聚乙烯制、尼龙制的过滤器。过滤器过滤工序中,可以串联或并联地连接多种过滤器而使用。使用多种过滤器的情况下,也可以组合孔径和/或材质不同的过滤器来使用。并且,可以对各种材料进行多次过滤,多次过滤的工序也可以为循环过滤工序。
并且,作为降低上述各种材料中所包含的金属等杂质的方法,能够举出作为构成各种材料的原料选择金属含量少的原料、对构成各种材料的原料进行过滤器过滤等方法。对构成各种材料的原料进行的过滤器过滤中的优选的条件与上述的条件相同。
除了过滤器过滤以外,还可以通过吸附材料去除杂质,也可以组合过滤器过滤及吸附材料来使用。作为吸附材料,能够使用公知的吸附材料,例如能够使用硅胶、沸石等无机类吸附材料、活性碳等有机类吸附材料。
为了降低上述各种材料中所包含的金属等杂质,需要防止制造工序中的金属杂质的混入。对于是否从制造装置充分去除了金属杂质,能够通过测量制造装置的清洗中所使用的清洗液中所包含的金属成分的含量来确认。使用后的清洗液中所包含的金属成分的含量优选100ppt(parts per trillion)以下,进一步优选10ppt以下,尤其优选1ppt以下。
本发明的抗蚀剂组合物及本发明的图案形成方法中所使用的有机类处理液(抗蚀剂溶剂、显影液、冲洗液等)为了防止伴随静电的帯电、继续产生的静电放电的药液配管或各种部件(过滤器、O型环、软管等)的故障,也可以添加导电性化合物。作为导电性化合物并无特别限制,但是例如可举出甲醇。添加量并无特别限制,但是从维持优选的显影特性的观点考虑,优选10质量%以下,进一步优选为5质量%以下。关于药液配管的部件,能够使用被SUS(不锈钢)或实施了防静电处理的聚乙烯、聚丙烯或氟树脂(聚四氟乙烯、全氟烷氧基树脂等)覆膜的各种配管。关于过滤器或O型环也能够相同地使用实施了防静电处理的聚乙烯、聚丙烯或氟树脂(聚四氟乙烯、全氟烷氧基树脂等)。
对通过本发明的方法形成的图案,也可以应用改善图案的表面粗糙度的方法。作为改善图案的表面粗糙度的方法,例如可举出WO2014/002808A1中公开的通过含有氢气的气体的等离子处理抗蚀剂图案的方法。除此以外,也可以应用日本特开2004-235468号公报、US2010/0020297A、日本特开2008-083384号公报、Proc.of SPIE Vol.8328 83280N-1”EUV Resist Curing Technique fo r LWR Reduction and Etch SelectivityEnhancement”中记载的公知的方法。
本发明的图案形成方法也能够使用DSA(Directed Self-Assembly)中的导引图案形成(例如参考ACS Nano Vol.4No.8Page4815-4823)。
并且,通过上述方法形成的抗蚀剂图案能够用作例如日本特开平3-270227号公报及日本特开2013-164509号公报中公开的间隔物工序的芯材(core)。
并且,对通过本发明的方法形成的图案也可以应用图案微细化工序。作为图案微细化工序,例如可举出如日本特开2013-145290号公报及日本特开2014-071424号公报中所示那样,将微细化用组合物涂布于图案上并进行加热来使抗蚀剂图案宽度变粗的方法。另外,为了维持微细化工序后的抗蚀剂图案的耐蚀刻性,优选微细化用组合物含有硅原子。
[工序(5):图案形成工序]
工序(5)为将在工序(4)中所形成的抗蚀剂图案作为掩模,对抗蚀剂下层膜进行加工来形成图案的工序。
抗蚀剂下层膜的加工方法并无特别限定,但优选工序(5)为将抗蚀剂图案作为掩模,并对抗蚀剂下层膜进行干式蚀刻来形成图案的工序。
干式蚀刻可以为1段的蚀刻,也可以为包含多个段的蚀刻。蚀刻为包含多个段的蚀刻的情况下,各段的蚀刻可以为相同的处理,也可以为不同的处理。
干式蚀刻装置的方式并无特别限定,但尤其更优选能够独立控制如ICP(Inductive Coupled Plasma,感应耦合)型、双频CCP(Conductive Coupled P lasma电容耦合)型、ECR(electron cyclotron resonance;电子回旋共振)型等等离子密度与偏压的方式。
蚀刻能够使用公知的任何一种方法,各种条件等根据基板的种类或用途等来适当决定。例如能够以国际光学工学会纪要(Proc.of SPIE)Vol.6924,692420(2008)、日本特开2009-267112号公报等为基准,实施蚀刻。并且,也能够以“半导体工序教本第四版2007年刊行发行人:SEMI JAPAN”的“第4章蚀刻”的方法为基准。
其中,相对于抗蚀剂下层膜的干式蚀刻优选为氧等离子体蚀刻。
在此所述的氧等离子体蚀刻是指,使用了含有氧原子的气体的等离子蚀刻,具体而言从包括O2、O3、CO、CO2、NO、NO2、N2O、SO、SO2、COS等的组中选择至少一个。并且,除了上述含氧气体之外,作为稀释气体还可以从包括Ar、He、Xe、Kr、N2等的组中添加至少一个,进而作为添加气体也可以从包括Cl2、HBr、BCl3、CH4、NH4等的组中添加至少一个。
若使用含氧原子的气体,则通过在等离子中产生的氧自由基及氧离子的照射效果,可促进抗蚀剂下层膜的蚀刻,并且关于含硅的抗蚀剂膜,通过抗蚀剂膜中的硅成分的氧化·凝聚来提高耐蚀刻性,并能够提高含硅的抗蚀剂膜与抗蚀剂下层膜的选择比。
抑制蚀刻前后的图案尺寸变动的情况下,通过提高包含氧原子及C、N、S等中的至少1种的含氧气体(例如,CO、CO2、NO、NO2、N2O、SO、SO2、COS)的比率,由此在等离子中生成的沉积性成分附着于蚀刻加工图案侧壁,抑制基于氧自由基的侧壁蚀刻效果,并能够降低蚀刻前后的线宽变窄。在含氧气体(例如O2、O3、CO、CO2、NO、NO2、N2O、SO、SO2、COS)中添加CH4或NH4作为添加气体也同样地可发挥上述效果。
并且,若使用包含除了Cl2或HBr等氟以外的卤素元素的气体,则作为下层膜的蚀刻生成物形成高沸点的碳氯化物或碳溴化物,对加工图案侧壁的附着性提高。即使在这种情况下,也能够期待基于氧自由基的侧壁蚀刻的抑制效果。
另一方面,通过适当地选择O2或O3气体与稀释气体的混合比率,由此能够控制含硅的抗蚀剂膜及抗蚀剂下层膜的侧壁蚀刻量,也能够与蚀刻同时实施所希望尺寸量的修整处理。
半导体器件制造中,在被处理基板上涂布抗蚀剂下层膜或抗蚀剂膜,之后通过实施曝光、显影处理等来进行图案形成,但是通常的情况下,在该图案形成后具有检查是否实际形成了目标图案尺寸的工序。并且,在尺寸的允许范围外的情况下,通常进行剥离·去除下层膜或抗蚀剂层,再次从上述抗蚀剂下层膜或抗蚀剂膜的涂布开始重新进行图案形成的方法(再次加工工序)。
这种情况下,从防止曝光或显影处理中产生缺陷的方面上看,完全剥离·去除被处理基板上的抗蚀剂下层膜或抗蚀剂膜是重要的。通常的抗蚀剂膜剥离方法中,通过使用氧气的干式处理(灰化)去除大部分的基板上的有机化合物,进而根据需要,进行冲洗处理,由此能够几乎完全剥离抗蚀剂膜,被广泛进行。
然而,如本发明的使用含硅的抗蚀剂膜的2层抗蚀剂系统中,若进行上述灰化处理,则含硅的抗蚀剂膜以氧化硅的形式残留,有可能难以完全去除。
因此,在通过干式处理进行再次加工的情况下,需要选择用于防止含硅的抗蚀剂膜的蚀刻速度过慢的蚀刻气体。例如CF4等氟类气体能够应用于该用途。
上述干式处理的情况下,有可能限定所使用的抗蚀剂下层膜或被处理基板的种类,因此作为含硅的抗蚀剂膜的再次加工方法,优选湿式处理。作为这种情况下所应用的处理液(剥离液),可举出硫酸与过氧化氢水的混合液、稀氟水溶液、碱水溶液、有机溶剂等,但是并不限定于此。
关于上述湿式处理,在有效地进行湿式剥离方面上看,更优选对处理液添加表面活性剂。作为表面活性剂,可举出氟类表面活性剂、硅类表面活性剂等。
也能够在湿式剥离工序之前对形成有抗蚀剂膜的硅晶片应用全面曝光、加热等工序。通过促进抗蚀剂膜的极性变换反应,能够期待相对于湿式处理液的溶解性提高效果。
本发明也涉及一种将通过上述本发明的图案形成方法得到的图案作为掩模向被处理基板进行离子注入的离子注入方法。
作为离子注入的方法,能够采用公知的方法中的任一种。
本发明也涉及一种在上述本发明的图案形成方法中所使用的被处理基板上依次层叠有抗蚀剂下层膜及由抗蚀剂组合物所形成的抗试剂膜,依次层叠抗蚀剂下层膜及由抗蚀剂组合物所形成的抗蚀剂膜,所述抗蚀剂组合物含有(A)具有选自包括Si原子及Ti原子的组中的原子的树脂及(B)通过光化射线或辐射线的照射产生酸的化合物。本发明的层叠体中的被处理基板、抗蚀剂下层膜及抗蚀剂膜等中的详细内容与在本发明的图案形成方法中说明的相同。
并且,本发明也涉及一种上述本发明的图案形成方法中所使用的包含用于形成抗蚀剂下层膜的抗蚀剂下层膜形成用组合物及抗蚀剂组合物的试剂盒。
并且,本发明也涉及一种上述试剂盒中所包含的抗蚀剂下层膜形成用组合物。
并且,本发明也涉及一种上述试剂盒中所包含的抗蚀剂组合物。
并且,本发明也涉及一种上述本发明的图案形成方法中所使用的抗蚀剂下层膜形成用组合物。
并且,本发明也涉及一种上述本发明的图案形成方法中所使用的抗蚀剂组合物。
并且,本发明也涉及一种包括上述的本发明的图案形成方法或离子注入方法的电子器件的制造方法及通过该制造方法来制造的电子器件。
本发明的电子器件较佳地装载于电气电子设备(家电、OA(Office Autom ation,办公自动化)·媒体相关设备、光学用设备及通讯设备等)。
实施例
以下,通过实施例,对本发明进行进一步详细说明,但是本发明并不限定于这些。
<合成例1:树脂PRP-1的合成>
氮气气流下将环己酮70.91g放入到三口烧瓶中,将其加热到80℃。经6小时向其滴加,如下溶液,即将从左依次为17.0g、10.60g、8.17g的相当于后述的树脂PRP-1的各重复单元的单体及聚合引发剂V-601(Wako Pure Chemical,Ltd.制、0.553g)溶解于环己酮105g而成的溶液。滴加结束之后,进而在80℃下反应了2小时。自然冷却反应液之后,经20分钟滴至加甲醇:水的混合液,对所析出的粉体进行过滤、干燥,从而得到了酸分解性树脂即下述树脂PRP-1(31.6g)。根据NMR(核磁共振)法求出的重复单元的组成比(摩尔比)为15/45/40。所得到的树脂PRP-1的重均分子量(Mw)以根据GPC求出的标准聚苯乙烯换算为12000,分散度(Mw/Mn)为1.5。
其他聚合物也以相同的步骤或既知的步骤进行了合成。
将树脂PRP-1~PRP-6的结构示于下述。并且,以下示出各树脂的组成比(摩尔比)、重均分子量(Mw)及分散度(Mw/Mn)。
[化合物45]
<树脂组合物的制备>
以示于下述表1及表2中的组成,分别混合原材料之后,通过具有0.03μm的孔径尺寸的聚乙烯过滤器进行过滤,制备了抗蚀剂下层膜形成用组合物及抗蚀剂组合物。另外,下述表2中分别示出酸分解前及酸分解后的以树脂的总量为基准的Si含量(质量%)。
[表1]
[表2]
上表中的各简称如以下所述。另外,树脂的各重复单元的组成比以摩尔比表示。
<抗蚀剂下层膜用树脂>
[化合物46]
<交联剂>
[化合物47]
<热产酸剂>
[化合物48]
<抗蚀剂组合物用树脂>
抗蚀剂组合物用树脂如上所述。
<光产酸剂>
[化合物49]
<酸扩散控制剂>
[化合物50]
<表面活性剂>
[化合物51]
<溶剂>
S-1:丙二醇单甲基醚乙酸酯(PGMEA)
S-2:丙二醇单甲基醚(PGME)
S-3:乳酸乙酯
S-4:3-乙氧基丙酸乙酯
[KrF曝光实施例](实施例1~6、比较例1、2)
对硅晶片实施HMDS(六甲基二硅氮烷)处理(110℃、35秒钟),以表3记载的条件在其上依次形成抗蚀剂下层膜及抗蚀剂膜,并形成了具有层叠体的晶片。另外,表中无层的记载的情况下,不进行该层的形成而形成了下一层。
使用KrF准分子激光扫描仪(ASML公司制、PAS5500/850)(NA0.80),对所得到的晶片进行了图案曝光。另外,作为中间掩膜(Reticle),使用了线宽度200nm、空间宽度200nm的线与空间图案的二元式掩模。之后,以示于下述表3的条件进行了烘烤(Post ExposureBake;PEB)之后,由示于下述表3的显影液旋覆浸没30秒钟而进行显影,关于有记载的例子,由示于下述表3的冲洗液旋覆浸没并进行冲洗之后,以4000rpm的转速使晶片旋转30秒钟,由此得到了间距400nm、线宽度200nm、空间宽度200nm的线与空间图案。将结果总括示于表3。
[表3]
上表中的各简称如以下所述。
<冲洗液>
D-1:纯水
D-2:4-甲基-2-戊醇
D-3:正十一烷
接着,关于实施例1~6及比较例2,针对形成了抗蚀剂图案的硅晶片,使用等离子系统制平行平板型反应离子蚀刻装置DES-245R,在下述蚀刻条件下对抗蚀剂下层膜进行了蚀刻。
(蚀刻条件)
蚀刻气体:O2
压力:20mTorr
施加功率:800mW/cm2
偏置功率:300W
上表的评价根据下述评价法来进行。
〔图案倒塌〕
使用测长扫描型电子显微镜(SEM Hitachi,Ltd.S-9380II)观察作为被处理基板的硅晶片中记载的图案(实施例1~6及比较例2中,抗蚀剂下层膜图案与抗蚀剂图案的层叠体,比较例1中,抗蚀剂图案),按照下述基准对图案倒塌进行了评价。
晶片面积中的图案倒塌面积
A:成为小于5%的情况
B:成为5%以上且小于10%的情况
C:成为10%以上且小于20%的情况
D:成为20%以上的情况
如从表3可知,根据实施例1~6,与未设置抗蚀剂下层膜的比较例1及抗蚀剂层的厚度大的比较例2相比,能够形成具有厚的膜厚(2.5μm以上)并且图案倒塌的性能优异的图案。
从而,本发明在例如基板的深部注入离子的情况等中,对特定区域被具有厚的膜厚的抗蚀剂图案掩盖的基板进行离子的注入时非常有用。
产业上的可利用性
通过本发明,能够提供一种能够形成具有厚的膜厚(例如2.5μm以上)并且难以引起图案倒塌的图案的图案形成方法及使用该图案形成方法的离子注入方法以及用于上述图案形成方法的层叠体、试剂盒、抗蚀剂下层膜形成用组合物、抗蚀剂组合物及电子器件的制造方法。
对本发明详细地且参考特定的实施方式而进行了说明,但是对于本技术领域人员来讲,显而易见的是不脱离本发明的精神及范围的情况下,能够进行各种变更或修正。
本申请主张基于2017年8月30日申请的日本专利申请(特愿2017-165909),其内容作为参考编入于此。

Claims (14)

1.一种图案形成方法,其包括:
(1)在被处理基板上形成抗蚀剂下层膜的工序;
(2)利用含有(A)树脂的抗蚀剂组合物在所述抗蚀剂下层膜上形成抗蚀剂膜的工序,所述(A)树脂具有Si原子;
(3)曝光所述抗蚀剂膜的工序;
(4)对经所述曝光的抗蚀剂膜进行显影而形成抗蚀剂图案的工序;及
(5)将所述抗蚀剂图案作为掩模,对所述抗蚀剂下层膜进行加工来形成图案的工序,所述图案形成方法中,
所述(A)树脂具有由下述式(I)表示的重复单元,
所述抗蚀剂下层膜的膜厚为2.5μm以上,所述抗蚀剂膜的膜厚为1μm以下,
上述式(I)中,L表示单键或2价的连接基团,X表示氢原子或有机基团,A表示含Si的基团。
2.根据权利要求1所述的图案形成方法,其中,
所述树脂(A)中的Si原子的含量以所述树脂(A)的总量为基准为1质量%~30质量%。
3.根据权利要求1或2所述的图案形成方法,其中,
所述树脂(A)具有含有酸分解性基团的重复单元。
4.根据权利要求1或2所述的图案形成方法,其中,
所述树脂(A)具有选自由内酯结构、磺内酯结构及碳酸酯结构组成的组中的至少1种。
5.根据权利要求1或2所述的图案形成方法,其中,
所述工序(4)为通过显影液对经所述曝光的抗蚀剂膜进行显影来形成抗蚀剂图案的工序,所述显影液为碱显影液。
6.根据权利要求1或2所述的图案形成方法,其中,
所述工序(3)中,通过KrF曝光、ArF曝光及ArF液浸曝光中的任意种对所述抗蚀剂膜进行曝光。
7.根据权利要求1或2所述的图案形成方法,其中,
所述工序(5)为将所述抗蚀剂图案作为掩模并对所述抗蚀剂下层膜进行干式蚀刻从而形成图案的工序。
8.根据权利要求7所述的图案形成方法,其中,
对于所述抗蚀剂下层膜的干式蚀刻为氧等离子体蚀刻。
9.根据权利要求1或2所述的图案形成方法,其中,
所述抗蚀剂下层膜的膜厚为4μm以上。
10.根据权利要求1或2所述的图案形成方法,其中,
所述抗蚀剂组合物为化学放大型抗蚀剂组合物。
11.一种离子注入方法,其将通过权利要求1~10中任一项所述的图案形成方法得到的图案作为掩模,向所述被处理基板进行离子注入。
12.一种层叠体,其用于权利要求1~10中任一项所述的图案形成方法,
在被处理基板上依次层叠有抗蚀剂下层膜及由抗蚀剂组合物所形成的抗蚀剂膜,所述抗蚀剂组合物含有(A)具有Si原子的树脂及(B)通过光化射线或辐射线的照射产生酸的化合物,
所述(A)树脂具有由下述式(I)表示的重复单元,
上述式(I)中,L表示单键或2价的连接基团,X表示氢原子或有机基团,A表示含Si的基团。
13.一种试剂盒,其用于权利要求1~10中任一项所述的图案形成方法,
所述试剂盒包含用于形成所述抗蚀剂下层膜的抗蚀剂下层膜形成用组合物及所述抗蚀剂组合物。
14.一种电子器件的制造方法,其包括权利要求1~10中任一项所述的图案形成方法或权利要求11所述的离子注入方法。
CN201880056528.7A 2017-08-30 2018-08-15 图案形成方法、离子注入方法、层叠体、试剂盒及电子器件的制造方法 Active CN111095106B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2017165909 2017-08-30
JP2017-165909 2017-08-30
PCT/JP2018/030373 WO2019044510A1 (ja) 2017-08-30 2018-08-15 パターン形成方法、イオン注入方法、積層体、キット、レジスト下層膜形成用組成物、レジスト組成物、及び、電子デバイスの製造方法

Publications (2)

Publication Number Publication Date
CN111095106A CN111095106A (zh) 2020-05-01
CN111095106B true CN111095106B (zh) 2023-07-28

Family

ID=65525424

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880056528.7A Active CN111095106B (zh) 2017-08-30 2018-08-15 图案形成方法、离子注入方法、层叠体、试剂盒及电子器件的制造方法

Country Status (5)

Country Link
JP (1) JP7045381B2 (zh)
KR (1) KR102400738B1 (zh)
CN (1) CN111095106B (zh)
TW (1) TWI799443B (zh)
WO (1) WO2019044510A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2023008657A (ja) * 2021-07-06 2023-01-19 信越化学工業株式会社 密着膜形成材料、これを用いた密着膜の形成方法、及び密着膜形成材料を用いたパターン形成方法
JP2023045354A (ja) * 2021-09-22 2023-04-03 信越化学工業株式会社 密着膜形成材料、パターン形成方法、及び密着膜の形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102245723A (zh) * 2008-12-10 2011-11-16 陶氏康宁公司 可湿蚀刻的抗反射涂层
CN103718111A (zh) * 2011-07-20 2014-04-09 日产化学工业株式会社 含钛和硅的光刻用薄膜形成用组合物
TW201702744A (zh) * 2015-06-24 2017-01-16 Fujifilm Corp 圖案形成方法、積層體以及有機溶劑顯影用抗蝕劑組成物

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2570844B1 (fr) * 1984-09-21 1986-11-14 Commissariat Energie Atomique Film photosensible a base de polymere silicie et son utilisation comme resine de masquage dans un procede de lithographie
JP3309095B2 (ja) * 1994-08-30 2002-07-29 株式会社日立製作所 ドライ現像方法及び半導体装置の製造方法
JP3874070B2 (ja) 2001-03-01 2007-01-31 信越化学工業株式会社 珪素含有高分子化合物、レジスト材料及びパターン形成方法
EP1643307A4 (en) * 2003-06-11 2009-12-23 Tokyo Ohka Kogyo Co Ltd POSITIVE RESIST COMPOSITION, RESIST LAMINATES, AND METHOD OF FORMING RESIST PATTERNS
JP2005037656A (ja) * 2003-07-14 2005-02-10 Fuji Photo Film Co Ltd ポジ型レジスト組成物
JP5217112B2 (ja) * 2005-05-24 2013-06-19 Jsr株式会社 硬化性組成物、硬化膜、反射防止膜積層体及び硬化膜の製造方法
JP5739325B2 (ja) * 2008-04-23 2015-06-24 ブルーワー サイエンス アイ エヌシー. マイクロリソグラフィー用の感光性ハードマスク
JP6158754B2 (ja) * 2014-06-04 2017-07-05 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
JPWO2016027592A1 (ja) * 2014-08-22 2017-04-27 富士フイルム株式会社 パターン形成方法、及び、これを用いた電子デバイスの製造方法
JP6243815B2 (ja) * 2014-09-01 2017-12-06 信越化学工業株式会社 半導体装置基板の製造方法
TWI712860B (zh) * 2015-02-26 2020-12-11 日商富士軟片股份有限公司 圖案形成方法、電子元件的製造方法及有機溶劑顯影用感光化射線性或感放射線性樹脂組成物

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102245723A (zh) * 2008-12-10 2011-11-16 陶氏康宁公司 可湿蚀刻的抗反射涂层
CN103718111A (zh) * 2011-07-20 2014-04-09 日产化学工业株式会社 含钛和硅的光刻用薄膜形成用组合物
TW201702744A (zh) * 2015-06-24 2017-01-16 Fujifilm Corp 圖案形成方法、積層體以及有機溶劑顯影用抗蝕劑組成物

Also Published As

Publication number Publication date
TWI799443B (zh) 2023-04-21
TW201921118A (zh) 2019-06-01
KR20200033290A (ko) 2020-03-27
JP7045381B2 (ja) 2022-03-31
WO2019044510A1 (ja) 2019-03-07
JPWO2019044510A1 (ja) 2020-04-02
CN111095106A (zh) 2020-05-01
KR102400738B1 (ko) 2022-05-23

Similar Documents

Publication Publication Date Title
JP6457640B2 (ja) パターン形成方法、積層体、及び、有機溶剤現像用レジスト組成物
CN110494806B (zh) 感光化射线性或感放射线性树脂组合物、抗蚀剂膜、图案形成方法及电子器件的制造方法
CN111095105B (zh) 感光化射线性或感放射线性树脂组合物、抗蚀剂膜、图案形成方法及电子器件的制造方法
JP6209344B2 (ja) パターン形成方法、感活性光線性又は感放射線性樹脂組成物、レジスト膜、これらを用いた電子デバイスの製造方法
JP2014199357A (ja) 化合物、感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、並びに、これらを用いた電子デバイスの製造方法、及び、電子デバイス
JP6757335B2 (ja) 感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、パターン形成方法、及び、電子デバイスの製造方法
TW201740194A (zh) 圖案形成方法、電子元件的製造方法以及樹脂組成物
JP6476276B2 (ja) パターン形成方法、感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、及び電子デバイスの製造方法
CN110088679B (zh) 感光化射线性或感放射线性树脂组合物、感光化射线性或感放射线性膜、图案形成方法、电子器件的制造方法、及光酸产生剂
KR20160085905A (ko) 패턴 형성 방법, 감활성광선성 또는 감방사선성 수지 조성물, 전자 디바이스의 제조 방법, 및, 전자 디바이스
KR20180061283A (ko) 감활성광선성 또는 감방사선성 수지 조성물, 패턴 형성 방법, 및 전자 디바이스의 제조 방법
WO2017110352A1 (ja) 感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、パターン形成方法、及び、電子デバイスの製造方法
TW201701079A (zh) 基板處理方法、樹脂組成物及電子裝置的製造方法
TWI818966B (zh) 感光性樹脂組成物及其製造方法、抗蝕劑膜、圖案形成方法以及電子器件的製造方法
CN111095106B (zh) 图案形成方法、离子注入方法、层叠体、试剂盒及电子器件的制造方法
TWI742217B (zh) 感光化射線性或感放射線性樹脂組成物、感光化射線性或感放射線性膜、圖案形成方法及電子裝置的製造方法
TW201435507A (zh) 感光化射線性或感放射線性樹脂組成物、使用該組成物的抗蝕劑膜、圖案形成方法、電子元件的製造方法及電子元件
JP7059186B2 (ja) 感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、パターン形成方法、及び、電子デバイスの製造方法
TW201702743A (zh) 感光化射線性或感放射線性樹脂組成物、感光化射線性或感放射線性膜、圖案形成方法及電子器件的製造方法
JPWO2016121535A1 (ja) 感放射線性又は感活性光線性組成物、並びに、それを用いた膜、マスクブランクス、レジストパターン形成方法、及び電子デバイスの製造方法
JP6846151B2 (ja) 感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、パターン形成方法、及び、電子デバイスの製造方法
WO2021039407A1 (ja) 感活性光線性又は感放射線性樹脂組成物、パターン形成方法、及び、電子デバイスの製造方法
WO2019187783A1 (ja) 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、電子デバイスの製造方法
JP7301152B2 (ja) 下層膜形成用組成物、レジストパターン形成方法、電子デバイスの製造方法
TWI726950B (zh) 圖案形成方法、電子元件的製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant