CN110574150A - 具有真空隔离和预处理环境的高压退火腔室 - Google Patents

具有真空隔离和预处理环境的高压退火腔室 Download PDF

Info

Publication number
CN110574150A
CN110574150A CN201880028790.0A CN201880028790A CN110574150A CN 110574150 A CN110574150 A CN 110574150A CN 201880028790 A CN201880028790 A CN 201880028790A CN 110574150 A CN110574150 A CN 110574150A
Authority
CN
China
Prior art keywords
chamber
high pressure
disposed
lift plate
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880028790.0A
Other languages
English (en)
Other versions
CN110574150B (zh
Inventor
T·J·富兰克林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202310566128.3A priority Critical patent/CN116504679A/zh
Publication of CN110574150A publication Critical patent/CN110574150A/zh
Application granted granted Critical
Publication of CN110574150B publication Critical patent/CN110574150B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16JPISTONS; CYLINDERS; SEALINGS
    • F16J15/00Sealings
    • F16J15/002Sealings comprising at least two sealings in succession
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16JPISTONS; CYLINDERS; SEALINGS
    • F16J15/00Sealings
    • F16J15/02Sealings between relatively-stationary surfaces
    • F16J15/06Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16JPISTONS; CYLINDERS; SEALINGS
    • F16J15/00Sealings
    • F16J15/02Sealings between relatively-stationary surfaces
    • F16J15/06Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces
    • F16J15/061Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces with positioning means
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16JPISTONS; CYLINDERS; SEALINGS
    • F16J15/00Sealings
    • F16J15/02Sealings between relatively-stationary surfaces
    • F16J15/06Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces
    • F16J15/062Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces characterised by the geometry of the seat
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Muffle Furnaces And Rotary Kilns (AREA)
  • Furnace Charging Or Discharging (AREA)
  • Furnace Details (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

公开内容的实施例总体涉及用于填充基板上的缝隙和沟槽的方法和设备以及用于批次退火基板的工具。在一个实施例中,公开的批次处理腔室包括下壳、穿过下壳形成的基板移送端口、设置于下壳上的上壳、设置于上壳内的内壳、操作用来加热内壳的加热器、可移动地设置于下壳内的升降板、设置于升降板上且被配置以固持多个基板于内腔室内的匣以及注入端口。内壳与上壳界定外腔室,而内壳与下壳界定部分地由外腔室所包围的内腔室。注入端口被配置以将流体引入内腔室。

Description

具有真空隔离和预处理环境的高压退火腔室
背景技术
技术领域
公开内容的实施例总体涉及用于填充基板上的缝隙和沟槽的方法和设备以及用于批次退火基板的工具。
相关技术的描述
自从几十年前推出以来,半导体元件的几何尺寸已经大大地缩小。增加的器件密度已经导致结构性特征具有减小的空间尺寸。形成现代半导体器件的结构性特征的缝隙和沟槽的深宽比(深度比上宽度的比例)已经缩小到以材料填充缝隙变得非常具有挑战性的程度。造成此挑战的一个重要因素是在缝隙完全填满之前,沉积在缝隙中的材料倾向于容易堵塞于缝隙的开口。
因此,需要一种用于填充基板上高深宽比缝隙和沟槽的改进的设备和方法。
发明内容
公开内容的实施例总体涉及用于填充基板上的缝隙和沟槽的方法和设备以及用于批次退火基板的工具。在一个实施例中公开批次处理腔室。批次处理腔室包括下壳、穿过下壳形成的基板移送端口、设置于下壳上的上壳、设置于上壳内的内壳、操作用来加热内壳的加热器、可移动地设置于下壳内的升降板、设置于升降板上且被配置以将多个基板固持于内腔室内的匣、以及注入端口。内壳和上壳界定外腔室,而内壳和下壳界定与外腔室隔离的内腔室。注入端口被配置以将流体引入内腔室。
在公开内容的另一实施例中公开批次处理腔室。批次处理腔室包括下壳、穿过下壳形成的基板移送端口、耦接至下壳的底表面的底板、设置于下壳上的上壳、设置于上壳内的内壳、由内壳和上壳所界定的外腔室、设置于外腔室内的一个或多个加热器、可移动地设置于下壳内的升降板、耦接至升降板的加热元件、设置于升降板上且被配置以固持多个基板的匣、可移除地耦接至内壳的底表面的注入环、设置于注入环内的注入端口、被配置以将注入环耦接至升降板的高压密封件、设置为邻近高压密封件的冷却通道、穿过注入环形成的一个或多个出口端口、以及远程等离子体源。内壳界定了具有高压区和低压区的内腔室的一部分。外腔室与内腔室隔离。设置于外腔室内的一个或多个加热器操作用来加热内壳。升降板被配置以升高以密封高压区以及降低以允许高压区与低压区之间的流体连通。设置于注入环内的注入端口被配置以将流体引入内腔室。高压密封件被配置以将注入环耦接至高压区中的升降板。一个或多个出口端口跨内腔室面对注入端口。远程等离子体源耦接至内腔室。
在公开内容的又一实施例中,公开用于处理设置于批次处理腔室中的多个基板的方法。方法包括以多个基板装载设置于升降板上的匣,其中匣与升降板设置于批次处理腔室的内腔室中以使具有可流动材料的多个基板的至少第一基板暴露于基板的外表面上,从而将匣提升到处理位置(所述处理位置将内腔室的高压区中的匣与内腔室的低压区隔离)并使在第一基板的外表面上暴露的可流动材料流动。在将高压区加压至大于约50巴的压力、将第一基板加热至大于约450摄氏度的温度并且将第一基板暴露至处理流体时,执行可流动材料的流动。
附图简单说明
为了可详细地理解本公开内容的上述记载特征,可通过参照实施例(某些描绘于附图中)而取得公开内容更特定的描述内容(简短概述于上)。然而,值得注意的是附图仅描绘示例性实施例并因此不被视为限制范围,因为此公开内容可允许其他等效实施例。
图1是匣在低压区中的批次处理腔室的简化正面剖面图。
图2是匣在高压区中的批次处理腔室的简化正面剖面图。
图3是连接至批次处理腔室的内壳的注入环的简化正面剖面图。
图4是具有多个基板设置于多个基板储存插槽上的匣的简化正面剖面图。
图5是在批次处理腔室中的处理之前的基板的示意图。
图6是在批次处理腔室中的处理之后的基板的示意图。
图7是用于处理设置于图1的批次处理腔室中的多个基板的方法的框图。
为了促进理解,已经尽可能利用相同的附图标记来标示附图中共有的相同元件。预期一个实施例的元件与特征可有利地并入其他实施例而无需进一步列举。
具体实施方式
公开内容的实施例总体涉及用于填充基板上的缝隙和沟槽的方法和设备以及用于批次退火基板的工具,特别适合用来以可流动材料填充高深宽比缝隙和沟槽。
图1是批次处理腔室的简化正面剖面图。批次处理腔室100具有设置于下壳114上的上壳112。内壳113设置于上壳112内以使形成外腔室110和内腔室120。内壳113与上壳112界定外腔室110。内壳113与下壳114界定内腔室120。外腔室110与内腔室120隔离。底板170耦接至下壳114的底表面。内腔室120具有高压区115和低压区117。上壳112和下壳114的外部可由耐腐蚀钢(CRS)(诸如但不限于不锈钢)所制成。内壳113、上壳112和下壳114的内部以及底板170可由表现出高度耐腐蚀性的镍基钢合金(诸如但不限于)所制成。
一个或多个加热器122设置于外腔室110内。如下面进一步讨论的,将外腔室110内的环境维持在真空下以改进加热器122的性能。在图1中所示的实施例中,加热器122耦接至内壳113。在其他实施例中,加热器122可耦接至上壳112。加热器122可操作使得当加热器122开启时,加热器122能够加热内壳113并因此也加热内腔室120内的高压区115。加热器122可为电阻线圈、灯、陶瓷加热器、石墨基碳纤维复合材料(CFC)加热器、不锈钢加热器或铝加热器。由控制器180通过从监测内腔室120的温度的传感器(未示出)接收的反馈来控制到达加热器122的功率。
升降板140设置于内腔室120内。升降板140由内腔室120的底板170上的一个或多个杆142所支撑。底板170耦接至平台176,平台176连接至升降机构178。在某些实施例中,升降机构178可为升降电机或其他适当的线性致动器。在图1中所示的实施例中,波纹管172用以将平台176密封至底板170。波纹管172由紧固机构(诸如但不限于夹子)附接至底板170。因此,升降板140耦接至升降机构178,升降机构178升高和降低内腔室120内的升降板140。升降机构178升高升降板140以密封高压区115。升降板140和升降机构178被配置以在升降板140处于升高位置时用来抵抗高压(例如,约50巴的压力),所述高压代表性地在内腔室120的高压区115中向下作用。升降机构178降低升降板140以允许高压区115与低压区117之间的流体连通,并促进基板移送进入和离开批次处理腔室100。通过控制器180来控制升降机构178的操作。
加热元件145与升降板140接合。加热元件145在处理以及预处理期间操作用来加热内腔室120内的高压区115。加热元件145可为电阻线圈、灯或陶瓷加热器。在图1中所描绘的实施例中,加热元件145是耦接至升降板140或者设置于升降板140中的电阻式加热器。由控制器180通过从监测内腔室120的温度的传感器(未示出)接收的反馈来控制到达加热元件145的功率。
高压密封件135用以将升降板140密封至内壳113以密封高压区115以进行处理。高压密封件135可由聚合物(诸如但不限于全氟弹性体)所制成。冷却通道337(图3)设置为邻近高压密封件135以在处理期间维持高压密封件135低于高压密封件135的最大安全操作温度下。冷却剂(诸如但不限于惰性、介电性和高性能传热流体)可循环于冷却通道337内以维持高压密封件135在例如约250-275摄氏度之间的温度下以避免高压密封件135的降解。由控制器180通过从温度和/或流动传感器(未示出)接收的反馈来控制冷却通道337中冷却剂的流动。
批次处理腔室100包括至少一个注入端口134和一个或多个出口端口136。注入端口134被配置以将流体引入内腔室120,而一个或多个出口端口136被配置以从内腔室120移除流体。注入端口134与一个或多个出口端口136跨内腔室120彼此面对以引起跨高压区115内的基板的横流。
在某些实施例中,内壳113可耦接至图3中所示的注入环130,注入环130具有围绕内腔室120的圆柱型环状形状。注入环130可移除地耦接至内壳113的底表面。在图3中所示的实施例中,注入端口134和一个或多个出口端口136形成于注入环130中。注入端口134包括形成为穿过注入端口134到达注入环130的通道333。配件331耦接至通道333以促进通过入口管132将注入端口134耦接至流体源131。喷嘴339在注入环130的内侧壁上耦接至通道333的端部以将处理流体提供至内腔室120。一个或多个出口端口136被配置以通过出口管138移除内腔室120中的任何流体。
注入环130通过紧固件340附接至内壳113。在某些实施例中,紧固件340是穿过间隙孔342且啮合注入环130中形成的螺纹孔的螺栓,间隙孔342穿过内壳113而形成。
在图3中所示的实施例中,高压密封件135如上所述设置于升降板140与注入环130之间,以在升降板140被推靠注入环130而压缩密封件135时,密封高压区115以进行处理。冷却通道337如上所述设置于注入环130内且邻近高压密封件135以隔离密封件135免受加热内壳113和上壳112的加热器122所产生的热量。由于注入环130可通过紧固件340附接至内壳113,所以注入环130是一种独特的部件,其可以在处理之前单独采购并附接至批次处理腔室100。以这种方式,可用具有不同组的注入端口134和出口端口136的不同注入环130替换注入环130,使得批次处理腔室100可容易地以最小的花费和停机时间重新配置以用于不同的工艺。
匣150设置于升降板140上。匣150具有顶表面152、底表面154和壁153。匣150的壁153具有多个基板储存插槽156。各个基板储存插槽156被配置以将基板155固持于基板储存插槽156中。各个基板储存插槽156沿着匣150的壁153均匀地分散。例如,在图4中所示的实施例中,匣150被示出为各自分别地固持基板155的三个基板储存插槽156。匣150可具有多到二十四个或更多的基板储存插槽。
穿过下壳114形成的基板移送端口116用以装载基板155至匣150上。基板移送端口116具有门160。门160被配置以在装载基板155之前和之后覆盖基板移送端口116。门160可由表现高度耐腐蚀性的镍基钢合金(诸如但不限于)所制成,且可为水冷式。提供真空密封件162以密封门160和基板移送端口116,并因而避免在门160处于关闭位置时空气渗漏进内腔室120中。
图5和图6示出处理批次处理腔室100中的基板155之前和之后基板155的一部分的剖面图。基板155具有多个沟槽557。在批次处理腔室100中的处理之前,基板155具有沉积在沟槽557的侧壁和底部两者上以及基板155的顶部上的可流动材料558。如图5中所示,可流动材料558可能不完全填充沟槽557。可流动材料558可为介电材料,诸如碳化硅(SiC)、氧化硅(SiO)、碳氮化硅(SiCN)、二氧化硅(SiO2)、氧碳化硅(SiOC)、碳氧氮化硅(SiOCN)、氧氮化硅(SiON)和/或氮化硅(SiN)。可使用高密度等离子体CVD系统、等离子体增强CVD系统和/或次大气压CVD系统等其他系统来沉积可流动材料558。能够形成可流动层的CVD系统的示例包括ULTIMA HDP系统以及系统上的ETERNA两者皆可自加利福利亚州圣克拉拉市应用材料公司(Applied Materials,Inc.Santa Clara,Calif)取得。也可使用来自其他制造商的其他相似配置的CVD系统。
在批次处理腔室100中基板155的处理期间,处理流体(如箭号658所示)跨基板155流动以使可流动材料558如图6中所示的那样流动进入沟槽557并且填充沟槽557。处理流体可包括含氧气体和/或含氮气体,诸如氧、蒸气、水、过氧化氢和/或氨。替代地或除了含氧气体和/或含氮气体以外,处理流体可包括含硅气体。蒸气可为例如干蒸气。在一个实施例中,蒸气为过热蒸气。含硅气体的示例包括有机硅、正硅酸四烷基酯气体以及二硅氧烷。有机硅气体包括具有至少一个碳-硅键的有机化合物的气体。正硅酸四烷基酯气体包括由四个附接至SiO4 4-离子的烷基基团所构成的气体。更明确地,一个或多个气体可为(二甲基硅基)(三甲基硅基)甲烷((Me)3SiCH2SiH(Me)2)、六甲基二硅烷((Me)3SiSi(Me)3)、三甲基硅烷((Me)3SiH)、氯化三甲基硅((Me)3SiCl)、四甲基硅烷((Me)4Si)、四乙氧基硅烷((EtO)4Si)、四甲氧基硅烷((MeO)4Si)、四(三甲基硅基)硅烷((Me3Si)4Si)、(二甲胺)二甲基-硅烷((Me2N)SiHMe2)、二甲基二乙氧基硅烷((EtO)2Si(Me)2)、二甲基-二甲氧基硅烷((MeO)2Si(Me)2)、甲基三甲氧基硅烷((MeO)3Si(Me))、二甲氧基四甲基-二硅氧烷(((Me)2Si(OMe))2O)、三(二甲胺)硅烷((Me2N)3SiH)、二(二甲胺)甲基硅烷((Me2N)2CH3SiH)、二硅氧烷((SiH3)2O)以及上述的组合。
回到图1,远程等离子体源(RPS)190通过入口195连接至内腔室120并被配置以产生气态自由基,在处理一个或多个批次的基板155之后,气态自由基流动穿过入口195进入内腔室120以清洁内腔室120的内部。远程等离子体源190可为射频(RF)或特高射频(VHRF)电容耦合等离子体(CCP)源、电感耦合等离子体(ICP)源、微波诱导(MW)等离子体源、DC辉光放电源、电子回旋共振(ECR)腔室或高密度等离子体(HDP)腔室。远程等离子体源190可操作地耦接至一个或多个气态自由基源,其中气体可为二硅烷、氨、氢、氮或惰性气体(如氩或氦)中的至少一个。控制器180控制在远程等离子体源190中激活的气态自由基的产生以及分布。
如图1中所示,真空泵125连接至批次处理腔室100。真空泵125被配置以通过排放管道111排空外腔室110、通过排放管道124排空内腔室120的高压区115并通过排放管道119排空内腔室120的低压区117。真空泵125还连接至出口管138,出口管138连接至一个或多个出口端口136,以从内腔室120移除任何流体。排气阀126连接至内腔室120的高压区115。排气阀126被配置以通过排气管道127排空内腔室120,以使在降低升降板140和匣150之前释放高压区115中的压力。由控制器180控制真空泵125和排气阀126的操作。
控制器180控制批次处理腔室100以及远程等离子体源190的操作。控制器180分别通过连接导线181和183而通信地连接至流体源131和测量内腔室120的各种参数的传感器(未示出)。控制器180分别通过连接导线185和187通信地连接至泵125以及排气阀126。控制器180分别通过连接器188和189通信地连接至升降机构178和远程等离子体源190。控制器180包括中央处理单元(CPU)182、存储器184以及支持电路186。CPU 182可为可用于工业环境中的任何形式的通用计算机处理器。存储器184可为随机存取存储器、只读存储器、软盘或硬盘驱动器、或其他形式的数字存储。支持电路186常规上耦接至CPU 182,且可包括高速缓存、时钟电路、输入/输出系统、电源供应等。
批次处理腔室100有利地在内腔室120内的高压区115与低压区117之间产生隔离,使得处理流体658可以跨置于高压区115中的基板155流动,同时保持基板155处于高温下。在处理期间,高压区115变成退火腔室,其中先前沉积在基板155上的可流动材料558重新分布以填充形成在基板155中的沟槽557。
批次处理腔室100用于同时处理多个基板155。在装载多个基板155之前,打开泵125并连续操作以分别通过排放管道111和119排空外腔室110和内腔室120。外腔室110和内腔室120两者在整个工艺中都被抽空到真空并且保持在真空中。连接至真空泵125的排放管道124此时尚未运行。同时,设置于外腔室110内的加热器122被操作以加热内腔室120。与升降板140接合的加热元件145也至少在预处理阶段期间被操作以加热匣150,使得装载到匣150上的基板155在升高到高压区115之前被预热。然后打开通往基板移送端口116的门160,以通过基板移送端口116在匣150上装载多个基板155。如图5中所示,基板155上沉积有可流动材料558。
在将多个基板155装载至匣150上之后,关闭通往基板移送端口116的门160。一旦门160关闭,真空密封件162确保没有空气泄漏到内腔室120中。在预处理阶段期间,可以通过注入端口134将流体引入内腔室120以润湿基板155。润湿剂可为表面活性剂。润湿剂在处理期间提供处理流体与设置在匣150中的基板155之间更好的交互作用。
在以基板155装载匣150之后,利用升降机构178升高升降板140并将升降板140上设置的匣150移动至内壳113内的处理位置。抵靠内壳113密封升降板140以在内壳113内界定的内腔室120内封围出高压区115,由此隔离高压区115与位于升降板140下方的低压区117。在基板155的处理期间,高压区115的环境维持在使高压区内的处理流体保持在气相的温度和压力下。基于处理流体的成分来选择上述的压力和温度。在一个示例中,将高压区115加压至大于大气压的压力,例如大于约10巴。在另一示例中,将高压区115加压至约10至约60巴(例如,约20至约50巴)的压力。在另一示例中,将高压区115加压至高达约200巴的压力。在处理期间,高压区115还由设置在外腔室110内的加热器122而维持在高温下,例如超过225摄氏度的温度(受设置在匣150上的基板155的热预算限制),例如约300摄氏度与约450摄氏度之间。与升降板140接合的加热元件145可以辅助基板155的加热,但是可选择性地关闭。基板155暴露于通过注入端口134而引入的处理流体658。使用泵125通过一个或多个出口端口136移除处理流体658。在基板155保持在高温的同时暴露至高压下的处理流体658导致先前沉积于基板155上的可流动材料558重新分布并变得牢固地装填在基板155的沟槽557中。
在处理之后,排气阀126首先被操作以通过排气管道127排空内腔室120,由此将高压区115内的压力逐渐降低至约1大气压的压力。一旦高压区115内的压力达到1大气压的压力,那么排气阀126被关闭且泵125被操作以通过排放管道124排空高压区115。设置于外腔室110内的加热器122和/或与升降板140接合的加热元件145可选择性地关闭以降低高压区115中的温度,并且因此允许基板155开始冷却以进行基板移送。同时,注入端口134关闭。在高压区115被抽空到真空状态之后,升降板140与设置在升降板140上的匣150被降低以允许基板移出批次处理腔室100。当升降板140降低时,高压区115与低压区117流体连通地放置。由于高压区115和低压区117两者现在处于真空状态,所以可以通过基板移送端口116从批次处理腔室100中移除处理过的基板155。
在移除基板155之后,操作远程等离子体源190以产生流经入口195进入内腔室120的气态自由基。气态自由基与存在于内腔室120中的杂质反应,并形成由真空泵125通过一个或多个出口端口136移除的挥发性产物和副产物,从而清洁内腔室120并为下一批次的基板155准备内腔室120。
图7是根据本公开内容的另一实施例的用于处理设置于批次处理腔室中的多个基板的方法的框图。方法700从框710开始,用多个基板装载设置于升降板上的匣。一个或多个基板具有暴露于基板的外表面上的可流动材料。匣和升降板设置在维持在真空的批次处理腔室的内腔室中。例如但不限于,在操作的所有阶段期间,设置于批次处理腔室内并且部分地围绕内腔室的高压区的外腔室保持在真空状态。在某些实施例中,通过连接至内腔室的基板移送端口将基板装载至匣上。匣具有多个基板储存插槽以容纳多个基板。匣上的各个基板储存插槽经标示以与基板移送端口对齐从而在基板储存插槽上装载基板。同时,可预热升降板和匣以开始增加装载至匣上的基板的温度以减少处理时间。一旦匣装载了基板,在高压区中的处理之前,可通过注入端口选择性地将润湿剂引入内腔室中以润湿基板。
在框720处,一旦匣装载了基板或以其他方式准备进行处理,则匣被升高到处理位置,所述处理位置将高压区中的匣与位于内腔室中的低压区隔离。升降机构用于将升降板和设置在升降板上的匣升高到处理位置,使得在内腔室内隔离高压区。
在框730处,一旦高压区与低压区隔离,高压区的真空环境被高压环境所取代。通过将基板暴露至处理流体并将高压区加热和加压至将高压区内的处理流体维持在气相中的压力和温度,将设置在基板上的可流动材料在基板之上重新分布。在一个示例中,加压高压区至约10与约60巴之间的压力,加热基板至大于约225摄氏度的温度。使用设置在外腔室内的加热器以及任选地与支撑匣的升降板接合的加热元件将内腔室内的高压区维持在大于约250摄氏度(例如,约300摄氏度与约450摄氏度之间)的温度下来加热基板。通过注入端口将处理流体引入批次处理腔室中。在某些实施例中,处理流体可为蒸气或水。例如,蒸气可为干蒸气。在另一个示例中,在流入到腔室中或在腔室内流动之前,例如通过加热器使得蒸气过热。通过通往内腔室的一个或多个出口端口移除处理流体。在处理基板时,暴露于基板的表面上的可流动材料重新分布以填充形成在基板中的缝隙以及沟槽。
在处理之后,高压区内的压力降低至真空。内腔室任选性地冷却,且注入端口关闭。一旦高压区被抽空至真空状态,则将其上设置有匣的升降板降低以允许高压区与低压区之间的流体连通。通过基板移送端口将现在处于真空的处理过的基板从批次处理腔室移除。在移除基板之后,通过从远程等离子体源流动自由基来清洁批次处理腔室,自由基与存在于内腔室中的杂质反应以形成后续从内腔室泵出和移除的挥发性产物以及副产物。批次处理腔室因此准备处理下一批基板。
批次处理腔室和用于处理批次处理腔室内的多个基板的方法使得多个基板能够在高压和高温下进行处理。本公开内容的结构通过在处理期间分离高压区和低压区而有利地在批次处理腔室的内腔室内产生隔离,同时低压区保持真空。在移除隔离时,将基板装载和卸载至匣上。隔离允许两个不同环境之间的热分离:一个用于在高压区中的处理,另一个用于在低压区中装载/卸载基板。通过在处理期间保持高压区封闭,隔离还可以防止腔室的部件间的热不一致。
围绕内腔室的高压区设置且持续维持在真空下的外腔室额外地作为内腔室内的高压区的处理环境与批次处理腔室外的大气之间的安全封锁,以避免任何空气泄漏进处理环境中或处理流体进入腔室外的大气中的损失。进一步,由于外腔室维持在真空中且与批次处理腔室外的大气隔离,所以外腔室在安装在外腔室中且被配置以加热内腔室的加热器的选择上提供灵活性。因此,可以使用在真空环境下更有效工作的加热器。
上述的批次处理腔室额外地提供了可操作为下列任一者的灵活性:独立工艺腔室;或对接至群集工具中的工厂接口的工艺腔室;或原位作为工艺腔室的一部分。这确保了可维持用来处理基板的洁净室级别的环境。
虽然上文针对本公开内容的特定实施例,但可理解这些实施例仅仅是本发明的原理和应用的说明。因此可理解可在不背离本发明的精神和范围的情况下对说明性实施例进行各种修改而达成其他的实施例,且本发明的范围由所附的权利要求所界定。

Claims (15)

1.一种批次处理腔室,包括:
下壳;
基板移送端口,所述基板移送端口穿过所述下壳形成;
上壳,所述上壳设置于所述下壳上;
内壳,所述内壳设置于所述上壳内,所述内壳与所述上壳界定外腔室,所述内壳与所述下壳界定与所述外腔室隔离的内腔室;
加热器,所述加热器操作用来加热所述内壳;
升降板,所述升降板可移动地设置于所述下壳内,其中所述升降板在处于升高位置时将所述内腔室密封地分成高压区和低压区,所述高压区由所述升降板和所述内壳所界定;
匣,所述匣设置于所述升降板上且被配置以固持多个基板;和
注入端口,所述注入端口被配置以将流体引入所述内腔室中。
2.如权利要求1所述的批次处理腔室,其中所述升降板在处于升高位置时接触高压密封件,所述高压密封件将所述内腔室密封地分成高压区以及低压区。
3.如权利要求2所述的批次处理腔室,进一步包括:
冷却通道,所述冷却设置为邻近所述高压密封件,所述冷却通道设置在所述高压密封件与所述加热器之间。
4.如权利要求1所述的批次处理腔室,进一步包括:
一个或多个出口端口,所述一个或多个出口端口跨所述内腔室面对所述注入端口。
5.如权利要求1所述的批次处理腔室,进一步包括:
注入环,所述注入环可移除地耦接至所述内壳的底表面,所述注入环具有设置在所述注入环中的所述注入端口。
6.如权利要求5所述的批次处理腔室,进一步包括:
高压密封件,所述高压密封件被配置以在所述升降板处于升高位置时将所述注入环密封至所述升降板。
7.如权利要求6所述的批次处理腔室,进一步包括:
冷却通道,所述冷却通道于所述高压密封件与所述内壳之间设置于所述注入环中。
8.如权利要求5所述的批次处理腔室,进一步包括:
一个或多个出口端口,所述一个或多个出口端口穿过所述注入环形成,跨所述内腔室面对所述注入端口。
9.如权利要求1所述的批次处理腔室,进一步包括:
远程等离子体源,所述远程等离子体源流体地耦接至所述内腔室。
10.如权利要求1所述的批次处理腔室,进一步包括:
加热元件,所述加热元件与所述升降板接合。
11.一种批次处理腔室,包括:
下壳;
基板移送端口,所述基板移送端口穿过所述下壳形成;
底板,所述底板耦接至所述下壳的底表面;
上壳,所述上壳设置在所述下壳上;
内壳,所述内壳设置在所述上壳内,所述内壳界定具有高压区和低压区的内腔室的一部分;
外腔室,所述外腔室由所述内壳和所述上壳所界定,所述外腔室与所述内腔室隔离;
一个或多个加热器,所述一个或多个加热器设置于所述外腔室内且操作用来加热所述内壳;
升降板,所述升降板可移动地设置于所述下壳内,所述升降板被配置以被升高以密封所述高压区,并被配置以被降低以允许所述高压区与所述低压区之间的流体连通;
加热元件,所述加热元件耦接至所述升降板;
匣,所述匣设置于所述升降板上并被配置以固持多个基板;
注入环,所述注入环可移除地耦接至所述内壳的底表面;
注入端口,所述注入端口设置于所述注入环内且被配置以将流体引入所述内腔室中;
高压密封件,所述高压密封件被配置以将所述注入环耦接至所述高压区中的所述升降板;
冷却通道,所述冷却通道设置为邻近所述高压密封件;
一个或多个出口端口,所述一个或多个出口端口穿过所述注入环形成,跨所述内腔室面对所述注入端口;和
远程等离子体源,所述远程等离子体源耦接至所述内腔室。
12.一种处理设置于批次处理腔室中的多个基板的方法,包括:
以多个基板装载设置于升降板上的匣,所述匣与所述升降板设置于所述批次处理腔室的内腔室中,所述多个基板中的至少第一基板具有在所述至少第一基板的外表面上暴露的可流动材料;
将所述匣提升至处理位置,所述处理位置使所述内腔室的高压区中的所述匣与所述内腔室的低压区隔离;和
使所述第一基板的所述外表面上暴露的所述可流动材料流动,其中流动进一步包括:
在所述高压区内时,在将处理流体维持在气相中的温度和压力下将所述第一基板暴露至所述处理流体。
13.如权利要求12所述的方法,其中将所述第一基板暴露至所述处理流体包括:
将所述第一基板暴露至蒸气或水。
14.如权利要求12所述的方法,进一步包括:
在提高所述升降板之前,在所述内腔室内将所述第一基板暴露至润湿剂。
15.如权利要求12所述的方法,进一步包括:
在部分地围绕所述内腔室的所述高压区的外腔室中维持真空。
CN201880028790.0A 2017-05-01 2018-04-19 具有真空隔离和预处理环境的高压退火腔室 Active CN110574150B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310566128.3A CN116504679A (zh) 2017-05-01 2018-04-19 具有真空隔离和预处理环境的高压退火腔室

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762492700P 2017-05-01 2017-05-01
US62/492,700 2017-05-01
PCT/US2018/028258 WO2018204078A1 (en) 2017-05-01 2018-04-19 High pressure anneal chamber with vacuum isolation and pre-processing environment

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202310566128.3A Division CN116504679A (zh) 2017-05-01 2018-04-19 具有真空隔离和预处理环境的高压退火腔室

Publications (2)

Publication Number Publication Date
CN110574150A true CN110574150A (zh) 2019-12-13
CN110574150B CN110574150B (zh) 2023-09-19

Family

ID=63917378

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880028790.0A Active CN110574150B (zh) 2017-05-01 2018-04-19 具有真空隔离和预处理环境的高压退火腔室
CN202310566128.3A Pending CN116504679A (zh) 2017-05-01 2018-04-19 具有真空隔离和预处理环境的高压退火腔室

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202310566128.3A Pending CN116504679A (zh) 2017-05-01 2018-04-19 具有真空隔离和预处理环境的高压退火腔室

Country Status (6)

Country Link
US (1) US20180315626A1 (zh)
JP (1) JP7235678B2 (zh)
KR (1) KR20190137935A (zh)
CN (2) CN110574150B (zh)
TW (1) TW201842590A (zh)
WO (1) WO2018204078A1 (zh)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5541274B2 (ja) * 2011-12-28 2014-07-09 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP6050860B1 (ja) * 2015-05-26 2016-12-21 株式会社日本製鋼所 プラズマ原子層成長装置
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
WO2019055415A1 (en) 2017-09-12 2019-03-21 Applied Materials, Inc. APPARATUS AND METHODS FOR MANUFACTURING SEMICONDUCTOR STRUCTURES USING A PROTECTIVE BARRIER LAYER
WO2019094481A1 (en) 2017-11-11 2019-05-16 Micromaterials Llc Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
CN111902929A (zh) 2018-03-09 2020-11-06 应用材料公司 用于含金属材料的高压退火处理
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
TWI738002B (zh) * 2018-12-05 2021-09-01 洪義明 高溫烘箱的溫度調整設備
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR20210121131A (ko) * 2019-01-30 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 진공 시스템을 세정하기 위한 방법, 기판의 진공 프로세싱을 위한 방법, 및 기판을 진공 프로세싱하기 위한 장치
FI130051B (en) 2019-04-25 2023-01-13 Beneq Oy DEVICE AND METHOD
CN110133969A (zh) * 2019-04-26 2019-08-16 厦门通富微电子有限公司 一种用于烘烤光刻胶的烘烤设备、烘烤系统及烘烤方法
EP4207244A1 (en) 2019-08-12 2023-07-05 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
WO2021126697A1 (en) * 2019-12-20 2021-06-24 Applied Materials, Inc. Bake devices for handling and uniform baking of substrates
FI129609B (en) * 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US20210398824A1 (en) * 2020-06-19 2021-12-23 Applied Materials, Inc. Batch wafer degas chamber and integration into factory interface and vacuum-based mainframe
US20240120220A1 (en) * 2022-10-06 2024-04-11 Applied Materials, Inc. Load lock chambers and related methods and structures for batch cooling or heating
CN117174620A (zh) * 2023-10-09 2023-12-05 宸微设备科技(苏州)有限公司 一种基片处理腔室

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02130925A (ja) * 1988-11-11 1990-05-18 Tel Sagami Ltd 縦型加圧酸化装置
JPH08139045A (ja) * 1994-11-07 1996-05-31 Tokyo Electron Ltd 縦型熱処理装置
JPH11340230A (ja) * 1998-05-21 1999-12-10 Kobe Steel Ltd 被処理基板の高温高圧処理装置
JP2001248969A (ja) * 2000-03-07 2001-09-14 Tokyo Electron Ltd 熱処理装置及びその運用方法
US20030053893A1 (en) * 2001-08-31 2003-03-20 Hitachi Kokusai Electric Inc. Substrate processing apparatus and a method for fabricating a semiconductor device by using same
JP2005026253A (ja) * 2003-06-30 2005-01-27 Hitachi Kokusai Electric Inc 半導体装置の製造方法
WO2006055984A2 (en) * 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
JP2007180331A (ja) * 2005-12-28 2007-07-12 Ishikawajima Harima Heavy Ind Co Ltd 熱処理装置
KR101224520B1 (ko) * 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040060519A1 (en) * 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US7158221B2 (en) * 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
JP2007242785A (ja) * 2006-03-07 2007-09-20 Ihi Corp 熱処理装置及び熱処理方法
US8445078B2 (en) * 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
JP2013084643A (ja) * 2011-10-06 2013-05-09 Nano Material Kenkyusho:Kk 半導体製造装置及び製造方法
CN107833848B (zh) * 2013-04-30 2021-12-07 应用材料公司 具有空间分布的气体通道的气流控制衬垫
WO2014192871A1 (ja) * 2013-05-31 2014-12-04 株式会社日立国際電気 基板処理装置、半導体製造装置の製造方法及び炉口蓋体

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02130925A (ja) * 1988-11-11 1990-05-18 Tel Sagami Ltd 縦型加圧酸化装置
JPH08139045A (ja) * 1994-11-07 1996-05-31 Tokyo Electron Ltd 縦型熱処理装置
JPH11340230A (ja) * 1998-05-21 1999-12-10 Kobe Steel Ltd 被処理基板の高温高圧処理装置
JP2001248969A (ja) * 2000-03-07 2001-09-14 Tokyo Electron Ltd 熱処理装置及びその運用方法
US20030053893A1 (en) * 2001-08-31 2003-03-20 Hitachi Kokusai Electric Inc. Substrate processing apparatus and a method for fabricating a semiconductor device by using same
JP2005026253A (ja) * 2003-06-30 2005-01-27 Hitachi Kokusai Electric Inc 半導体装置の製造方法
WO2006055984A2 (en) * 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
CN101061253A (zh) * 2004-11-22 2007-10-24 应用材料股份有限公司 使用批式制程腔室的基材处理装置
JP2007180331A (ja) * 2005-12-28 2007-07-12 Ishikawajima Harima Heavy Ind Co Ltd 熱処理装置
KR101224520B1 (ko) * 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
CN104620354A (zh) * 2012-06-27 2015-05-13 新意技术股份有限公司 基板加热装置及处理腔室
US20150159272A1 (en) * 2012-06-27 2015-06-11 Inoct Co., Ltd Substrate heating device and process chamber
JP2015530477A (ja) * 2012-06-27 2015-10-15 イノシティ カンパニー リミテッド 基板加熱装置及びプロセスチャンバー

Also Published As

Publication number Publication date
KR20190137935A (ko) 2019-12-11
JP7235678B2 (ja) 2023-03-08
CN110574150B (zh) 2023-09-19
US20180315626A1 (en) 2018-11-01
CN116504679A (zh) 2023-07-28
TW201842590A (zh) 2018-12-01
WO2018204078A1 (en) 2018-11-08
JP2020519018A (ja) 2020-06-25

Similar Documents

Publication Publication Date Title
CN110574150B (zh) 具有真空隔离和预处理环境的高压退火腔室
KR101215033B1 (ko) 실리콘 함유 전구체 및 원자 산소를 이용하는 고품질플로우-형 실리콘 이산화물의 화학적 기상 증착
US7902080B2 (en) Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
JP5118271B2 (ja) 遠隔プラズマを用いた膜堆積プロセス及び多段階式のチャンバクリーニングプロセス
KR101115750B1 (ko) 실리콘 이산화물의 막 품질을 강화시키는 신규한 증착-플라즈마 경화 사이클 프로세스
KR101790406B1 (ko) 에칭 방법 및 기억 매체
US7993457B1 (en) Deposition sub-chamber with variable flow
JP4987083B2 (ja) Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
JP6793031B2 (ja) 基板処理装置および基板処理方法、ならびに基板処理システム
WO2007140424A2 (en) Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
KR20070121756A (ko) 상이한 기압에서 공정 처리가 가능한 기판 처리 플랫폼
JP2013530536A (ja) ロードロックバッチオゾン硬化
KR20100088713A (ko) 어모퍼스 카본막의 처리 방법, 그것을 이용한 반도체 장치의 제조 방법 및 그와 관련된 컴퓨터 판독 가능한 기억 매체
US9390907B2 (en) Film forming method of SiCN film
JP2011529271A (ja) 応力蓄積を解放する堆積方法
CN112996950B (zh) 使用增强扩散工艺的膜沉积
US11955333B2 (en) Methods and apparatus for processing a substrate
TWI797856B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
KR20230159859A (ko) 기판을 프로세싱하기 위한 방법들 및 장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant