CN1695230A - 多区域电阻加热器 - Google Patents

多区域电阻加热器 Download PDF

Info

Publication number
CN1695230A
CN1695230A CNA038249774A CN03824977A CN1695230A CN 1695230 A CN1695230 A CN 1695230A CN A038249774 A CNA038249774 A CN A038249774A CN 03824977 A CN03824977 A CN 03824977A CN 1695230 A CN1695230 A CN 1695230A
Authority
CN
China
Prior art keywords
heating element
element heater
platform
heater
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA038249774A
Other languages
English (en)
Inventor
H·霍
崔安青
袁晓雄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1695230A publication Critical patent/CN1695230A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Resistance Heating (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种整合式沉积系统,其能汽化低蒸汽压力的液体前驱物并输送该蒸汽至一处理区,以制造先进的集成电路。该整合式沉积系统包括一已加热的排除系统、一远端电浆产生装置、一处理室、一液体配送系统与一电脑控制模块,且该些装置共同创造出一种具商业可行性与生产价值的利用低蒸汽压前驱物来沉积高效介电材料(high capacity dielectric material)的系统。

Description

多区域电阻加热器
【技术领域】
本发明系有关于一种用于处理室的加热装置,特别是用于化学气相沉积室的加热装置。
【背景技术】
化学气相沉积法(chemical vapor deposition,CVD)系为一种在一基材上沉积各种薄膜的常用方法,并被广泛地用于半导体集成电路制造中,例如用来处理半导体晶圆以形成独立的集成电路元件。在典型的CVD制程中,系将一或多个晶圆置于一沉积或反应室中,并将一反应气体引入该腔室内,并于一加热表面上进行分解与与反应,而在该(些)晶圆上形成一薄膜。
目前现行有多种单晶圆(single-wafer)或多晶圆(multi-wafer)CVD反应室。多晶圆反应室通常具有多个用来固持晶圆的垂直炉,例如可持有25个或更多个晶圆。对于用来沉积四氮化三硅(Si3N4)或多晶硅(polysilicon)的低压CVD而言,如0.25-2.0托耳(torr),通常一多晶圆室的沉积时间可能约数小时。例如,在一多晶圆室中,视沉积厚度的不同,四氮化三硅在约介于700℃至800℃的温度下需要4至5小时的沉积时间。
第二型CVD反应室系为单晶圆室。在该室中,晶圆系由一平台(stage)或承载器(susceptor)来支撑。在反应过程中,可旋转该承载器。对于四氮化三硅的低压化学气相沉积制程(LPCVD)而言,例如,可在700℃至800℃的温度下持续制程两分钟来制造出适当的膜层厚度。
通常用于CVD系统中的加热系统有两种:电阻式加热系统,系利用一个于现在该晶圆位置的电阻加热元件;以及辐射式加热系统,系利用通常设置在该反应室外的一辐射加热元件,如一或多个灯。在一单晶圆反应室中的电阻式加热系统多常会将该电阻加热元件直接安装在用来支撑晶圆的平台或承载器中。此种方式可使在沉积过程中的反应能大致集中发生在该晶圆处。
位在用来支撑一晶圆的平台或承载器中且使用一加热元件的单晶圆式电阻加热系统通常为一导电材料的薄层,例如形成于该承载器主体的单一平面中的钼(molybdenum,Mo)材料的薄螺旋层(thin coiled layer,约2密耳(mil))。此种设计可能被称为一种「单区域电阻加热器(single-zone resistiveheater)」,该「区域(zone)」系指在该平台或承载器主体中一单一平面内的加热元件位置。在CVD反应中使用的电阻加热器通常具有接近550℃的温度相容性(compatibility)。若处于更高温度时,则电阻加热器可能出现温度不一致的问题。造成温度不一致的其中一个原因是,电阻加热器(特别是平台或承载器的边缘处)中的热损失会随着温度的升高而增加。单区域电阻加热器通常不具有补偿整个平台或承载器中的热损失差异的能力。而一反应室中的压力亦可能改变单区域电阻加热器的温度稳定性。
此外为了提供必要的温度,该电阻加热器元件需经得起反应室中包括高温与各种化学物质等各种化学环境的考验。在现有单区域电阻加热器的相容性的解决方法系以氮化铝(aluminun nitrile,AlN)来形成承载器,并将该加热元件形成于承载器内部。
辐射加热系统通常将多个灯安置在反应室内的抗热性保护玻璃或石英的后方。当通过该些灯加热整个反应室时,会在反应室内部各处发生化学气相沉积反应。
辐射或灯加热系统提供了产生高反应室温度的优点,并且相较于电阻加热系统来说,辐射或灯加热系统提供更好的温度控制。然而,由于使用如灯等辐射加热系统系安置于反应室外,而当反应室壁涂布有化学物质、其他材料或使用于反应室中的反应产物时,则对于控制反应室内部温度会变得较为困难。因此,当反应室中所使用的材料沉积在其玻璃或石英上时,则可能会造成加热效率下降并影响制程产能。
因此,使用辐射加热系统的反应室必须经常加以清洗。一种常用的清洁剂系三氟化氮(NF3)。在四氮化三硅的CVD制程中,可能发生,如在石英窗等反应室内部的室壁或其他组件上生成四氮化三硅的反应产物。以诸如三氟化氮等清洁剂自反应室中清除四氮化三硅,并不容易。并且清洗温度通常必须极高,以分解三氟化氮并提供足够的热能量来清除四氮化三硅。当清洗温度高时,三氟化氮亦会攻击室中的其他组件,例如承载器。使用远端电浆来激活(energize)三氟化氮可能降低该清洗温度,但被活化的三氟化氮物种(特别是自由基(radical))将可能攻击石英部分。因此,目前并无有效清洗辐射加热基础的反应室的方法。由于不容易以三氟化氮来清洗反应室壁,因此四氮化三硅材料会累积在反应室中,并缩短反应室的寿命。
在LPCVD反应中,温度的一致性通常很重要。与CVD制程相关的表面反应通常通过一反应速率为R的热活化现象来展示,其方程式如下:
                      R=R0e[-Ea/kT]
其中R0为频率因子(frequency factor),Ea为以电子伏特(eV)来表示的活化能(activation energy),以及T为凯氏温度(degrees Kelvin)。根据此方程式,该表面反应速率会随着温度的上升而升高。在如进行四氮化三硅沉积的LPCVD制程中,活化能(Ea)通常很高,像是0.9至1.3电子伏特。因此,为了在整个晶圆表面上能得到一均匀厚度,需严密控制整个晶圆表面的温度一致性,例如较佳系将温度控制约在750℃±2.5℃或更小的温度偏差范围之内。
当反应室洁净时,即使在高温下(如750℃),现有单晶圆辐射加热系统提供可接受的温度一致性。然当材料累积在该室壁上时,将不易使温度均匀一致。
同样不易使用一单区域电阻加热器来使整个晶圆获得均匀的高温(如700至750℃)。如前述所提及得,在高温下,承载器整个表面上的热损失并不一致。单区域加热器无法补偿如从承载器中心向其边缘处逐渐增加的热损失。因此,如何达成温度均匀一致是一个令人困扰的问题。
如上所述的单区域电阻加热器及750℃温度的另一个问题系该些与局部加温有关的问题。在高温下,单区域加热器系借着将高密度功率供应至位在一局部区域上的加热元件而表现出集中的局部加热作用。因此,温度一致性受到影响。单区域电阻加热器的第三个问题是该加热元件制造过程中的各种变数可能造成导致温度不一致的加热元件性能变动。再者,在高温操作下,由于自电源末端将高功率密度供应至加热元件,而使得单区域加热器具有较短的寿命。
又再者,现有电阻加热器与提供该种加热器的反应室供应了有限的动态温度测量。一般而言,系借着一个通常安置在承载器表面下方某一点中间的热电偶(thermocouple),方能提供动态温度测量。温度测量(如借着热电偶)可能提供承载器中心温度的精确测量,但无法提供任何有关承载器边缘温度的资讯。能使用一种可从反应室外一有利位置看到反应室内部温度的热像仪(thermalcamera),但该仪器通常仅能提供反应室内部温度的静态资讯。任何与调整制程方法有关的反应室压力变化亦可能参与反应室内部反应温度的控制。因此,单区域电阻加热系统通常限制在一特定压力与温度下使用。不论是改变反应室温度或其压力均可能对温度一致性造成负面影响。因此,此种单区域加热系统不适用于该温制程。
目前需要一种反应室与一种能与高温操作制程相容的反应室的加热系统,如像是用于700℃或更高温度的制程下,且该加热系统对化学元素具有化学抗性,且能在一反应位置上达到高的温度一致性。
【发明内容】
本发明揭露一种加热装置。在一实施例中,该加热装置包括一平台或一承载器,其包含一主体及一用以支撑晶圆的表面区域;一传动轴,系耦合至该平台;及一第一与一第二加热元件。该第一加热元件系安置于该平台主体的一第一平面中。该第二加热元件系安置于该平台主体的一第二平面中,且该第二平面较该第一平面距离该平台表面更远。该第二加热元件系从与该第一加热元件的该第一平面实质平行的平面上偏离该第一加热元件。根据此实施例,揭露一种由该第一与该第二加热元件所定义出的多区域加热装置。如此一来,本发明允许独立控制该平台的至少两个不同加热区域,因此,相较于现有单区域加热装置而言,本发明增进了对该平台的温度控制能力与温度一致性。
另一方向中,本发明提供一种电阻加热器,其能在高温下运作,并提供所有单区域电阻加热器增进的温度一致型。每个加热元件可能受个别控制,以使整个平台表面维持均匀一致的温度。例如,当该平台中心区域的热损失较大时,可将更多的电阻热提供至与该些区域连接的加热区域,在不考虑热损失的情况下维持所选择的操作温度。可借着改变位在该平台的一区域上的多重加热元件的电阻来达成上述方法。例如,当所侦测到整个传动轴的热损失可能大于该平台其他区域上的热损失,便可提高对应至该传动轴的平台区域(例如传动轴上方)中的加热元件电阻。同样地,当侦测到该平台边缘的热损失大于其他区域的热损失时,便可提高对应于该平台边缘区域的区域中的加热元件电阻。
本发明还揭露一种反应器,在一实施例中,其包括一腔室与一电阻加热器。该电阻加热器包括一平台,系位在一腔室中且具有一主体及一用以支撑晶圆的表面区域;一传动轴,系耦合至该平台;一第一加热元件,位在该平台主体的一第一平面中;以及一第二加热元件,位在该平台主体的一第二平面中。该第二加热元件系从与该第一加热元件的该第一平面实质平行的平面上偏离该第一加热元件。在一方向中,在对应于该平台的第一部位的区域中,该第一加热元件的功率密度大于该第二加热元件的功率密度。同时,在对应于该平台的第二部位的区域中,该第一加热元件的功率密度小于该第二加热元件的功率密度。
如上所述,该反应器提供一多区域电阻加热器(如一单晶圆加热器),其包括至少两个电阻加热元件安置在该平台或承载器的不同平面中。该些个别的加热元件允许如借着改变该平台不同区域中加热器元件的功率密度,而朴利控制该平台的多个分离区域。在一实施例中,借着将该第一加热元件设置在较该第二加热元件更接近该平台表面的处,将一更高功率密度提供至该第二加热元件,以负担该平台边缘区域上较大的热损失情形同时使该些具有较大功率密度的可能性局部「热点(hot spots)」降至最少。相较于仅在承载器中心内部具有单一热电偶的现有反应器而言,连接于一实施例的反应器的多个温度侦测器可提供该电阻加热器更一致的温度控制。
此处更揭露一种用于化学气相沉积装置的电阻加热系统。在一实施例中,该加热系统包括一电阻加热器,其包含一平台,该平台具有一主体及一用以支撑晶圆的表面区域;一传动轴,系耦合至该平台;一第一加热元件;以及一第二加热元件,该两加热元件位在该平台主体的不同平面中。该第二加热元件从与该第一加热元件的该第一平面实质平行的平面上偏离该第一加热元件。该加热系统提供一个具有至少两个不同加热元件的多区域电阻加热器,以控制该加热器的温度,该加热器能改善如一高温CVD制程中的温度一致性,包括在超过700℃的温度下所操作的制程条件(如LPCVD)。
文中亦揭露一种控制反应器内部温度的方法。在一实施例中,该方法包括提供一功率至一第一电阻加热元件,其系位在该加热器的平台主体的一第一平面中,以及一第二电阻加热元件,其位在该平台主体的一第二平面中,其中该第二加热元件系从与该第一加热元件的该第一平面实质平行的平面上偏离该第一加热元件。该方法亦包括改变该些位在该平台中至少两个区域内的第一与第二电阻加热器至少其中一者的电阻。
该装置、反应器、加热系统以及该方法的其他特征与优点系以所附图示、详细叙述内容及申请专利范围附加实施例揭露如下。
本发明的一或多个实施例的细节系显示于下述内容及附图中。可由下述内容、附图及申请专利范围来了解本发明的其他特征、目的与优点。
【附图说明】
图1系一化学气相沉积系统的侧视剖面图,显示根据本发明一实施例的「晶圆处理」配置中的一个位于一反应室内的加热器;
图2系图1的化学气相沉积系统的一侧视剖面图,显示根据本发明一实施例的「晶圆分离」配置中的一个位于一反应室内的加热器;
图3系图1的化学气相沉积系统的一侧视剖面图,显示根据本发明一实施例的「晶圆装载」配置中的一个位于一反应室内的加热器;
图4系将根据本发明一实施例的化学气相沉积系统的加热器局部放大1/2倍的剖面图;
图5系根据本发明一实施例的化学气相沉积系统的加热器的底视图;
图6系根据本发明一实施例,沿图4中线段A-A所绘示化学气相沉积系统的加热器的平台或承载器的上视图;
图7系根据本发明一实施例,沿图4中线段B-B所绘示化学气相沉积系统的加热器的平台或感受装置的上视图;
图8系根据本发明一实施例的化学气相沉积系统的加热器的平台或感受装置的上视图,以显示平台上的三个区域;
图9系根据本发明一实施例的化学气相沉积系统的加热器的平台或感受装置半径对功率比的作图;
图10系根据本发明一实施例的化学气相沉积系统的局部放大剖面图,显示两个耦合在该室壁的顶部的高温计;
图11系根据本发明一实施例的化学气相沉积系统的反应室的上视图,显示两个各自位于加热区域上方的高温计;
图12系根据本发明一实施例,显示在一化学气相沉积室中处理一晶圆的方法的流程图;
图13系为一个具有一控制器的本发明实施例的块状示意图,用来控制供应至该加热器的一加热元件的功率的控制器;
图14系图6中第一加热元件的实施例的上视图,该第一加热元件系位于图7的第二加热元件的顶面上;
图15系沿图14的C-C平面所绘示的剖面图;
图16系图6中第一加热元件的实施例的上视图,该第一加热元件系位于图7的第二加热元件的顶面上;
图17系沿图16的D-D平面所绘示的剖面图;
图18系一加热器的第一加热元件的实施例的上视图,该第一加热元件系位于该加热器的第二加热元件的顶面上;
图19系沿图18的E-E平面所绘示的剖面图;
图20系一加热器的第一加热元件的实施例的上视图,该第一加热元件系位于该加热器的第二加热元件的顶面上;
图21系显示一第一加热器与一第二加热器的温度趋势;以及
图22系一加热器的第一加热元件的实施例的上视图,该第一加热元件系位于该加热器的第二加热元件的顶面上。
【具体实施方式】
本发明系有关于一加热装置、一反应器、一种用于化学气相沉积装置的加热系统以及控制该反应器内部温度的方法。在一样态中,本发明利用一种加热装置,其适合用于支撑单一晶圆(如半导体晶圆)于一反应室内的平台或承载器上。该加热装置包括至少两加热元件,以使该承载器表面(以及位在承载器上的晶圆表面)维持均匀一致的反应温度。在一实施例中,每个加热元件系置于该加热器的承载器内的一不同平面中。在一实施例中,每个加热元件耦合至一电源,且在整个承载器区域的每个加热器电阻可能改变。可测量该承载器表面或该晶圆的不同区域处的温度,并由该些加热元件来控制的。借着控制该加热装置的个别的加热元件,使得如反应室中的热损失与压力变化等因子得以调整,且即使是在高于700℃的温度下,以能达到改善的温度一致性。因此,一种具有多加热元件(如一个多区域加热器)的加热装置提供了一种有用加热元件,其能用于CVD反应器或系统及适合四氮化三硅与多晶硅沉积的高温LPCVD制程。
根据本发明一实施例,第1、2与3图显示一个并入一依据本发明实施例制成的反应器的系统的局部剖面图。此种系统可使用在如CVD制程中,包括用来在一晶圆或基材上沉积四氮化三硅与多晶硅的LPCVD制程。
图1显示在「晶圆处理(wafer-process)」位置时的处理室主体100之内部。图2同样显示在「晶圆分离(wafer-seperate)」位置时的室剖面图。最后,图3显示在「晶圆装载(wafer-load)」位置时的室剖面图。
第1、2与3图显示一室主体100,其定义出一个反应室145,一制程气体(或多种气体)与该晶圆之间的反应(如CVD反应)系发生于该反应室145中。在一实施例中,室主体100系由铝所构成,且具有多个通道102,系用来使泵送的水流过其间以冷却该室主体100(例如一「冷壁(cold-wall)」式反应室)。在此视点中,位于室145内部者系电阻加热器150,其包括一个由传动轴158所支撑的承载器155。在一实施例中,该承载器155具有一个能有效支撑一半导体晶圆的表面区域。一直径约9.33英吋且由一长度约10英吋的传动轴所支撑的圆柱状承载器适合用来支撑一个直经约8英吋的晶圆。
制程气体通过一个位在反应室主体100的室盖170顶部表面的气体配送埠175,而进入密闭的室145。借着在此视点中显示位于该电阻加热器150上方且耦合至该反应室145内的室盖170的一个孔状面板180(perforated face plate)而将该制程气体配送至该室145中各处。
由该室主体100的一侧面部位中的入口埠105(entry port)将一晶圆置于该室145内的承载器155上。为了容纳该晶圆以进行处理,系令加热器150下降,以使该承载器155的表面如图3所示般低于入口埠105。通常系利用机器人传送装置借着如使一传送刀片(transfer blade)进入该室145中并到达该承载器155的上表面的方式来装载晶圆。一旦装载完毕,随即密封该入口埠105,且利用一个如步进马达的类的举升组件160使该加热器150朝向面板180的方向升高。当该晶圆距离该面板180一短距离(如400-700密耳)时,便停止升高的动作。此时,以一配气盘(gas panel)控制制程气体通过气体配送埠175与孔状面板180而流入该室145中,且该些制程气体通常会发生反应或沉积在一晶圆上以形成一薄膜。在一压力控制系统中,系由一或数个耦合至该室145的压力调节装置来创造并维持该室145中的压力。在一实施例中,例如,可如现有般使用一个(多个)耦合至该室主体100的巴拉多姆压力调节装置(baratomepressure regulator)来创造与维持该压力。
完成处理步骤后,将剩余的一或多种制程气体从该室145中通过一泵送盘185(pumping plate)而泵送至一收集容器。随后可能例如以一钝气来清洗该室145,例如氮气。完成处理与清洗步骤后,利用一个举升组件160使该加热器150下降至如图2所显示的位置。当该加热器150移动时,升降顶针195具有一末端,系延伸穿过位于该承载器155表面中的开孔或,以及具有一第二末端,其系以悬吊方式从该承载器155的下表面处开始延伸,且该顶针195与位在该室145的基底的升降盘190接触。如图2所绘示,在一实施例中,此时该升降盘190并不似该加热器150般从一晶圆装载位置上升至晶圆分离位置。反的,该升降盘190会如图2所示般地,在该传动轴158上维持一参考高度H1。当加热器150借着举升组件160的动作持续朝下移动时,升降顶针195维持静止且适当地自该承载器表面155的顶面或上表面延伸出,以将一处理过的晶圆从该承载器155的表面上分离。
一旦一已处理过的晶圆从该承载器155的表面分离后,一机器人装置的传送刀片会伸进入口埠105至该室145内部的一「拣出(pick out)」位置。该「拣出」系位置低于该已处理过的晶圆。接着,举升组件160向下移动(例如降低)该升降盘190在该传动轴158上达到如图3所示的一第二参考高度H2。借着向下移动该升降盘190,升降顶针195亦朝一向下方向移动直至位在该升降顶针195表面上的该已处理晶圆的下侧(underside)接触到该传送刀片。随后以如一机器人传送装置通过该入口埠105而将该已处理的晶圆移除,并传送该晶圆至下一个处理步骤。随后可能将一第二晶圆装载至该室145中。可反向执行上述该些步骤以携带该晶圆至一处理位置。一适当举升组件160的详细叙述系揭露在授予应用材料公司(Applied Materials,Inc,of Santa Clara,California)的美国专利案5,772,773号中。
例如四氮化三硅或多晶硅的LPCVD处理等高温操作过程中,该室145内的反应温度可能高达750℃或更高。因此,在该室145中的暴露组件必须能相容于(compatible with)此种高温处理制程。且该些材料亦需能相容于该些制程气体及其他化学物质,例如该些可能导入该室145中的清洗化学药剂。在一实施例中,加热器150的暴露表面系由氮化铝所构成。例如,承载器155与传动轴158可能由类似于氮化铝的材料所构成。或者,在一较佳配置中,当传动轴158由一热传导系数较低的氮化铝(热传导系数约介于60瓦特/mK(W/mK)至100瓦特/mK之间)所构成时,该承载器155的表面系由热传导系数较高的氮化铝材料(纯度约95%且热传导系数约介于140瓦特/mK至200瓦特/mK之间)所构成。通常系通过扩散接合或该些同样能忍受该室145的环境的焊接方式,将加热器150的承载器155结合至传动轴158。
当进行处理步骤时,升降顶针195亦位在该室145中。因此,升降顶针195必须能相容于该室145内部的操作条件。适用于升降顶针195的材料包括(但不仅限于此)蓝宝石(sapphire)或氮化铝。暴露在该室145的环境中的组件更包括升降盘190。因此,在一实施例中,该升降盘190(包括一部份的升降盘190的传动轴)系由一氮化铝组合物(例如热传导系数约介于140瓦特/mK至200瓦特/mK之间的导热性氮化铝)所构成。
图1亦显示加热器150的局部剖面图,包括承载器155的主体的剖面图以及传动轴158的剖面图。在此图中,图1显示承载器155的主体具有两个加热元件,第一加热元件250与第二加热元件260。第一加热元件250与第二加热元件260系形成于承载器155的主体内的不同平面中。对于厚度约0.68英吋(或1.728公分(cm))的一承载器而言,第一加热元件系位于距离该承载器表面约5至8毫米(mm)处。将于下述内容中讨论该第一加热元件250的位置相对于该承载器155的表面的意义。
每个加热元件(例如加热元件250与260)系由该些与承载器具有相同热膨胀特性(thermal expansion)的材料所制成。该种材料的其一便包括钼(molybdenum,Mo),其与氮化铝具有相似的热膨胀系数。在一实施例中,每个加热器包含一层螺旋状且由钼材料所构成的薄膜(例如2密耳)。
在图1中,相对于该承载器155的表面而言,第二加热元件260系形成于该承载器155主体内低于该第一加热元件250位置的下方平面中。在一实施例中,对于厚度约1.728公分的承载器而言,第二加热元件260系位在距离该第一加热元件250所在平面约5毫米处的一平面中
在此实施例中,第一加热元件250与第二加热元件260分别耦合至数个电源端。当导线沿着贯穿该传动轴158的纵向延伸开孔到达可供应能量以加热该承载器155表面的电源时,该些电源端系往一向下方向延伸。
热电偶210亦位在图1所示的加热器150的剖面图中。热电偶210系延伸穿过一贯穿该传动轴158的纵向延伸开孔,而到达位于该承载器155顶面(或上表面)的正下方的一点。在一实施例中,该承载器155为圆柱形,热电偶210延伸至大约对应至该圆柱状主体中点的一点上。
如上所述,反应器145内部的环境对于多种材料而言可能极为严苛。借着如同连接至一电源的该导线般的方式,将该热电偶210安置在一反应器相容传动轴与承载器中的开孔内,可使当该些组件暴露在该室145的环境时,能缓和该些部位的老化(degradatio)或分解(discomposition)现象。
图4系显示含有承载器155与传动轴158的加热器150放大1/2倍的侧向剖面图。图4显示传动轴158具有一个约相当于该传动轴长度的纵向延伸开孔,用来容纳加热器150的各种组件。该些组件包括连接至第一加热元件250的导线215A与215B,以及连接至第二加热元件260的导线220A与220B。该些导线215A、215B、220A与220B的其中一端耦合至一个或多个用来提供每个加热元件必要能量的电源供应器,以提供该特定制程一必要温度。
可见于图4中,该些导线系延伸进入加热器150的承载器155的主体中。导线215A与215B从传动轴158向上延伸进入承载器155的主体内,而到达约由A-A线段标示出的平面所定义出的一点,该A-A线段所标示的平面系对应形成于承载器155主体内的第一加热器250的位置。导线220A与220B从传动轴158向上延伸进入承载器155的主体内,而到达较该些导线215A与215B距离承载器155的表面更远的一点。导线215A与215B从传动轴158向上延伸到达约由B-B线段标示出的平面所定义出的一点,该B-B线段所标示的平面系对应形成于承载器155主体内的第二加热器2650的位置。
图4亦显示承载器155的表面的放大图。在此视点中,显示该承载器155的表面具有晶圆容器156,其系一个深度约0.03英吋的凹槽。装载在承载器155的表面上的晶圆会安放在该晶圆容器156中。晶圆容器156的其一用途系用来将一晶圆固定(trap)在承载器155的表面上,或阻止一晶圆从承载器155的表面上滑落,特别是在晶圆装载步骤中。在一实施例中,晶圆容器具有一弯曲边缘,例如一个具有约介于60°至80°的角度的边缘。
图4亦显示用来支撑升降顶针195的开孔198的放大图。在一实施例中,升降顶针195具有一头部,该头部的直径大于顶针本身主体的直径。当加热器150处于「晶圆处理」阶段时,升降顶针195系处于与承载器155的表面齐平的状态(亦与该晶圆容器156的表面齐平)。因此,在开孔198的上方部位(上端,superior end)具有一够大的直径,以容纳升降顶针195的头部。因此,对于具有一个厚度约0.11英吋的头部的升降顶针来说,该开孔198的上端将具有约0.11英吋的深度,以容纳该升降顶针195的头部。开孔198的上端下方的部位的直径则较小,以避免升降顶针195从开孔中滑脱。通常倾向通过开孔198来进行热损失。因此,在实施例中,系缩小开孔198的直径以减少热损失。例如,开孔198的上方部位的直径为0.180英吋,以容纳具有相似并稍小的直径的升降顶针头部。开孔198的其他部位的直径则为0.13英吋,以容纳容纳具有相似并稍小的直径的升降顶针主体。
在某些范例中,系在其他不同于大气压的压力下操作一CVD反应制程。在一LPCVD反应条件下,例如通常使该室145(参考第2、3与4图)内的压力约介于如1至125托耳。如所述的,加热器150的外部系暴露在该室145内部的反应条件下。同时,加热器150的数个外表面亦暴露在此真空中,而加热器150之内部则受到保护而与反应室145内的环境隔离。因此,例如,导线215A、215B、220A与220B及热电偶210系因置于贯穿该传动轴158的开孔或通道内并进入承载器155的主体中,而得以与反应室14中的环境隔离。在一实施例中,贯穿传动轴158的开孔或线管中的压力与呈现于该室145内的真空压力不同。或者,贯穿传动轴158的该开孔或线管系处于大气压力下。因此,用来移动(例如上升或下降)加热器150的步进马达与反应室145内部的升降盘190的尺寸系经设计,以抵抗反应室内的真空力量而作移动。故本发明的加热器配置的一优点在于可将与反应室环境隔离且位于加热器150中的该些组件组装(nest)在一起,而使传动轴的尺寸及传动轴158内部的容积不至于因尺寸过大,而无法安装在用来在反应室145内部移动(例如上升与下降)加热器150的马达上。将加热元件(如第一加热元件250与第二加热元件260)分置于承载器155主体内的不同表面上,能允许达成上述组装步骤。组装步骤亦可使系统中通过传动轴158内的开孔或线管所进形的热损失达到最小。
图5显示一个根据发明的加热器的实施例。此图中,显示该些用于第一加热元件250的独立导线215A与215B及用于第二加热元件260的独立导线220A与220B共同组装在承载器155的中心。此图亦显示,热电偶210与该些导线215A、215B、220A与220B组装在一起。如此图所见,加热器150的传动轴158的直径可能会被缩小至如约为承载器155的直径的1/3。图5亦显示在承载器155的主体中有4个开孔198。该些开孔198支撑用来从承载器155的上表面举起或降下一晶圆的该些升降顶针195。
图6显示沿图4中的A-A线段所绘示的承载器155的俯视剖面图。在此图式中,显示该第一加热元件250形成于图4的A-A线段所定义出来的平面中。在一实施例中,第一加热元件250系由两个方向相反的盘绕部位230A与230B以一类似镜像的方式所构成。
第一加热元件250的盘绕部位230A与230B系形成在承载器155的主体内由图4的A-A线段所定义出的平面中。第一加热元件250的盘绕部位230A与230B会分别耦合至末端216A与216B,以将该些盘绕部位分别通过导线215A与215B而连接至一电源。在一实施例中,盘绕部位230A与230B系由能够忍受电源的电流需要及加热器所需的温度范围的材料所制成。在一实施例中,盘绕部位230A与230B系选择具有与氮化铝相似的热膨胀系数的材料所构成。如所述的,在一氮化铝平台或承载器中形成一厚度约2密耳的钼材料可产生超过750℃的承载器温度(当其耦合至一适当的电源供应器时)。在一实施例中,相反方向的盘绕部位230A与230B在末端216A与216B处约相距3至5毫米。可缩小该些盘绕部位之间的距离,以减少该些盘绕部位之间任何有效的「冷区域(cold-zone)」。
图7显示图4的B-B线段所指示的承载器155的俯视剖面图。图7绘示出第二加热元件260的平面。在一实施例中,第二加热元件260系形成在承载器155的主体内一个较该第一加热元件250距离该承载器表面更远的位置中(例如A-A线段所定义的平面较B-B线段所定义的平面更接近该承载器155的表面)。图6亦然,第二加热元件260包括两个相反方向的盘绕部位232A与232B,在一较佳实施例中,系以钼在B-B线段所定义的平面中形成一镜像式样。第二加热元件260的盘绕部位232A与232B会分别耦合至末端221A与221B,以将该些盘绕部位分别通过导线220A与220B而连接至一电源。在一实施例中,相反方向的盘绕部位232A与232B在末端221A与221B处约相距3至5毫米。可缩小该些盘绕部位之间的距离,以减少该些盘绕部位之间任何有效的「冷区域(cold-zone)」。
在第6与7图所绘示的实施例中,第二加热元件260的盘绕部位232A与232B系如图7所示,依轴心217B为准而互呈反向盘绕;以及第一加热元件250的盘绕部位230A与230B系如图6所示,依轴心217A为准而互呈反向盘绕。
在一实施例中,可能相对于盘绕部位230A与230B的方向旋转180°来作为盘绕部位232A与232B的盘绕方式。如此一来,不论是第一加热元件250或第二加热元件260中的位于反向盘绕部位之间的区域均可由另一加热元件来得到补偿。需注意的是,不需如图式般地将该些加热元件的盘绕部位的配置方式设定为180°。或者,可使该些加热元件盘绕部位的一个直接位于另一者的上方(即非互补),或可使其中一个重叠在另一个上面,且/或以不同角度及/或距离来线性地配置该些盘绕部位,而得以某些方式来补偿介于该些反向盘绕部位之间的区域。
图8显示承载器155的俯视示意图。系将承载器155的表面分割成至少三块区域。如图8所示,面积245形成一块由半径R1所定义出来的区域。区域245系联合承载器155位在传动轴158上方的面积。区域254具有一面积,系为半径R2所定义出的面积减去半径R1所定义出的面积245。区域255衔接承载器155的边缘,并具有一面积系为半径R3所定义出的面积减去由半径R2所定义出的区域254面积与半径R1所定义出的区域245面积。
在一实施例中,第一加热元件250与第二加热元件260具有独立的热分布,因此可个别地加以控制。如此一来,在某些点上,该第一加热元件250可能较该第二加热元件收到更多或较少的功率。亦可在承载器155的主体中增添额外的加热元件,而在电阻加热器中再定义出一个增加的加热区域。并入多个加热元件所需考量的事项包括承载器155的多个平面的位置以及新增导线的组装。
当该些加热元件的厚度大致保持固定时,改变在整个承载器155区域中每个加热元件的线宽,系为独立控制该第一加热元件250与第二加热元件260的一种方式。对于电阻加热器而言,通常注意到的是,供应至加热器且通过该加热器而释出热量的功率系与加热器中的电阻有直接相关。对于具有一固定厚度的电阻加热元件来说,加热元件的较宽部位(即较大的体积)的电阻较小,并需要较少的功率来驱动一电流,以及该较宽部位所释放出的热会少于该加热元件的较窄部位(即较小的体积)所释放出的热量。因此,缩小某些点上的加热元件宽度(即缩小该加热元件的体积),则供应至该加热元件的该些点上以驱动一电流的功率,将大于些供应至该加热元件中该些未缩小线宽的点上的功率。同样地,在该些缩小线宽的点上所释放出的温度,将大于该加热元件的该些未缩小线宽的点上的温度。其次,该些具有较窄线宽的部位将具有较大的功率密度(通常定义为在一长度的加热元件中驱动一电流所需的功率)。
在图6中,第一加热元件250系如一厚度约2密耳的钼材料。在此实施例中,系改变该第一加热元件250的线宽,以在该第一加热元件250的区域245(参考图8)中设置一功率分布。在此实施例中,系定义区域245包含位在传动轴158上方的区域。在一实施例中,一开孔形成于该传动轴158中且贯穿的,以容纳热电偶210与导线215A、215B、220A与220B,且在该开孔处的承载器155的热损失将大于标示为区域245(整个联合位在该传动轴158上方区域的承载器155的区域)中任一承载器155的区域的热损失。因此,第一加热元件250的区域245的功率密度将大于加热元件250的区域255的功率密度。
参考第6与图8,在一实施例中,加热器150的第一加热元件对应至区域245(参考图8)的线宽W1小于对应至区域255(参考图8)的线宽W2。电流通过第一加热元件250的较小线宽W1部位所遭遇到的电阻将大于其通过加热元件250的其他部位(如线宽为W2的部位)所遭遇到的电阻。对一厚度约2密耳的钼材料加热元件来说,例如线宽W1可能为线宽W2的10%或更小,以提高该区域245的功率分布,使其相对高于区域255的功率密度。在一钼材料的实施例中,第一加热元件250的电阻约介于2欧姆(ohms)(如线宽W2)至4欧姆(如线宽W1)之间。
参考第7与图8,在此实施例中,系定义区域255使其包括对应至承载器155的边缘的一区域。一实施例中,承载器155中标示区域255的边缘处将具有较大的热损失。故例如,加热元件260的区域255的功率密度将大于承载器155的其他区域的功率密度。
参考第7与图8,第二加热元件260系如一厚度约2密耳的钼材料。在此实施例中,系改变该第二加热元件260的线宽,以将功率密度集中在该第二加热元件260的区域255(参考图8)中。第二加热元件对应至区域255(参考图8)的线宽W4小于对应至加热器150的承载器155其他区域的线宽W3。电流通过第二加热器260的较小线宽W4部位所遭遇到的电阻将大于其通过加热元件260的其他部位(如线宽为W3的部位)所遭遇到的电阻,因此在第二加热元件260的区域255中将释放出较大量的热。对一厚度约2密耳的钼材料加热元件来说,例如线宽W4可能为线宽W3的10%或更小,以提高该区域255的功率分布,使其相对高于区域245的功率分布。在一钼材料的实施例中,第二加热元件260的电阻约介于2欧姆(ohms)(如线宽W3)至4欧姆(如线宽W4)之间。
图9系作图显示加热器150的承载器155表面上的加热元件独立控制情形。图9系显示供应至第一加热元件与第二加热元件的功率比(power ratio)对承载器155半径所作的图形。在此实施例中,该功率比系定义为该第一加热元件250的功率与该第二加热元件260的功率的比值。且如图所是的,由于额外功率供应至第一加热元件250,致使第一加热元件250的区域245中的功率比大于承载器155其他区域中的功率比。同样地,由于额外功率供应至第二加热元件260,致使第二加热元件260的区域255中的功率比大于承载器155其他区域或区域中的功率比。
如第4至8图所绘示的多区域电阻加热器允许数个分开设置的加热器承载器的独立区域或区域,藉以在整个承载器表面上提供较单区域电阻加热器更佳的温度一致性。例如,如第4至第8所示的双区域电阻加热器允许将一第一区域(区域245)独立设置在承载器155的其他区域中。因此,可在不牺牲整个承载器155表面的温度一致性的条件下,解决通过传动轴158所造成的热损失。同样地,可将一第二区域(区域255)独立设置在承载器155的其他区域中。因此,即使处于750℃或更高温度时,亦可在不牺牲整个承载器155表面的温度一致性的条件下,解决承载器155的边缘处的热损失问题。因此,当应用至高温度用途时(例如接近750℃或更高),可对控制该些分隔的区域,而得以借着第一区域245与第二区域255的提高的功率密度来补偿如承载器155的传动轴158与边缘处的热损失。也因此,相较于现有单区域电阻加热器而言,更能将承载器155的整个表面的温度维持一几乎固定的值。
在第4至8图中,第一加热元件250与第二加热元件260几乎在承载器155中占据相同的面积。此种配置的优点在于当一加热器元件失效时,可使用另一个加热元件来加热承载器155的整个表面。需注意的是,该些独立的加热元件并不需要占据相同的承载器155区域,但可设计该些加热元件,使其仅占据如区域245或区域255等特定区域。
在第4至8图中,第一加热元件250系位在一个较第二加热元件260所在的平面(如图4的B-B线段所示)更接近承载器155的上表面的平面中(如图4的A-A线段所示)。在一实施例中,第一加热元件250距离该承载器155的表面约5至8毫米。如上述方式将该第一加热元件250与该表面分离,以提供较佳的温度分布与减少局部受热情形。
需明白,可根据制程条件与制程目标而改变该些个别的加热元件位置。将第二加热元件设置在该承载器155的主体内低于该第一加热元件所在平面的一平面中的理由,系因供应至该第二加热元件260的功率可能大于该供应至第一加热元件250的功率。例如,当承载器155的表面边缘的热损失大于承载器155的表面中心的热损失时,将可能发生上述状况。因此,相较于若将该第二加热元件置于较接近该承载器155的表面的位置而言,使第二加热元件260与该承载器155的表面的位置之间有较大差异时,该供应至承载器155的边缘的额外功率(例如较高的功率密度)能较该承载器155中心得到更佳的分配结果。较佳的功率分配结果可降低发生局部加热或「热点(hot spot)」的可能,而能在承载器155的表面上提供较均匀一致的热分布。通过第二加热元件260的设置来更均匀地分布热量,增进了在即使750℃或更高的温度下对承载器155的表面温度的控制能力,例如将温度变化控制在±3℃。此更平稳的分布亦可降低承载器碎裂或受损的可能性。
图10与11显示一个用来监控或指示承载器155的表面温度的实施例。图10概略显示出一室主体的顶面的一部分,特别是部分的室盖170与部分的孔状面板180。两高温计,第一高温计200与第二高温计205,系延伸穿过位在室盖170中的开孔。图11显示的一室盖170,其具有第一高温计200与第二高温计205耦合至其上。该些第一与第二高温计200与205可购自如Sekidenko,Inc.(Vancouver,Washington)。每个高温计提供承载器155的表面(或位在承载器155上的晶圆表面)上有关温度的数据。在一实施例中,每个高温计的温度测量范围约介于335℃至1200℃之间。每个高温计系测量与其所在位置相对应区域中的承载器155的温度。在图11所示的范例中,第一高温计200测量承载器155的区域或面积245中的温度M同时第二高温计测量承载器155的面积或区域254中的温度。热电偶210测量对应至大致或接近该承载器155的中点235或中心处的承载器155的表面温度。需注意该些热电偶与高温计仅为示范,并可使用其他元件来作为温度指示装置。例如,在本发明另一实施例中,系以热像仪来取代高温计。
由于第一高温计200与第二高温计205系部分根据暴露于其中的辐射能量或光来提供一种温度测量,故每个高温计必须进入该室145之内部。在此例子中,系借着分别位在第一高温计200与第二高温计205底部的窗口290与295、分别形成于室盖170中的开孔270与275以及分别形成于面板180中的开孔280与285,来提供使高温计进入该室内部的动作。在一些实施例中,例如一CVD沉积制程,可能需要注意的是适于窗口290与295的涂层(coating),该些涂层可能分别干扰作用至第一高温计200或第二高温计205的辐射或光,而造成该些高温计的温度测量失效。因此,在一实施例中,需设计该些开孔如270与275,特别是开孔280与285的长度及宽度,以尽可能地减少窗口290与295的涂层。在一实施例中,该些开孔的比例与该面板180的厚度有关。开孔与面板厚度的适当比例约介于1至3之间。
在一实施例中,系利用多重温度测量(miltiple temperaturemeasurements)来调节与控制承载器155表面的温度。例如,在一个用来沉积四氮化三硅的LPCVD制程中,在加热器150的承载器155的整个表面上可能需要约达750℃且温度差异范围约介于±2.5℃之间的表面反应温度。本发明的系统可借着测量承载器155的中点235或中心处的温度(在此实施例中,系以热电偶210来测量温度)来作为一参考或控制温度,以调节与控制加热器150的温度。可借着第一高温计200与第二高温计205的温度差ΔT,来测量整个承载器的温度一致性。该系统将可根据已测得的温度差ΔT,来调整该第一加热元件与第二加热元件的功率比,以将温度差ΔT控制在一范围内,例如在四氮化三硅的LPCVD制程的750℃的温度下,将温度差控制在约±2.5℃。使用温度差(例如,ΔT)测量至少有两点好处,第一点系晶圆之间的发射率(emissivity)变化将影响每个高温计的绝对测量值,但不影响ΔT的相对值;第二点系该反应室中随时间而改变的条件变化将不影响相对温度测量值,但通常可能影响绝对温度测量值。
图12系叙述在一个根据本发明所设计的反应器内处理一晶圆的概括方法。图12叙述例如在一CVD制程中,于一双区域、单晶圆电阻加热器中的温度控制方法。如第一步骤(步骤300)所示,系将一CVD反应器的反应室145中的加热器150安置在一晶圆装载位置(请建地3图及其相关内容)。随后,利用如一机器人传送装置将一晶圆装载至承载器155(步骤310)。随后定位该加热器150,使得该晶圆如图1所绘示般地邻近孔状面板180(步骤320)。随后令该反应器进行加速(ramp)温度升降以达到制程温度(步骤330)。例如在用来沉积四氮化三硅的LPCVD制程中,系使温度加速升高至约750℃。利用热电偶210以及第一高温计200或第二高温计205中的一个或两个来测量该温度,以标示出加热器150的承载器155的整个表面的温度座标(步骤340)。借着调节供应至第一加热元件250与第二加热元件260的功率来控制该温度(步骤350)。
一旦完成该反应且达到想要的膜层厚度,即中断供应至该室中的制程气体并以如氮气等钝气来清洗该反应室(步骤370)。随后,将该加热器平台移动至该晶圆装载位置(请见图3及相关内容),并移除已处理的晶圆以及再将另一个晶圆置于该平台上(步骤380)。
以上叙述系有关于借着控制与调节承载器155的不同面积或区域中的温度,来控制加热器150的承载器155表面温度以及藉此控制位在承载器155表面上的一晶圆的表面反应温度。需明白的是,可借着手动方式或一系统控制装置的帮助来完成上述控制与调节动作。在上述范例中,操作员可记录不同温度指示装置(例如第一高温计200、第二高温计205与热电偶210)的温度测量值,并手动调整供应至第一加热元件250与第二加热元件260的其中一者或两者的功率。或者,可配置一控制器,以记录由温度指示装置所测得的温度,并例如根据用来测定一温度差的相对值的演算法来控制供应至加热元件的功率,以及调整调节该加热元件。
图12概略绘示一种根据指示装置(例如,第一高温计200、第二高温计205与热电偶210)提供至一控制器225的温度测量值,以控制第一加热元件250与第二加热元件260的系统。在一实施例中,控制器225包含一适合的演算法,以比较至少两个该些温度指示装置的温度差以及控制电源供应器215,以标示出加热器150的温度座标,使得该些温度指示装置均处于可接受的范围中。例如,在欲使加热器150的承载器155整个表面上的加热器温度到达约750℃±2.5℃的例子中,控制器225会根据至少两个指示装置所提供的测量值来控制电源供应器215,以达到此结果。
可提供控制器225一软件指令逻辑程式,其系为一种储存在如控制器225中的存储器等电脑可读式媒体的电脑程序。该存储器可为一部份的硬盘。控制器225亦可能耦合至一使用者介面,以允许一操作者输入反应参数,例如想要的反应温度与可接受的指示装置的温度差(例如约±3℃)。在一LPCVD反应制程中,控制器225可能更耦合至用来测量该室145内部压力的一压力指示装置与一真空来源,以调节该室145内的压力。
通常,系以电压或电流调节来进行加热元件控制。以电压控制所作的加热元件的功率输出系如下公式所示:
     功率(power)=电压(voltage)2/电阻(resistivity)
在图13中,系提供控制器225一指定的操作温度(通过温度设定点201来提供)。控制器225控制电源供应器215,其系将必要电压供应至第一加热元件驱动装置216与第二加热元件驱动装置217该些加热元件驱动装置分别依序控制该供应至第一加热元件250与第二加热元件260的电压。控制器225控制加热器150的加速温度升降速率(ramp rate)以及加热器150的电压或功率比(分别是加速速率/比例积分微分控(PID control)203,以及电压比202)。
以下叙述一个使用如图13中所序述的系统的多区域加热器控制的一实施例。系以一个位在一内侧区域(如面积或区域245)的温度感应装置来控制多区域加热器温度,同时在该内侧区域(如面积或区域245)与外侧区域(如面积或区域254或255)之间设定一已校正的校准程式。该温度控制系采用两种方法理论。当该加热器温度接近设定点,并处于比例积分微分前馈伺服带(proportionalplus integral derivative forword(PIDF)servo band)内时,则利用该PIDF控制演算法来将该加热器温度调整至设定点。另一方面,当该加热器处于加速升温或冷却模式,且其温度位在该PIDF伺服带的外时,则利用加速温度升降演算法,以一预定的加热或冷却速率来控制该加热器温度,以延长加热器寿命。系借着界该内侧区域与该外侧区域之间的电压比或功率比来控制该双区域加热器的温度一致性。该比例非为一常数(constant),当因加热器温度升高,使得该承载器之内侧与外侧区域之间的热损失差异渐大时,便需改变该比例。该比例设定亦需视如反应室压力与气流等反应室条件来决定。
加热器控制模式可分成加速控制(ramp control)与PIDF控制。该加速控制更可根据不同电压或功率比及温度范围细分成「低温加速(low temperatureramp)」与「高温加速(high temperature ramp)」。「低温加速」系适用于一固定电压比。「高温加速」则适用于变动的电压或功率比该变动的电压或功率比系由一方程式所计算出来。
I、 控制条件(control condition)
该反应室操作条件可分成数种模式:「反应室离线/线上(chamber OFFline/ON line)」与「配方(recipe)」操作。该操作步骤可如下所示:
A. 反应室离线/线上模式
当加热器启动以进行加热时,系设定该温度,该些控制步骤为:
1.当该加热器温度低于该预定温度时,执行低温加速。
2.当该加热器温度超过该预定温度时,执行高温加速。
3.当该加热器温度达到介于该预定伺服带内所要求的温度时,执行PIDF伺服控制。
当加热器启动以进行降温时,系将该些控制步骤反向操作:
1.当该加热器温度超过该预定温度时,执行高温加速。
2.当该加热器温度低于该预定温度时,执行低温加速。
3.当该加热器温度达到介于该预定伺服带内所要求的温度时,执行PIDF伺服控制。
加速速率与其他PID因子系遵循预定系统常数。
B. 配方操作
在一配方操作中,该加热器控制包含该些温度控制必要参数:温度设定值、电压比以及加速速率(若所需要的温度与先前步骤的温度不同时)。
针对一清洗配方而言,控制步骤可如下示范:
33.于制程温度下执行PIDF伺服。
34.加速降温至清洗温度。
35.在清洗温度下执行PIDF伺服。
36.加速升温至制程温度。
37.于制程温度下执行PIDF伺服。
在PIDF伺服期间时,该电压比系陈列于配方步骤中。当进行加速升温或降温时,该加速速率系显示于该配方步骤中,且该电压比系遵循在「反应室离线/线上」中使用的预定值。
II、加速控制(ramp control)
下述公式系叙述一用于加速控制的演算法,该些公式系对该加热器温度的加速速率进行比例控制。
加热器加速电压(Heater Ramp Voltage):
内侧区域加热器加速电压=先前内侧区域加热器加速电压+([加速比例增益(ramp P Gain)]×(目标加速速率-实际加速速率))
外侧区域加热器加速电压=电压比×内侧区域加热器加速电压
当外侧区域加热器加速电压>100%(例如,10伏特(V)),令:
外侧区域加热器加速电压=100%(例如,10伏特);以及
内侧区域加热器加速电压=外侧区域加热器加速电压÷电压比
目标加速速率系在线上/离线控制中的加热器温度加速速率,并可以「清洗」配方中的「加速速率」来覆写(或作覆盖,overwrite)目标加速速率。表一显示上述公式中每个参数的叙述说明,并提供用于LPCVD反应室的代表性建议值。
                            表一
    加热器参数叙述-加速控制
    内侧区域加热器功率输出加速速率(加速速率变化的限制)叙述:改变来自系统控制器的类比输出的最大速率。建议值:100毫伏特(mV)
    切换至PID控制的温度误差叙述:由加速控制切换至PID控制与反向操作的温带(temperatureband)。建议值:10℃
    温度加速比例增益(Ramp P Gain)叙述:在加速控制中,用来计算加热器电压的比例增益。建议值:8
    室×加热器温度加速速率加热器温度加速速率(目标加速速率)叙述:温度上升或下降的速率。建议值:15℃/秒
    电压比参数:叙述:在控制温度下,外侧区域与内侧区域之间的电压比。此参数会随不同温度而改变,详细说明请参考「电压比」的段落。
    热电偶失败侦测的暂停时间叙述:当实际加速速率小于70%的目标加速速率时,送出警告的时间。建议值:300秒
对于加速控制而言,该电压比取决于该加热器温度范围。
A.若当前温度(current temperature,℃)<[TL]时:
则,电压比=[RL]
注意:RL=低温加速的电压比
TL=低加速的温度限制(℃)
B.若[TL]<当前温度(℃)<设定温度(℃)-[切换至PID控制的温度误差]时:
电压比=[RL]+([RH]-[RL])×(当前温度-[TL])÷([TH]-[TL])
注意:RL=低温加速的电压比
RH=高加速的温度限制
TH=高加速的电压比(℃)
TL=低加速的电压比(℃)
RL、RH受两区域的最大电压比(RM)所限制。
C.在配方中(制程与清洗):
该电压比取决于指定的加热器温度范围。
在制程温度下:
电压比=配方中的制程温度下的电压比
在每一次将[配方要求温度(℃)-(切换至PID控制的温度误差)]的前,使加热器温度加速下降或上升时。
电压比=[RL]+([RH]-[RL])×(当前温度-[TL])÷([TH]-[TL])
注意:RL=低温加速的电压比
RH=高加速的温度限制
TH=高加速的电压比(℃)
TL=低加速的电压比(℃)
RL、RH受两区域的最大电压比(RM)所限制。
每变化10℃的温度时,便重新计算电压比。
III、PIDF控制
当加热器温度介于该系统所设定的温带之间时,可使用PIDF控制。当处于PIDF控制带(PIDF control band)时,最多使用5个不同参数来计算总加热器电压。此五个参数系前馈值(feedforward)、温度预设值(temp preset)、P值、I值与D值。前馈参数(feedforward leg)提供使该温度维持在一设定点所需要的电压。当无负荷时,该参数需是构成该总加热器电压的唯一要素。加入前馈值的其一目的在于提供该些电阻可能改变的不同加热器一控制稳定性。在导入气体或升降压力时,当加热器出现较大负荷时,可在制程配方中使用温度预设值,以提供该加热器一及时电压变化。将该温度误差与一增益相乘来决定P参数(P leg),将总温度误差与一增益相乘来决定I参数(I leg),以及将该温度误差斜率与一增益相乘来决定D参数(D leg)。仅在当该温度接近设定点,而接近稳定状态的条件(steady state conditions)时方使用I参数。当在制程中使用温度预设值时,则在总电压计算中不使用I参数。
系根据表二中的公式来决定在PIDF控制期间之内侧区域加热器电压。当有其他参数加入时,系将总电压减去D参数值。以部分范例算试来显示个别参数的公式。该I参数与温度设定值不可一起使用。仅在温度设定值为0时,I参数方参与在总电压的中。若该温度预设值非为0,则不可使用I参数。
根据内侧区域PID控制输出电压与该电压比(功率相关性)的乘积来决定在PIDF控制期间的外内侧区域加热器电压。该功率比(功率相关性)可能是根据实际制程条件、来自不同制造商的加热器或其他热空转条件(hot idleconditions)加以校准的一表单或列表。
                    表二
    PID控制方程式
    内侧区域加热器PID电压=(前馈参数+P参数+I参数+温度预设值)-D参数
    外侧区域加热器电压=电压比×内侧区域加热器控制电压当外侧区域加热器电压>100%(10伏特)时,令
    外侧区域加热器电压=100%(10伏特)内侧区域加热器电压=外侧区域加热器加速电压÷电压比
    前馈参数=温度设定点×([偏压瓦特/℃])/([内侧最大瓦特数]+[外侧最大瓦特数]×电压比2)
    P区=温度误差×([P增益]×[每度误差的修正功率]÷([内侧最大瓦特数]+[外侧最大瓦特数]×电压比2))
    I区=总温度误差×([I增益]×[每度误差的修正功率]÷([内侧最大瓦特数]+[外侧最大瓦特数]×电压比2))
    D区=温度误差斜率×([D增益]×[每度误差的修正功率]÷([内侧最大瓦特数]+[外侧最大瓦特数]×电压比2))
    温度预设区=[温度预设]÷([内侧最大瓦特数]+[外侧最大瓦特数]×电压比2)
范例:
(内侧)加热器PID电压=(49.1%+3.4%+3.0%+0%)-2.0%=53.5%107VAC
前馈参数=750×(0.655÷(2000+2000×22))49.1%
P参数=0.8×(142.7×30÷(2000+2000×22))3.4%
(外侧)加热器电压=1.15×107123 VAC
功率比=1.5
*注意:计算式中的箭头系指所显示的计算数值之间的差异为10的指数倍数。
                     表三
    加热器参数叙述-PID控制
    室X最大内侧类比输出功率的瓦特数(内侧的最大瓦特数)叙述:作为前馈参数、P参数、I参数、D参数与温度预设参数的增益因子。
    室X最大外侧类比输出功率的瓦特数(外侧的最大瓦特数)叙述:作为前馈参数、P参数、I参数、D参数与温度预设参数的增益因子。
    室×电阻加热器伺服带宽(servo band width)叙述:积分控制中所使用的设定点附近的温带。在每次温度超过此温带时,即重新设定该积分控制。建议值:15℃
    室X每一度温度误差的修正功率(correction power per degree oferror)叙述:用于P、I与D参数中的增益因子建议值:30.0瓦特/℃
    室X外侧区域电压伺服比(电压比)叙述:系使用电压比来进行根据该内侧区域PID伺服值所作的外侧区域电压伺服校准。其与如电流等电负荷变化有关。
    室X以误差记录总值百分比进行伺服(I增益)叙述:I参数的增益因子。使用I参数来修正稳定状态误差M并仅在加热器温度接近设定点时,方使用I参数。仅在配方中无温度预设参数时,方使用I参数。
    室X以当前误差百分比进行伺服(P增益)叙述:P参数的增益因子。使用P参数来计算任何由气流、冷晶圆等因素所造成的负荷干扰。
    室X以当前斜率百分比进行伺服(D增益)叙述:D参数的增益因子。使用I参数来降低温度的波动情形。将总功率减去该D增益,并用来抵抗温度的突然变化。
    每一度的设定点的室X加热器偏压瓦特数(每度的偏压瓦特数)叙述:前馈参数的增益因子。需调整前馈参数,使得当处热空转(无负荷)时,总加热器电压即为此偏压的大小。建议值:0.25瓦特/℃(当调整时,每个加热器皆有所不同)
    温度预设值(温度预设值)已设定于配方中。叙述:当配方需要时,其可提供电压的即时变化。其系使用在引入气流的过程中,当加热器上出现较大负荷的情况中。当使用温度预设值时,I参数需为0。特别是在沉积步骤中,当使用I参数时,则需使温度设定值为0。建议值:其系依配方而有所不同。每50毫瓦特的温度预设值,系增加1.8%的电压供应至多区域加热器的加热器上。
对于PIDF控制来说,该电压比取决于该温度范围。
A.若设定温度(℃)低于[TL]时:
电压比=[RL]
注意:RL=低温加速的电压比
TL=低加速的温度限制(℃)
B.若设定温度(℃)介于[TL]与[TH]之间时:
电压比=[RL]+([RH]-[RL])×(设定温度-[TL])÷([TH]-[TL])
注意:RL=低温加速的电压比
RH=高加速的温度限制
TH=高加速的电压比(℃)
TL=低加速的电压比(℃)
C.电压比-配方中(制程/清洗):
介于制程温度±切换至PID控制的温度误差时,
电压比=在配方中的制程温度下的电压比
系利用两区域的最大电压比(RM)来限制电压比设定。
表四系叙述用于升温、待机/制程与冷却过程中的加热器参数。
                        表四
    加热器参数叙述-升温、待机/处理、冷却
    加热器参数叙述-(syscon指令系位于制程/室参数下方的加热器校准萤幕中)
    反应室X低加速的温度限制(℃)(TL)叙述:当该加热器超过此温度时,该两区域的电压比等于基础电压比(Basic voltage ratio)。建议值:750℃(可设定值:600℃-800℃)
    待机温度(stabdby temperature,℃)叙述:当反应室处于待机条件时,该加热器维持在此温度。建议值:制程温度±10℃
    反应室X低加速的电压比(RL)叙述:外侧区域与内侧区域之间的电压比低于[低加速的温度限制(℃)(TL)]的温度。
    反应室X高温加速的电压比(RH)叙述:外侧区域与内侧区域之间的电压比等于[高加速的温度限制(℃)(TH)]的温度。
    两区域的最大电压比(RM)叙述:最大电压比,包括配方中的RH、RL与R。允许范围:0至2.2
    温度设定(℃)(TS)叙述:加热器目标操作温度。当加热器处于该温度时,该两区域的电压彼等于基础电压比。
    设定温度的电压比叙述:当该温度到达介于[反应室×电阻加热器伺服范围(℃)]的该设定温度时,该控制器会利用此电压比来执行PIDF控制。
表五系叙述用于一室清洗配方的加热器参数。
                        表五
    加热器参数叙述-清洗配方
    加热器参数叙述-(参数位于配方中)
    制程温度(℃)参数:编制于该配方中叙述:当该室处于制程条件下时,使该加热器到达此温度。建议值:取决于制程
    制程温度下的电压比syscon:编制于该配方中叙述:系为当处于介在该[伺服带(servo band)]内的制程温度下时,外侧区域与内侧区域之间的电压比。限制值:RM
    加速速率(升或降)(℃/分)(重写该目标加速速率)参数:编制于该配方中叙述:该温度设定与预设步骤不同。通过软件来设定并控制该加热器温度的加速速率。限制值:…℃/分钟
图14显示为于图7的第二加热元件260上方的图6的第一加热元件250与承载器155的俯视剖面图。在一实施例中,第一加热元件250系由两个反向的盘绕部位230A与230B以一类似镜像式样所构成。第一加热元件的盘绕部位230A与230B系形成于承载器155的主体内A-A线段(图4)所定义出来的平面中。第一加热元件的盘绕部位230A与230B分别耦合至末端216A与216B,以将该些盘绕部位分别通过导线215A与215B而连接至一电源。一实施例中,盘绕部位230A与230B系由适合该电源的电流需要及加热器的温度范围的材料所构成。
图7的第二加热元件260系在位于该第一加热元件250的平面的正下方且与的平行的一平面中。在一实施例中,第二加热元件260系形成于承载器155的主体内,但较远离该承载器155的表面的位置中。在一实施例中,第二加热元件260包括反向的盘绕部位232A与232B,该些盘绕部位在大致由B-B线段(图4)所定义出来的平面中形成一镜像式样。第二加热元件的盘绕部位232A与232B分别耦合至末端221A与221B,以将该些盘绕部位分别通过导线220A与220B而连接至一电源。一实施例中,反向的盘绕部位232A与232B在末端221A与221B处约分隔约3至5毫米。可缩小该距离以降低该些盘绕部位之间有效的「冷区域」。
图14中所绘示的实施例中,第二加热元件260的盘绕部位232A与232B系依轴心217B为准而互呈反向盘绕,第一加热元件250的盘绕部位230A与230B亦依轴心217A为准而互呈反向盘绕。在一实施例中,盘绕部位232A与232B可能相于盘绕部位230A与230B而作约0°至约180°旋转,且/或偏离(offset)一段约从5毫米至50毫米的直线距离。在另一实施例中,盘绕部位232A与232B可能相于盘绕部位230A与230B而作约90°至约270°旋转。在另一实施例中,加热元件的盘绕部位可使其中一个直接位于另一个上方。图中具有一标示为C-C的平面402,该平面402平行于加热器150的传动轴158(请见图4)。标示为C-C的平面402穿越第一加热元件250的盘绕部位230A与230B以及第二加热元件260的盘绕部位232A与232B。
如先前所述,第一加热元件250及/或第二加热元件260可能具有不同的截面积以调整其电阻,故可在任何指定电流下调整其热输出(heat output)。若电流固定时,当截面积增加,其电阻相对降低,且热输出亦降低。若电流固定时,当截面积缩小,则其电阻相对提高,且热输出也相对增加。当有需要时,可提高电阻与热输出量以提供想要的温度分布情形(temperature profile)。
图15绘示该穿越第一加热元件250与第二加热元件260的标示为C-C平面的剖面图。图15中间的点系轴心217。如图所见,轴心217上方系第一加热元件,其具有互为反向的盘绕部位230A与230B,以及末端216A与216B。轴心217下方系第二加热元件260,其具有互为反向的盘绕部位232A与232B与末端221A及221B。
图16显示在承载器155主体中,第一加热元件250与第二加热元件260设计的另一较佳实施例。在此实施例中,第一加热元件250与第二加热元件260是错开的,即如轴心217A偏离轴心217B所表现的情形。第一加热元件250的盘绕部位230A与230B分别耦合至末端216A与216B,以将该些盘绕部位230A与230B分别通过导线215A与215B(如图5所示)而连接至一电源。
第二加热元件260系形成于位在承载器155主体中,较该第一加热元件250距离该承载器表面(未显示)更远的一位置上。换句话说,即如图16所见,第一加热元件250系位在第二加热元件260的上方。第二加热元件260包括互为反向的盘绕部位232A与232B,并依轴心217B为准而呈镜像式样。第二加热元件260的盘绕部位232A与232B分别耦合至末端221A与221B,以将该些盘绕部位232A与232B分别通过导线220A与220B(如图5所示)而连接至一电源。
如图14所示,第一加热元件250及/或第二加热元件260可根据承载器表面(未显示)温度分布的需求,而具有不同的截面积。在一固定电流下,增加第一加热元件250及/或第二加热元件260的截面积,会致使电阻下降,也因此降低热输出量。在任一固定电流下,缩小第一加热元件250及/或第二加热元件260的截面积,会致使电阻提高,也因此提高热输出量。可调整加热器150的截面积与电阻,以达到想要的热输出与温度分布情形。
参考图16,标示为D-D的平面404系与加热器150的传动轴158的纵向轴心平行。标示为D-D的平面404穿越第一加热元件250与第二加热元件260。图17绘示在穿越第一加热元件250与第二加热元件260且标示为D-D的平面404处的剖面图,以显示第一加热元件250如何通过轴心217A与轴心217B之间的距离而偏离第二加热元件260。第一加热元件具有以轴心217A为准而互呈反向的盘绕部位230A与230B,且具有末端216A与216B。第二加热元件260具有以轴心217B为准而互呈反向的盘绕部位232A与232B,且具有末端221A与221B。在此实施例中,第一加热元件250与第二加热元件260是偏离的,其在模拟实验的结果中,可比当盘绕部位不互相偏离时,得到较佳的承载器表面(未显示)温度分布一致性。距离407系指第一加热元件250的一盘绕部位与第二加热元件260的一盘绕部位之间的水平距离(如图所见)。在一实施例中,对一直径300毫米的平台而言,所使用的代表性距离(距离407)约介于3至30毫米之间,以改善整个平台表面的温度一致性。在另一实施例中,该代表性距离(距离407)可能介于约3至30毫米之间。在又一实施例中,该代表性距离约介于7至10毫米之间。在第16与图17所显示的实施例中,距离407系借着在轴心217A与轴心217B实质上保持平行的情况下,使第一加热元件250偏离第二加热元件260所造成。在另一实施例中,距离407系使第一加热元件250以一线性方向(linear direction)来偏离第二加热元件260所造成,使得轴心217A与轴心217B互呈一个约介于0°至180°的角度,且在另一实施例中,该角度约介于15°至165°之间。图17显示第一加热元件250的邻接盘绕部位之间的距离,P间距(pitch P)418。在一实施例中,距离407可能约为P间距418的0.3至0.7倍。在另一实施例中,距离407能约为5至15毫米。又另一实施例中,距离407可能约为7至10毫米。
图18绘示第一加热元件250与第二加热元件260的另一实施例。为方便表达,系以影线(hatched)来表示第一加热元件250。第一加热元件250呈现一方形盘绕设计,其他盘绕设计或其他种类的设计亦可用于此实施例中。第一加热元件包括末端216A与216B。
第二加热元件260包括末端221A与221B。第二加热元件260呈现一方形盘绕设计,其他盘绕设计或其他种类的设计亦可用于此实施例中。在一实施例中,第一加热元件250与第二加热元件260不相交,因此在第一加热元件250与第二加热元件260之间永远保持一距离。在一实施例中,第一加热元件250与第二加热元件260之间的距离系保持一固定距离。在一实施例中,可变化第一加热元件250与第二加热元件260之间的距离408,以依照要求来改变热输出与温度分布。
标示为E-E的平面406系平行于加热器150的传动轴158的直线轴心。标示为E-E的平面406横越第一加热元件250器与第二加热元件260。在一实施例中,如图18所绘示的,第一加热元件250位在第二加热元件260的上方。
图19绘示图18中标示为E-E的平面的剖面图。该平面图显示第一加热元件250位在第二加热元件260的上方。并显示第一加热元件250偏离该第二加热元件260。距离408系为第一加热元件250的盘绕部位与第二加热元件260的盘绕部位之间的水平距离。图19中所显示的P间距428系为第一加热元件250的邻接盘绕部位之间的距离。在一实施例中,第一加热元件250的距离408约为P间距428的0.3至0.7倍。在一实施例中,第一加热元件250的距离408约为P间距428的0.5倍。
在一实施例中,可变化第一加热元件250及/或第二加热元件260的截面积,以改变第一加热元件250及/或第二加热元件260的电阻与热输出量。在任一特定电流下,若加热元件250与260的截面积增加,则其电阻会降低,热输出因而降低。反而的,在任一特定电流下,若加热元件250与260的截面积缩小,则其电阻会增加,也因此使热输出提高。当需要时,第一加热元件250及/或第二加热元件260的截面积、电阻与热输出可根据其各自的长度而加以调整,以由加热器150来达成想要的热输出与温度分布(请见图1)。
图20显示承载器155主体中第一加热元件250与第二加热元件260设计的另一实施例。在此实施例中,第一加热元件250与第二加热元件260之间如图所示的偏离h272的距离。所显示的第一加热元件250系由两个互呈反向的盘绕部位230A与230B所形成,并以轴心217为准呈现镜像式样。第一加热元件250的盘绕部位230A与230B分别耦合至末端216A与216B,以将盘绕部位230A与230B分别通过导线215A与215B(显示于图5中)而连接至一电源。
第二加热元件260系形成于位在承载器155主体中,较该第一加热元件250距离该承载器表面(未显示)更远的一位置上。换句话说,即如图20所见,第一加热元件250系位在第二加热元件260的上方。第二加热元件260包括以轴心217为准而呈镜像式样的相对盘绕部位232A与232B。第二加热元件260的盘绕部位232A与232B分别耦合至末端221A与221B,以将该些盘绕部位232A与232B分别通过导线220A与220B(显示于图5中)而连接至一电源。
类似图14,可如上所述地,根据需要的承载器表面(未显示)温度分布,而使第一加热元件250与/或第二加热元件260具有不同的截面积。
图21绘示一第一加热器与一第二加热器的温度分布情形。第一加热器的第一温度分布2110(例如一个如第14与15图所绘示的加热器)由其一侧至中心点、再至另一侧的表面上具有一大致粗略的温度分布情形。如图21所绘示的,具有第一温度分布2110的第一加热器的半径为150毫米。第一温度分布2110的范围系从约535℃至约590℃。对应于第二加热器的第二温度分布2120的温度范围则从约555℃至600℃。
参考图22,其系绘示第一加热元件250与第二加热元件260的另一实施例。在此实施例中,第一加热元件250具有轴心217A及其同心弧状部分的中心422。第二加热元件260具有轴心217B及其同心弧状部分的中心420。第一加热元件250的中心422系而与第二加热元件260的中心420发生一线性偏离424。此外,第一加热元件250的轴心217A与该第二加热元件260的轴心217B偏离一角度α430。在一实施例中,偏离角度α430约介于15°至165°之间。在另一实施例中,偏离角度α430约介于30°至150°之间。图22中之间距438系第一加热元件250的邻接盘绕部位间的距离。在一实施例中,线性偏离424约为P间距438的0.3至0.7倍。在另一实施例中,该线性偏离424约为P间距438的二分的一。在一实施例中,线性偏离424介于约1毫米约50毫米之间。在另一实施例中,线性偏离424介于约5毫米约25毫米之间。在另一实施例中,线性偏离424介于约10毫米约15毫米之间。
以上叙述内从主要有关于一种用于一CVD系统的多区域、单晶圆式加热器的使用。包括一双区域加热器装置等本发明系已叙述如上。需明白,附加的加热元件与连接于该加热元件上的温度指示装置均为本发明范围或精神所涵盖。亦需明白,本发明并不仅限于CVD反应器、系统或方法,并可应用至需要精确温度控制的的各种其他用途中。
本发明系已以数个较佳实施例详述于上述内容中。然可在不偏离下述申请专利范围所界定的较广的本发明范围与精神下,当可作各种修饰与变化。因此,本说明书及图式仅作示范而作限制的用。

Claims (62)

1.一种装置,其包含:
一平台,系包括一主体及一用以支撑一晶圆的表面区域;
一传动轴,系耦合至该平台;
一第一加热元件,系位于该平台的主体的一第一平面中;以及
一第二加热元件,系位于该平台的主体的一第二平面中,该第二平面较该第一平面距离该平台表面更远,
其中该第二加热元件系从与该主体的第一平面实质平行的一平面上偏离该第一加热元件。
2.根据权利要求1所述的装置,其特征在于,该第一加热元件系一电阻加热元件,其包括一具有一第一电阻的第一部位,以及一具有一第二电阻的第二部位,该第二电阻与该第一电阻不同。
3.根据权利要求2所述的装置,其特征在于,该第一加热元件的该第二部位系位在该平台的一区域中,该第一加热元件的第二部位系位在较该第一加热元件的第一部位距离该区域中点更远的位置处。
4.根据权利要求1所述的装置,其特征在于,该第二加热元件系一电阻加热元件,其包括一具有一第一电阻的第一部位以及一具有一第二电阻的第二部位,该第二电阻与该第一电阻不同。
5.根据权利要求4所述的装置,其特征在于,该第二加热元件的该第二部位系位于该平台的一区域内,且该第一加热元件的第二部位系位在较该第一加热元件的第一部位距离该区域中点更远的位置处。
6.根据权利要求1所述的装置,其特征在于,该第一加热元件占据该平台的一区域,其大小实质上相等于该第二加热元件占据该平台的区域的大小。
7.根据权利要求1所述的装置,其特征在于,
该平台包括一第一表面用以支撑一晶圆以及一第二表面,以及该传动轴具有一部位系定义出一贯穿该传动轴一长度之内部开孔;
其中该传动轴耦合至该平台第二表面的实质上相当于该中点的一点,且可传送电力到该第一加热元件与该第二加热元件的导线系位于该开孔中。
8.根据权利要求1所述的装置,其特征在于,该平台主体实质上为圆柱形,以使一中点对应至一垂直该平面的轴心;以及以该轴心为准,借着一第一半径来定义出该平台的该区域的第一部位;以及以该轴心为准,借着一个大于该第一半径的一第二半径来定义出该区域的第二部位。
9.根据权利要求8所述的装置,其特征在于,
该第一加热元件系一电阻加热元件,该电阻加热元件包括一第一电阻,位在对应该平台的该区域的第一部位中;以及一第二电阻,位在对应该平台的该区域的第二部位中;以及
其中该第二加热元件系一电阻加热元件,该电阻加热元件包括一第一电阻,位在对应该平台的该区域的第一部位中;以及一第二电阻,位在对应该平台的该区域的第二部位中。
10.根据权利要求9所述的装置,其特征在于,该第一加热元件的第一电阻小于该第一加热元件的第二电阻,且该第二加热元件的第一电阻大于该第二加热元件的第二电阻。
11.根据权利要求8所述的装置,其特征在于,该第一加热元件的功率密度大于在对应于该平台的该区域的第一部位中的该第二加热元件的功率密度,以及大于位在对应于该平台的该区域的第二部位中的该第二加热元件的功率密度。
12.根据权利要求1所述的装置,其特征在于,该第一加热元件包括被一第一轴心所分开的两部位,以及
其中该第二加热元件包括被一第二轴心所分开的两部位,其中该第一轴心与该第二轴心至少平行或相交,以在该表面的一平面中定义出介于约0°至约180°的一角度。
13.根据权利要求12所述的装置,其特征在于,该平台主体实质上为圆柱形,以使一中点对应至一垂直该平面的轴心;以及以该轴心为准,借着一第一半径来定义出该平台的该区域的第一部位;以及以该轴心为准,借着一个大于该第一半径的一第二半径来定义出该区域的第二部位,
其中该第一加热元件的功率密度大于对应于该平台的该区域的第一部位中的该第二加热元件的功率密度,以及大于对应于该平台的该区域的第二部位中的该第二加热元件的功率密度。
14.根据权利要求1所述的装置,其特征在于,该平台系由一种温度能达到超过约750℃的材料所构成。
15.根据权利要求14所述的装置,其特征在于,该平台与该传动轴系由氮化铝所构成。
16.根据权利要求15所述的装置,其特征在于,该平台包含氮化铝及具有约介于140瓦特/mK至200瓦特/mK之间的一热传导系数,以及该传动轴包含氮化铝及具有约介于60瓦特/mK至100瓦特/mK之间的一热传导系数。
17.根据权利要求1所述的装置,其特征在于,用以支撑一晶圆的该区域包括一晶圆容器,其系以约60°至80°的一角度被压置在该平台的表面中。
18.一种反应器,其包括:
一室;以及
一电阻加热器,其包括一平台位于该室中,该平台包括一主体及用以支撑一晶圆的一表面区域;一传动轴,系耦合至该平台;一第一加热元件,系位在该平台的该区域的第一部位中,且位在该平台主体的第一平面中;以及一第二加热元件,系位在该平台的该区域的第二部位中,且位在该平台主体的第二平面中,该加热器主体的第二平面较该主体的第一平面距离该平台表面更远,
其中该第一加热元件的功率密度大于对应于该平台的该区域的第一部位中的该第二加热元件的功率密度,以及该第一加热元件的功率密度小于对应于该平台的第二部位中的该第二加热元件的功率密度,
此外,其中该第二加热元件系从与该平台主体的该第一平面实质平行的一平面上偏离该第一加热元件。
19.根据权利要求18所述的反应器,其特征在于,该平台主体实质上为圆柱形,使得一中点对应至垂直于该平面的一轴心,以及该第二平台区域较该第一平台区域距离该中点更远。
20.根据权利要求18所述的反应器,其特征在于,该第一加热元件系由被一第一轴心所分开的两区域所定义出来,一第一盘绕部位系位在该第一部位的第一区域中,以及一第二盘绕部位系位在该第一部位的第二区域中,该第一盘绕部位通过该第一轴心而耦合至该第二盘绕部位。
21.根据权利要求18所述的反应器,其特征在于,更包括:
一第一温度感应装置,系位于该传动轴中,用以测量该平台的一第一温度;以及
一第二温度感应装置,用以测量对应至该平台的该区域的第一部位及第二部位其中一者的一区域内的一第二温度。
22.根据权利要求21所述的反应器,其特征在于,该第一温度感应装置为一热电偶。
23.根据权利要求21所述的反应器,其特征在于,该第二温度感应装置为一高温计。
24.根据权利要求23所述的反应器,其特征在于,该室包括一顶面,以及该高温计系位于该室的顶面中的一窗口内。
25.根据权利要求28所述的反应器,其特征在于,该传动轴具有一部份,系定义出一贯穿该传动轴的一长度之内部开孔,该反应器更包括一电源,该电源系穿过该传动轴中的该开孔,而耦合至该第一加热元件与该第二加热元件。
26.根据权利要求25所述的反应器,其特征在于,更包括一控制器,系耦合至该电源,以控制该第一加热元件与该第二加热元件的温度。
27.根据权利要求26所述的反应器,其特征在于,该控制器将该第一加热元件与该第二加热元件的温度控制在约±3℃以内。
28.根据权利要求27所述的反应器,其特征在于,该控制器至少耦合至该第一温度感应装置与该第二温度感应装置。
29.根据权利要求18所述的反应器,其特征在于,该加热器系由一种温度能到达超过约750℃的材料所构成。
30.根据权利要求29所述的反应器,其特征在于,该平台系由氮化铝所构成,且具有约介于140瓦特/mK至约200瓦特/mK之间的一热传导系数。
31.根据权利要求18所述的反应器,其特征在于,该加热器的主体具有一底面及一部位系定义出一贯穿该主体且实质垂直于该表面的开孔,该反应器更包括:
一升降顶针,其具有一第一末端与一第二末端,该第一末端位在贯穿该加热器主体的该开孔中,以及该第二末端系延伸在该加热器主体的底面的下方;
一举升组件,系耦合至该传动轴,以在该反应室中,使该加热器在一第一位置与一第二位置之间移动;以及
一升降盘,系耦合至该举升组件,且该升降盘具有一部分位在该室中,位在该室中的该部位包括一表面,系以垂直于该传动轴的方向作延伸;且该表面实质平行于该平台主体的顶面,使得当该加热器位于该第一位置时,该升降顶针接触该升降盘。
32.根据权利要求31所述的反应器,其特征在于,该升降盘系由一种温度能达到超过约750℃的材料所构成。
33.根据权利要求32所述的反应器,其特征在于,该升降盘系由氮化铝所构成,且具有约介于140瓦特/mK至约200瓦特/mK之间的一热传导系数。
34.根据权利要求31所述的反应器,其特征在于,该升降顶针系由蓝宝石或氮化铝其中一者所构成。
35.根据权利要求34所述的反应器,其特征在于,贯穿该主体的该开孔具有:一第一直径的第一部位,以支撑该顶针的头部;以及一第二直径的第二部位,该第二直径小于该第一直径。
36.根据权利要求18所述的反应器,其特征在于,用以支撑一晶圆的该区域包括一晶圆容器,其系以约60°至80°的角度被压置陷入该平台表面中。
37.一种用于化学气相沉积装置的加热系统,其包括:
一电阻加热器,其包括:一平台,该平台包含一主体及用以支撑一晶圆的一表面区域;一传动轴,系耦合至该平台;一第一加热元件,系位于该平台的主体的一第一平面中;以及一第二加热元件,系位于该平台的主体的一第二平面中,该加热器主体的该第二平面较该主体的第一平面距离该平台表面更远,其中该第二加热元件系在与该主体的第一平面实质平行的一平面上偏离该第一加热元件;
一第一温度感应装置,系位在该传动轴中,用以测量该平台的第一温度;以及
一电源,系耦合至该第一加热元件与该第二加热元件。
38.根据权利要求37所述的系统,其特征在于,该第一加热元件的功率密度大于在对应于该平台的该区域的第一部位中的该第二加热元件的功率密度,以及该第一加热元件的功率密度小于对应于该平台的该区域的第二部位中的该第二加热元件的功率密度,
其中该平台主体实质上为圆柱形,使得一中点对应至垂直该表面的一轴心;以及以该轴心为准,借着一第一半径来定义出该平台的该区域的第一部位;以及以该轴心为准,借着一个大于该第一半径的一第二半径来定义出该区域的第二部位。
39.根据权利要求38所述的反应器,其特征在于,该第一加热元件系以一对盘绕部位的方式位在约该第一部位中,且该第一部位系由被一第一轴心分开的两区域所定义出来,一第一盘绕部位系位在该第一部位的第一区域中,以及一第二盘绕部位系位在该第一部位的第二区域中,该第一盘绕部位系通过该第一轴心而耦合至该第二盘绕部位,
其中该第二加热元件系以一对盘绕部位的方式位在约该第二部位中,且该第二部位系由被一第二轴心分开的两区域所定义出来,一第一盘绕部位系位在该第二部位的第一区域中,以及一第二盘绕部位系位在该第二部位的第二区域中,该第一盘绕部位系通过该第二轴心而耦合至该第二盘绕部位,以及该第一轴心与该第二轴心至少平行或相交,以在该表面的一平面中定义出约介于0°至180°之间的一角度。
40.根据权利要求39所述的系统,其特征在于,该第一轴心与第二轴心的交点系在该表面的一平面中定义出一至少约90°的角度。
41.根据权利要求38所述的系统,其特征在于,更包括一第二温度感应装置,用来测量对应至该平台的该区域的一第一部位与一第二部位其中一者的第二温度,以及一第三温度感应器,用来测量对应至对应至该平台的该区域的该第一部位与该第二部位的另一者的第三温度。
42.根据权利要求38所述的系统,其特征在于,该加热器的传动轴具有一部位系定义出一贯穿该传动轴的一长度之内部开孔,该系统更包括一电源,系穿过该传动轴中的该开孔而耦合至该第一加热元件与该第二加热元件。
43.根据权利要求38所述的系统,其特征在于,更包括一控制器系耦合至一电源,以控制该第一加热元件与该第二加热元件的温度。
44.根据权利要求43所述的系统,其特征在于,该控制器系将该第一加热元件与该第二加热元件的温度控制在约±2.5℃以内。
45.根据权利要求44所述的系统,其特征在于,该控制器至少与该第一温度感应装置、第二温度感应装置及第三温度感应装置中的其中两者相耦合。
46.根据权利要求45所述的系统,其特征在于,该第一温度感应装置系一热电偶,以及该第二温度感应装置与该第三温度感应装置分别为一高温计。
47.根据权利要求41所述的系统,其特征在于,该第二温度感应装置系设置于一化学气相沉积室的外表面中的一第一窗口内,以及该第三温度感应装置系设置在该室的外表面中的一第二窗口内。
48.根据权利要求47所述的系统,其特征在于,更包括一歧管(manifold)耦合至该室之内侧表面,以配送制程气体至该室中,该歧管的位置位于该平台表面,且其厚度大致约为该第一窗口或该第二窗口其中一者的厚度的三倍。
49.根据权利要求37所述的系统,其特征在于,该加热器主体具有一底面及一部位系定义出一贯穿该主体且大致垂直于该表面的开孔,该系统更包括:
一升降顶针,其具有一第一末端与一第二末端,该第一末端位在贯穿该加热器主体的该开孔中,以及该第二末端系延伸在该加热器主体的底面的下方;
一举升组件,其耦合至该传动轴,以在该反应室中,使该加热器在一第一位置与一第二位置之间移动;以及
一升降盘,其耦合至该举升组件,且该升降盘具有一部分位在该室中,位在该室中的该部位包括一表面,系以垂直于该传动轴的方向作延伸;且该表面实质平行于该平台主体的顶面,使得当该加热器位于该第一位置时,该升降顶针接触该升降盘。
50.根据权利要求49所述的系统,其特征在于,贯穿该主体的该开孔具有一第一部位及一第二部位,该一部位具有一第一直径,以支撑该升降顶针的头部,该第二部位具有小于该第一直径的一第二直径。
51.一种方法,系包括:
提供一功率至一第一电阻加热元件与一第二电阻加热元件,该第一电阻加热元件位在一电阻加热器的平台主体的一第一平面中,该第二电阻加热元件位在该平台主体的一第二平面中,其中该第二加热元件系在至少与该第一平面或该第二平面其中一者实质平行的第三平面上偏离该第一加热元件;以及
改变位在该平台的至少两区域中的该第一电阻加热元件与该第二电阻加热元件至少其中一者的电阻。
52.根据权利要求51所述的方法,其特征在于,该改变电阻的步骤包括提供至少具有一第一电阻与一第二电阻的该电阻加热元件。
53.根据权利要求51所述的方法,其特征在于,该改变电阻的步骤包括改变至少位在该平台的两区域中的该第一电阻加热元件与该第二电阻加热元件的电阻。
54.根据权利要求51所述的方法,其特征在于,该电阻加热器包括一平台,该平台包括一主体及用以支撑一晶圆的一表面区域,该第一加热元件系形成于该平台主体的第一平面中,以及一第二加热元件系形成于该平台主体的第二平面中,该第二平面较该第一平面距离该平台表面更远,改变该电阻的步骤更包括:
对于该第一加热元件,使该平台中以一中点为准且由以一第一半径所定义出的第一区域的电阻,大于该平台中以一中点为准且由一大于该第一半径的第二半径所定义出的第二区域的电阻;以及
对于该第二加热元件,使该第二区域中的电阻大于该第一区域中的电阻。
55.根据权利要求51所述的方法,其特征在于,该电阻加热器包括一平台,该平台包含一个用以支撑一晶圆的一表面区域,该方法更包括:
借着调节供应至该电阻加热元件的功率,以控制该平台表面的温度。
56.根据权利要求55所述的方法,其特征在于,更包括:
以至少两温度感应装置来测量该温度,一第一温度感应装置位于一个由该平台底面所延伸出的传动轴中,该第一温度感应装置系用来测量该平台的第一温度,以及一第二温度感应装置用来测量该平台的第一区域与一第二区域中的一第二温度,该平台的第一区域以一中点为准由一第一半径所定义出来,该第二区域系以一中点为准由一第二半径所定义出来;以及
比较由第一温度感应装置所测得的温度以及由第二温度感应装置所测得的温度。
57.根据权利要求56所述的方法,其特征在于,控制该温度的步骤包括将该比较温度控制在约750℃下,±2.5℃以内。
58.一种方法,系包括:
在一反应器的室中提供一电阻加热器,该电阻加热器包括:一平台,系位在该室中,且该平台包含一主体及用以支撑一晶圆的一表面区域;一第一加热元件,其具有一第一功率密度与一第二功率密度;以及一第二加热元件,其具有一第一功率密度与一第二功率密度,该第一加热元件系形成于该平台主体的一第一平面中,以及该第二加热元件系形成于该平台主体的一第二平面中,该第二平面较该第一平面距离该表面更远,其中该第二加热元件系在与该第一平面或该第二平面其中一者实质平行的一平面上偏离该第一加热元件;以及
提供一功率至该第一加热元件及该第二加热元件。
59.根据权利要求58所述的方法,其特征在于,
对于该第一加热元件,将一大于该平台的第二区域的功率密度的功率密度提供至该第一区域,该第一区域系以一中点为准,由一第一半径所定义出,以及该第二区域系以一中点为准,由一第二半径所定义出;以及
对该第二加热元件,将一大于该第一区域的功率密度的功率密度提供至该第二区域。
60.根据权利要求58所述的方法,其特征在于,更包括:
借着调节供应至该电阻加热元件的功率,以控制该平台表面的温度。
61.根据权利要求60所述的方法,其特征在于,更包括:
以至少两温度感应装置测量该温度,一第一温度感应装置设置在由该平台表面所延伸出的一传动轴中,该第一温度感应装置系用来测量该平台的第一温度,以及一第二温度感应装置用来测量该平台的一第一区域与一第二区域中的第二温度,该平台的第一区域系以一中点为准由一第一半径所定义出来,该第二区域系以一中点为准由一第二半径所定义出来。
62.根据权利要求60所述的方法,其特征在于,控制该温度的步骤更包括将该平台的温度,以使该第二温度测量与该第三温度测量约为750℃,±3℃以内。
CNA038249774A 2002-09-19 2003-09-19 多区域电阻加热器 Pending CN1695230A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/246,865 2002-09-19
US10/246,865 US6617553B2 (en) 1999-05-19 2002-09-19 Multi-zone resistive heater

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201010124255.0A Division CN101807515B (zh) 1999-05-19 2003-09-19 多区域电阻加热器

Publications (1)

Publication Number Publication Date
CN1695230A true CN1695230A (zh) 2005-11-09

Family

ID=32068123

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA038249774A Pending CN1695230A (zh) 2002-09-19 2003-09-19 多区域电阻加热器

Country Status (7)

Country Link
US (1) US6617553B2 (zh)
EP (1) EP1540709A2 (zh)
JP (1) JP4953572B2 (zh)
KR (2) KR101240774B1 (zh)
CN (1) CN1695230A (zh)
AU (1) AU2003294223A1 (zh)
WO (1) WO2004032187A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107432054A (zh) * 2015-03-05 2017-12-01 高周波热錬株式会社 加热方法、加热装置和压制成型品的制造方法
CN107919301A (zh) * 2016-10-11 2018-04-17 东京毅力科创株式会社 温度控制装置、温度控制方法以及载置台
CN108393101A (zh) * 2017-02-06 2018-08-14 夏普生命科学(欧洲)有限公司 具有多个温度区的微流体器件
CN108695222A (zh) * 2017-03-29 2018-10-23 Toto株式会社 静电吸盘
CN112947634A (zh) * 2021-02-01 2021-06-11 泉芯集成电路制造(济南)有限公司 一种热盘温度调整方法及一种热盘装置
CN113170539A (zh) * 2019-01-25 2021-07-23 日本碍子株式会社 陶瓷加热器
CN114585114A (zh) * 2020-11-30 2022-06-03 日本碍子株式会社 陶瓷加热器

Families Citing this family (321)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7403834B2 (en) * 2003-05-08 2008-07-22 Regents Of The University Of California Methods of and apparatuses for controlling process profiles
US7132628B2 (en) * 2004-03-10 2006-11-07 Watlow Electric Manufacturing Company Variable watt density layered heater
US7415312B2 (en) * 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
US20050274324A1 (en) * 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
KR100870776B1 (ko) * 2004-07-05 2008-11-26 도쿄엘렉트론가부시키가이샤 처리 장치 및 히터 유닛
EP2840386A1 (en) * 2004-12-16 2015-02-25 Atlantic Business Centre of Excellence and Commercialization of Innovation Ltd. Apparatus for monitoring materials
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US9892941B2 (en) * 2005-12-01 2018-02-13 Applied Materials, Inc. Multi-zone resistive heater
US20070125762A1 (en) * 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
WO2007088894A1 (ja) * 2006-01-31 2007-08-09 Tokyo Electron Limited 基板処理装置、ならびにそれに用いられる基板載置台およびプラズマに曝される部材
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US20080197125A1 (en) * 2007-02-16 2008-08-21 Applied Materials, Inc. Substrate heating method and apparatus
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US8168926B2 (en) * 2007-03-26 2012-05-01 Ngk Insulators, Ltd. Heating device
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8198567B2 (en) * 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
US8193473B2 (en) * 2008-02-08 2012-06-05 Ngk Insulators, Ltd. Uniform temperature heater
JP5454467B2 (ja) 2008-02-27 2014-03-26 東京エレクトロン株式会社 プラズマエッチング処理装置およびプラズマエッチング処理方法
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US8404572B2 (en) * 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110073039A1 (en) * 2009-09-28 2011-03-31 Ron Colvin Semiconductor deposition system and method
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US10138551B2 (en) 2010-07-29 2018-11-27 GES Associates LLC Substrate processing apparatuses and systems
JP5692850B2 (ja) * 2010-12-28 2015-04-01 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
JP5712054B2 (ja) * 2011-05-31 2015-05-07 日本発條株式会社 シャフト付きヒータユニットおよびシャフト付きヒータユニットの製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
EP2770442A3 (en) 2013-02-20 2014-09-17 Hartford Steam Boiler Inspection and Insurance Company Dynamic outlier bias reduction system and method
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US10125422B2 (en) * 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
US20150060527A1 (en) * 2013-08-29 2015-03-05 Weihua Tang Non-uniform heater for reduced temperature gradient during thermal compression bonding
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9698041B2 (en) 2014-06-09 2017-07-04 Applied Materials, Inc. Substrate temperature control apparatus including optical fiber heating, substrate temperature control systems, electronic device processing systems, and methods
WO2016003633A1 (en) 2014-07-02 2016-01-07 Applied Materials, Inc Apparatus, systems, and methods for temperature control of substrates using embedded fiber optics and epoxy optical diffusers
CN106463404B (zh) * 2014-07-02 2019-11-19 应用材料公司 有沟槽引导式光纤加热的温度控制设备、基板温度控制系统、电子器件处理系统及处理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9888528B2 (en) * 2014-12-31 2018-02-06 Applied Materials, Inc. Substrate support with multiple heating zones
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9826574B2 (en) * 2015-10-28 2017-11-21 Watlow Electric Manufacturing Company Integrated heater and sensor system
JP1575661S (zh) * 2015-11-24 2017-05-08
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10741428B2 (en) 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
JP6611666B2 (ja) * 2016-05-16 2019-11-27 東京エレクトロン株式会社 載置台システム、基板処理装置及び温度制御方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6767833B2 (ja) * 2016-09-29 2020-10-14 日本特殊陶業株式会社 加熱装置
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
EP3591690B1 (en) 2017-02-28 2022-10-05 NHK Spring Co., Ltd. Substrate supporting unit and film forming device having substrate supporting unit
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN108728828A (zh) * 2017-04-20 2018-11-02 中微半导体设备(上海)有限公司 Cvd设备及其温度控制方法与发热体
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
CN212365925U (zh) 2017-11-21 2021-01-15 沃特洛电气制造公司 一种支撑基座
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) * 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN111902058B (zh) 2018-03-26 2023-08-01 日本烟草产业株式会社 气雾剂产生设备、控制方法和程序
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7456951B2 (ja) 2018-07-05 2024-03-27 ラム リサーチ コーポレーション 基板処理システムにおける基板支持体の動的温度制御
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11837441B2 (en) 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1013750S1 (en) * 2020-09-18 2024-02-06 Ksm Component Co., Ltd. Ceramic heater
USD1012998S1 (en) * 2020-09-18 2024-01-30 Ksm Component Co., Ltd. Ceramic heater
USD1012997S1 (en) * 2020-09-18 2024-01-30 Ksm Component Co., Ltd. Ceramic heater
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20230161437A (ko) * 2021-03-26 2023-11-27 가부시키가이샤 코쿠사이 엘렉트릭 처리 장치, 프로그램 및 반도체 장치의 제조 방법
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03235325A (ja) * 1990-02-13 1991-10-21 Toshiba Corp 半導体気相成長装置
JPH05326112A (ja) * 1992-05-21 1993-12-10 Shin Etsu Chem Co Ltd 複層セラミックスヒーター
KR100280772B1 (ko) 1994-08-31 2001-02-01 히가시 데쓰로 처리장치
US5844205A (en) 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5772773A (en) 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
JP3477062B2 (ja) * 1997-12-26 2003-12-10 京セラ株式会社 ウエハ加熱装置
JP3515900B2 (ja) * 1998-05-06 2004-04-05 京セラ株式会社 セラミックヒータ
US6423949B1 (en) * 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
JP2001102157A (ja) * 1999-10-01 2001-04-13 Ngk Insulators Ltd セラミックスヒータ
US6652655B1 (en) * 2000-07-07 2003-11-25 Applied Materials, Inc. Method to isolate multi zone heater from atmosphere
JP3615694B2 (ja) * 2000-08-08 2005-02-02 京セラ株式会社 ウェハ加熱部材及びこれを用いたウェハの均熱化方法
JP4328003B2 (ja) * 2000-10-19 2009-09-09 日本碍子株式会社 セラミックヒーター
AU2002212963A1 (en) * 2000-10-25 2002-05-06 Tokyo Electron Limited Method of and structure for controlling electrode temperature

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107432054A (zh) * 2015-03-05 2017-12-01 高周波热錬株式会社 加热方法、加热装置和压制成型品的制造方法
CN107432054B (zh) * 2015-03-05 2020-10-27 高周波热錬株式会社 加热方法、加热装置和压制成型品的制造方法
CN107919301A (zh) * 2016-10-11 2018-04-17 东京毅力科创株式会社 温度控制装置、温度控制方法以及载置台
CN107919301B (zh) * 2016-10-11 2022-05-17 东京毅力科创株式会社 温度控制装置、温度控制方法以及载置台
CN108393101A (zh) * 2017-02-06 2018-08-14 夏普生命科学(欧洲)有限公司 具有多个温度区的微流体器件
CN108695222A (zh) * 2017-03-29 2018-10-23 Toto株式会社 静电吸盘
CN113170539A (zh) * 2019-01-25 2021-07-23 日本碍子株式会社 陶瓷加热器
CN113170539B (zh) * 2019-01-25 2023-03-14 日本碍子株式会社 陶瓷加热器
CN114585114A (zh) * 2020-11-30 2022-06-03 日本碍子株式会社 陶瓷加热器
CN112947634A (zh) * 2021-02-01 2021-06-11 泉芯集成电路制造(济南)有限公司 一种热盘温度调整方法及一种热盘装置

Also Published As

Publication number Publication date
AU2003294223A8 (en) 2004-04-23
KR20110039368A (ko) 2011-04-15
WO2004032187A2 (en) 2004-04-15
KR101158378B1 (ko) 2012-06-22
JP2006500789A (ja) 2006-01-05
US20030062359A1 (en) 2003-04-03
US6617553B2 (en) 2003-09-09
EP1540709A2 (en) 2005-06-15
AU2003294223A1 (en) 2004-04-23
KR101240774B1 (ko) 2013-03-07
WO2004032187A3 (en) 2004-07-01
JP4953572B2 (ja) 2012-06-13
KR20050054952A (ko) 2005-06-10

Similar Documents

Publication Publication Date Title
CN1695230A (zh) 多区域电阻加热器
CN101807515B (zh) 多区域电阻加热器
US20210087680A1 (en) Susceptor having cooling device
US6440221B2 (en) Process chamber having improved temperature control
CN1314834C (zh) 处理装置、处理方法及载置部件
US7919722B2 (en) Method for fabricating plasma reactor parts
US6951587B1 (en) Ceramic heater system and substrate processing apparatus having the same installed therein
KR101374442B1 (ko) 배치대 장치, 처리 장치, 온도 제어 방법 및 프로그램이 기억된 기억 매체
TWI489546B (zh) 將氣體徑向傳送至腔室之裝置
TW540087B (en) In situ wafer heat for reduced backside contamination
US20120076936A1 (en) Substrate processing apparatus, gas nozzle and method of processing substrate
US20070089836A1 (en) Semiconductor process chamber
US20100163183A1 (en) Mounting table structure and heat treatment apparatus
CN101061253A (zh) 使用批式制程腔室的基材处理装置
CN1959932A (zh) 在等离子体反应装置中以均匀温度冷却晶片支撑的方法
CN1240107C (zh) 晶片处理装置和晶片平台以及晶片处理方法
JP2010028098A (ja) 成膜装置および成膜方法
TW200302541A (en) Heated vacuum support apparatus
US20110217852A1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US7179663B2 (en) CDA controller and method for stabilizing dome temperature
US20230416919A1 (en) Substrate processing apparatus and temperature regulation method
TW202240020A (zh) 在高溫沉積序列中操作的低溫基座的傳導冷卻

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Open date: 20051109