KR20050054952A - 다구역 저항 히터 - Google Patents

다구역 저항 히터 Download PDF

Info

Publication number
KR20050054952A
KR20050054952A KR1020057004801A KR20057004801A KR20050054952A KR 20050054952 A KR20050054952 A KR 20050054952A KR 1020057004801 A KR1020057004801 A KR 1020057004801A KR 20057004801 A KR20057004801 A KR 20057004801A KR 20050054952 A KR20050054952 A KR 20050054952A
Authority
KR
South Korea
Prior art keywords
stage
heating member
temperature
resistance
region
Prior art date
Application number
KR1020057004801A
Other languages
English (en)
Other versions
KR101240774B1 (ko
Inventor
헨리 호
안칭 추이
샤오슝 위안
Original Assignee
어플라이드 머티어리얼즈 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼즈 인코포레이티드 filed Critical 어플라이드 머티어리얼즈 인코포레이티드
Publication of KR20050054952A publication Critical patent/KR20050054952A/ko
Application granted granted Critical
Publication of KR101240774B1 publication Critical patent/KR101240774B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Resistance Heating (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

웨이퍼를 지지하기 위한 영역을 갖는 표면 및 본체를 포함하는 스테이지, 상기 스테이지에 연결된 샤프트, 제 1 가열부재 및 제 2 가열부재를 포함하는 장치, 상기 제 1 가열부재는 상기 스테이지의 본체의 제 1 면내에 배치되어 있다, 상기 제 2 가열부재는 상기 스테이지의 표면으로부터 본체의 제 1 면보다 더 멀리 떨어져 있는 스테이지의 본체의 제 2 면내에 배치되어 있고, 상기 제 2 가열부재는 본체의 제 1 면에 실질적으로 평행인 면에서 제 1 가열부재로부터 오프셋되어 있다,

Description

다구역 저항 히터{MULTI-ZONE RESITIVE HEATER}
본 출원은, 2002년 7월 23일에 발행된 미국특허 제 6,423,949 호의 분할 출원인, 2001년 10월 19일 출원된 공동계류중인 미국특허 제 10/037,151 호의 연속 출원이다.
본 발명은 공정 챔버를 위한 가열 기구, 특히 화학 증기 증착 챔버를 위한 가열 기구에 관한 것이다.
화학 증기 증착법 (CVD) 는, 기재상에 다양한 유형의 필름을 증착시키기 위한 대중적인 공정으로, 예를 들어 별도의 집적 회로 장치를 형성하기 위해 반도체 웨이퍼의 처리 등과 같은 반도체 기재의 집적 회로를 제조하는데 광범위하게 사용된다. 통상적인 CVD 처리시, 웨이퍼(들)는 증착 또는 반응 챔버내에 배치되며, 이 챔버안으로 반응 가스가 유입되어, 이 반응 가스가 증착되어 가열된 표면에서 반응하여 웨이퍼(들)상에 박막을 형성한다.
현재에는 통상적으로 단일 웨이퍼 및 다중 웨이퍼의 CVD 반응 챔버를 사용하고 있다. 일반적으로, 다중 웨이퍼 반응 챔버는, 예를 들어 25 개 이상의 웨이퍼를 유지할 수 있는 수직로 (vertical furnaces) 와 유사하다. Si3N4 또는 다결정 실리콘을 증착하기 위한 예를 들어 0.25 ~ 2.0 토르의 저압 CVD (LPCVD) 에 대해서, 다중 웨이퍼 챔버에서 일반적인 증착 시간은 수 시간일 수 있다. 예를 들어, Si3N4 는 다중 웨이퍼 챔버내의 층 두께에 따라서 4 ~ 5 시간의 증착 시간과 700 ~ 800℃ 의 온도에서 형성된다.
제 2 유형의 CVD 반응 챔버로는 단일 웨이퍼 챔버가 있고, 이 챔버내에서 스테이지 (stage) 또는 방열판 (susceptor) 에 의해 웨이퍼가 지지된다. 방열판은 반응 공정시 회전할 수 있다. 예를 들어, LPCVD Si3N4 증착시, 적절한 층 두께는 약 2 분동안 700 ~ 800℃ 에서 형성될 수 있다.
통상적으로, 2 가지 유형의 가열 기법, 즉 웨이퍼에 국부적으로 배열된 저항 가열부재를 사용하는 저항 가열기법과 일반적으로 반응 챔버 외부에 배치된 램프(들)와 같은 복사 가열부재를 사용하는 복사 가열기법이 CVD 시스템에 사용된다. 단일 웨이퍼 챔버에서의 저항 가열기법은, 일반적으로 이 챔버내의 웨이퍼를 지지하는 스테이지 또는 방열판내에 직접 위치한 저항 가열부재를 포함한다. 이러한 방식으로, 증착시 형성된 반응은 통상적으로 웨이퍼에서 보다 국부화될 수 있다.
웨이퍼를 지지하는 스테이지 또는 방열판내의 가열부재를 사용하는 단일 웨이퍼의 저항 가열 기법에 있어서, 가열부재는 통상적으로 방열판 본체의 단일 평면에 형성된 몰리브덴 (Mo) 재료의 얇은 코일층 (약 2 밀) 등의 전도성 재료로 된 박층이다. 이러한 구성은 "단일 구역 저항 히터" 로서 기재될 수 있고, "구역" 은 스테이지 또는 방열판의 본체내의 단일 평면내의 가열부재의 위치를 말한다. 저항 히터가 사용되는 CVD 반응은, 통상적으로 대략 550℃ 에 온도 호환성을 가진다. 고온에서 온도의 균일성이 문제가 된다. 하나의 이유로는, 온도가 상승함에 따라, 특히 스테이지 또는 방열판의 가장자리에서 저항 히터의 열손실이 증가한다는 것이다. 통상적으로, 단일 구역 저항 히터는 스테이지 또는 방열판에 걸친 열손실에서의 차이를 보상할 수 있는 능력을 가지고 있지 않다. 또한, 챔버내의 압력은 단일 구역 저항 히터의 온도 안정성을 변경할 수 있다.
필요한 온도를 제공하는 것 이외에도, 저항 가열부재는 고온과 화학약품을 포함하는 반응 챔버내의 화학적 환경에 적응되어야 한다. 종래의 단일 구역 저항 히터에서 이러한 호환성을 해결하기 위한 일 방책으로는, 내측에 가열부재가 형성된 질화알루미늄 (AlN) 으로 된 방열판을 형성하는 것이다.
복사 가열 기법은, 통상적으로 반응 챔버내의 열저항 보호 유리 또는 석영 뒤에 램프를 배치하는 것이다. 램프에 의해 전체 챔버가 가열되기 때문에, 챔버 전체에 걸쳐 CVD 반응이 발생한다.
복사 또는 램프 가열 기법은, 높은 챔버의 온도를 발생시키고 저항 가열 기법보다 더 양호하게 온도를 제어하는 이점을 제공해준다. 하지만, 복사 가열 기법은 반응 챔버 외측에 배치된 램프 등의 가열부재를 사용하기 때문에, 챔버의 벽이 화학약품이나 다른 물질 또는 반응 챔버에 사용되는 반응 물질로 피복되면, 챔버내의 온도를 제어하는 것이 더 어려워지게 된다. 따라서, 챔버내에 사용된 재료가 챔버의 유리 또는 석영상에 증착되면, 예를 들어 가열 효과가 감소되고 공정의 성능에도 영향을 준다.
이에 대하여, 복사 가열 기법에 사용된 반응 챔버를 자주 세척해야 한다. 통상적인 세척제는 트리플루오르화질소 (NF3) 이다. Si3N4 CVD 공정에서, 예를 들어 Si3N4 반응 물질은 챔버의 벽 및 챔버 내측의 다른 구성품, 즉 석영 창 (들) 상에 형성된다. NF3 등의 세척제로 반응 챔버로부터 Si3N4 를 세척하는 것은 어렵다. 통상적으로, NF3 를 분해하여 Si3N4 를 세척하기 위한 충분한 열에너지를 제공하기 위해서 세척 온도는 높아야 한다. 세척 온도가 높으면, NF3 는 방열판 등의 챔버내의 구성품에 침범할 것이다. NF3 를 활성화시키는데 사용되는 원격 플라즈마원 (plasma source) 은 세척 온도를 감소시킬 수 있지만 활성화된 NF3 종류 (특히 라디칼 (radicals)) 가 석영 구성품에 침범하는 경향이 있다. 따라서, 현재에는 복사를 바탕으로 하는 챔버에 대한 효과적인 세척 방안이 없다. 반응 챔버의 벽이 NF3 로 쉽게 세척되지 않기 때문에, Si3N4 재료는 축적되어 챔버의 수명을 단축시킨다.
통상적으로, LPCVD 반응에서는 온도의 균일성이 중요하다. CVD 공정과 연관된 표면 반응은, 통상적으로 다음 식에 의해 계산된 비 (R) 에서 진행하는 열적 활성화 현상에 의해 구성될 수 있다.
여기서, RO 는 주파수 인자이고, Ea 는 전자 볼트 (eV) 로 표시된 활성 에너지이며, T 는 켈빈 온도로 표시된 온도이다. 상기 식에 따라서, 표면의 반응비는 온도가 상승함에 따라 증가한다. Si3N4 증착 등의 LPCVD 공정에서, 활성 에너지 (Ea) 는 일반적으로 0.9 ~ 1.3 eV 정도로 매우 높다. 따라서, 웨이퍼에 걸쳐 균일한 두께를 얻기 위해서는, 이 웨이퍼에 걸친 온도 균일성이, 바람직하게는 약 750℃ 의 온도에서 ±2.5℃ 정도로 정밀하게 제어되어야 한다.
종래의 단일 웨이퍼 복사 가열 기법은 챔버를 세척할 때 고온 (예를 들어, 750℃) 에서도 허용가능한 온도 균일성을 제공하였다. 하지만, 챔버의 벽상에 재료가 축적됨에 따라 온도 균일성이 어려워지게 되었다.
또한, 단일 구역 저항 히터를 가진 웨이퍼에 걸쳐 균일한 고온 (예를 들어 700 ~ 750℃) 을 얻는 것이 어렵다. 설명된 바와 같이, 일반적으로, 고온에서 방열판의 표면에 걸친 열손실은 균일하지 않다. 예를 들어, 단일 구역 히터는 방열판의 중앙에서보다 그 가장자리 쪽으로의 열손실이 더 큰 것을 보상할 수 없다. 따라서, 온도 균일성이 문제가 된다.
750℃ 의 온도에서 전술한 유형의 단일 구역 저항 히터의 제 2 문제점은 국부 가열과 관련된 문제점이다. 단일 구역 히터는, 고온에서 국부 영역에 있는 가열부재에 가해진 고밀도의 전력과 연관되어 있는 집중된 국부 가열이 나타난다. 따라서, 온도의 균일성에 영향을 준다. 단일 구역 저항 히터의 제 3 문제점은 가열부재 제조시의 변수가 가열부재의 성능에서의 불균일성을 유발하여 비균일성을 유발할 수 있다는 것이다. 단일 구역 히터는 제조 변수를 보상하기 위해 조절될 수 없다. 게다가, 단일 구역 히터는, 고온 작동시, 전력 단자에서 또한 가열부재에 가해진 높은 전력 밀도로 인하여 그 수명을 단축시킨다.
또한, 종래의 저항 히터 및 이러한 히터를 제공하는 챔버는 제한된 동적 온도 측정치를 제공해준다. 통상적으로, 단지 동적 온도 측정치 (즉, 실시간 온도 측정치) 는 방열판의 표면 아래에 있는 소정의 지점에서 일반적으로 이 방열판의 중앙에 배치된 열전대에 의해 제공된다. (열전대에 의한) 온도 측정치는, 방열판의 중앙에서 정확한 온도 측정치를 제공해줄 수 있지만, 이 방열판의 가장자리에서 온도에 관한 어떠한 정도를 제공해줄 수 없다. 챔버 외측의 유리한 지점에서 챔버내의 온도를 관찰하는 열 카메라가 사용되었지만 일반적으로 단지 챔버내의 온도에 관한 정적 정보만을 제공해준다. 또한, CVD 공정법을 조절하는 것과 관련된 챔버의 압력에 대한 어떠한 변경은 챔버내의 반응 온도를 제어할 수 있는 역할을 한다. 따라서, 단일 구역 저항 가열 기법은 일반적으로 하나의 특정한 온도와 압력에서 작동하는 것을 제한한다. 챔버의 온도 또는 챔버의 압력을 변경하는 것은 온도의 균일성에 부정적인 영향을 준다. 따라서, 상기 단일 구역 가열 기법은 고온의 CVD 공정에는 부적합하다.
반응 챔버 및 고온 작동, 예를 들어 700℃ 이상의 온도에 호환가능한 반응 챔버에 대한 가열기법이 필요하고, 즉 부재에 대한 내화학성을 제공해주고 반응 장소에 국부화된 고온 균일성을 달성하는 가열기법이 필요하다.
도 1 은 본 발명의 일 실시형태에 따른 "웨이퍼-공정" 의 형상에서 반응 챔버 내측의 히터를 도시한 CVD 시스템의 단면 측면도,
도 2 는 본 발명의 일 실시형태에 따른 "웨이퍼-분리" 의 형상에서 반응 챔버 내측의 히터를 도시한 도 1 의 CVD 시스템의 단면 측면도,
도 3 은 본 발명의 일 실시형태에 따른 "웨이퍼-탑재" 의 형상에서 반응 챔버 내측의 히터를 도시한 도 1 의 CVD 시스템의 단면 측면도,
도 4 는 본 발명의 일 실시형태에 따른 CVD 시스템의 히터부의 일부를 확대한 1/2 단면도,
도 5 는 본 발명의 일 실시형태에 따른 CVD 시스템의 히터의 저면도,
도 6 은 본 발명에 따른 도 4 의 선 A-A 를 따른 CVD 시스템의 히터의 스테이지 또는 방열판의 상면도,
도 7 은 본 발명의 일 실시형태에 따른 도 4 의 선 B-B 를 따른 CVD 시스템의 히터의 스테이지 또는 방열판의 상면도,
도 8 은 본 발명의 일 실시형태를 따른 3 구역을 나타내는 CVD 시스템의 히터의 스테이지 또는 방열판의 개략적인 상면도,
도 9 는 본 발명의 일 실시형태에 따른 히터의 스테이지 또는 방열판의 반경에 대한 전력비를 나타내는 그래프,
도 10 는 본 발명의 일 실시형태에 따른 챔버벽의 상부에 연결된 2 개의 고온계를 도시한 CVD 시스템의 일부를 확대한 단면도,
도 11 은 본 발명의 일 실시형태에 따른 각 가열구역 위의 2 개의 고온계를 도시한 CVD 시스템의 챔버의 상면도,
도 12 는 본 발명의 일 실시형태에 따른 CVD 챔버의 웨이퍼를 처리하는 방법의 순서도,
도 13 은 히터의 가열부재에 공급된 전력을 제어하기 위해서 제어기를 갖춘 본 발명의 시스템의 일 실시형태의 블록선도,
도 14 는 도 7 의 제 2 가열부재의 상면에서 도시한 도 6 의 제 1 가열부재의 일 실시형태의 상면도,
도 15 는 도 14 의 평면 C-C 를 따른 단면도,
도 16 은 도 7 의 제 2 가열부재의 상면에서 도시한 도 6 의 제 1 가열부재의 일 실시형태의 상면도,
도 17 은 도 16 의 평면 D-D 를 따른 단면도,
도 18 은 히터의 제 2 가열부재의 상면에서 도시한 히터의 제 1 가열부재의 일 실시형태의 상면도,
도 19 는 도 18 의 평면 E-E 를 따른 단면도,
도 20 은 히터의 제 2 가열부재의 상면에서 도시한 히터의 제 1 가열부재의 일 실시형태의 상면도,
도 21 은 제 1 및 제 2 히터의 온도 분포를 도시한 도면, 및
도 22 는 히터의 제 2 가열부재의 상면에서 도시한 히터의 제 1 가열부재의 일 실시형태의 상면도.
본원에 가열장치가 개시된다. 일 실시형태에 있어서, 가열장치는 웨이퍼를 지지하기 위한 영역을 가진 표면 및 본체를 포함하는 스테이지 또는 방열판, 상기 스테이지에 연결되는 샤프트, 제 1 가열부재, 및 제 2 가열부재를 포함한다. 제 1 가열부재는 스테이지 본체의 제 1 면내에 배치된다. 제 2 가열부재는 제 1 가열부재보다 스테이지의 표면으로부터 더 멀리 떨어져 있는 스테이지 본체의 제 2 면내에 배치된다. 제 2 가열부재는 제 1 가열부재의 제 1 면에 실질적으로 평행한 면에서 제 1 가열부재로부터 오프셋된다. 상기 실시형태에 따라서, 다구역 가열 장치는 제 1 및 제 2 가열부재에 의해 한정된다. 이러한 방식으로, 본 발명은 스테이지의 2 이상의 별도의 가열구역을 개별 제어하여 종래의 단일 구역 가열 장치에 비하여 스테이지의 온도 제어와 온도 균일성을 증가시키도록 한다.
일양태에 있어서, 가열장치는, 고온에서 작동할 수 있으며 단일 구역 저항 히터에 걸친 개선된 온도 균일성을 제공해 줄 수 있는 저항 히터이다. 각 가열부재는 개별적으로 제어되어 스테이지의 표면에 걸쳐 전체적으로 균일한 온도를 유지할 수 있다. 예를 들어, 스테이지의 소정의 영역에서 열손실이 더 큰 상태에서, 이 영역과 연관된 가열구역에는 더 많은 저항 열이 공급되어 열손실에도 불구하고 선택된 작동 온도를 유지한다. 이를 수행하는 일방법으로는 스테이지의 영역에 걸쳐 다중 가열부재의 저항을 변경시키는 것이다. 예를 들어, 샤프트를 통한 열손실이 스테이지의 다른 영역에서의 열손실보다 더 클 것으로 결정되면, 샤프트에 (예를 들어 샤프트에 걸쳐) 대응하는 스테이지 영역에 있는 하나의 가열부재의 저항이 증가하게 된다. 유사하게, 스테이지의 가장자리에서의 열손실이 다른 영역에서의 열손실이 더 클 것으로 결정되면, 스테이지의 가장자리 영역에 대응하는 영역에 있는 하나의 가열부재의 저항이 증가하게 된다.
또한, 일 실시형태에 있어서 챔버와 저항 히터를 포함하는 반응기를 개시하였다. 저항 히터는, 웨이퍼를 지지하기 위한 영역을 가진 표면 및 본체를 포함하는 챔버 내에 배치된 스테이지, 스테이지에 연결되는 샤프트, 스테이지 본체의 제 1 면내에 배치된 제 1 가열부재, 및 스테이지 본체의 제 2 면내에 배치된 제 2 가열부재를 포함한다. 제 2 가열부재는 제 1 가열부재의 제 1 평면에 실질적으로 평행한 평면에서 제 1 가열부재로부터 오프셋된다. 일양태에 있어서, 제 1 가열부재의 전력밀도는 스테이지 영역의 제 1 부분에 대응하는 영역에서 제 2 가열부재의 전력밀도보다 더 높다. 동시에, 제 1 가열부재의 전력밀도는 스테이지 영역의 제 2 부분에 대응하는 영역에서 제 2 가열부재의 전력밀도보나 더 낮다.
전술한 바와 같이, 반응기는 스테이지 또는 방열판의 별도의 평면내에 배치된 2 이상의 저항 가열부재를 포함하는, 단일 웨이퍼 히터 등의 다구역 저항 히터를 제공한다. 일예에서, 개별 가열부재는 스테이지의 영역들을 분리하여 스테이지의 상이한 영역들에 있는 개별 가열부재의 전력밀도를 변경시킴으로써 개별 조절되도록 한다. 일 실시형태에 있어서, 제 1 가열부재를 제 2 가열부재 보다 스테이지의 표면에 더 근접한 위치에 배치시킴으로써, 스테이지의 가장자리와 연관된 영역에서의 열손실이 더 크기 때문에 제 2 가열부지에 더 큰 전력밀도가 공급될 수 있는 반면 큰 전력밀도와 연관된 잠재적인 국부 "고온점" 을 최소화한다. 반응기의 일 실시형태와 연관된 다중 온도 센서는, 방열판의 중앙에 있는 단지 하나의 열전대를 구비한 종래의 반응기보다 저항 히터의 온도를 보다 균일하게 제어하도록 하는 기회를 제공해준다.
화학 증기 증착 장치용 저항 가열 시스템이 더 개시되어 있다. 일 실시형태에 있어서, 가열 시스템은, 웨이퍼를 지지하기 위한 영역을 가진 표면 및 본체를 포함하는 스테이지, 스테이지에 연결되는 샤프트, 제 1 가열부재, 및 스테이지 본체의 별도의 평면내에 배치되는 제 2 가열부재를 포함한다. 제 2 가열부재는 제 1 가열부재의 평면에 실질적으로 평행한 평면에서 제 1 가열부재로부터 오프셋된다. 가열 시스템은, 히터의 온도를 제어하기 위해서 2 이상의 별도의 가열부재를 갖춘 다구역 저항 히터를 제공해주고, 예를 들어, 700℃ 를 초과하는 온도에서 작동되는 공정 조건 (예를 들어, LPCVD) 을 포함하는 고온 CVD 공정에서 온도 균일성을 개선시켜준다.
반응기내의 온도를 제어하는 방법도 더 개시되어 있다. 일 실시형태에 있어서, 상기 방법은, 저항 히터의 스테이지 본체의 제 1 평면내에 배치된 제 1 저항 가열부재와, 스테이지 본체의 제 2 평면내에 배치된 제 2 저항 가열부재에 전력을 공급해주는 것을 포함하고, 여기서, 제 2 가열부재는 제 1 가열부재의 제 1 평면에 실질적으로 평행한 평면에서 제 1 가열부재로부터 오프셋된다. 또한, 상기 방법은 스테이지의 2 이상의 영역에서 제 1 저항 가열부재와 제 2 저항 가열부재 중 적어도 하나의 저항을 변경하는 것을 포함한다.
다른 특징 및 장점과 함께, 상기 장치, 반응기, 가열 시스템, 및 방법의 추가 실시형태는 이하, 도면, 상세한 설명, 및 첨부된 청구항에 기재되었다.
본 발명은 일반적으로 가열장치, 반응기, 화학 증기 증착 장치용 가열 시스템, 및 반응기의 온도를 제어하는 방법의 일 실시형태에 관한 것이다. 일양태에 있어서, 본 발명은 반응 챔버의 스테이지 또는 방열판상에 단일 웨이퍼 (예를 들어, 반도체 웨이퍼) 를 지지하기에 적합한 가열장치를 사용한다. 가열장치는 방열판 표면 (또한, 방열판상의 웨이퍼) 의 균일한 반응 온도를 유지하기 위해 2 이상의 가열부재를 포함한다. 일 실시형태에 있어서, 각 가열부재는 히터의 방열판내의 별도의 평면에 놓여있다. 일 실시형태에 있어서, 각 가열부재는, 전원에 연결되고, 각 가열부재의 저항은 스테이지의 영역을 가로질러 변할 수 있다. 웨이퍼에서의 온도 또는 방열판의 표면의 상이한 영역들과 연관된 온도는 측정될 수 있고, 가열부재는 제어될 수 있다. 가열장치의 개별 가열부재를 제어함으로써, 반응기에서의 열손실과 압력 변화와 같은 인자를 수용하여, 700℃ 이상의 온도에서도 개선된 온도 균일성을 달성한다. 따라서, 다중 가열부재 (예를 들어, 다구역 히터) 를 갖춘 가열장치는 CVD 반응기 또는 시스템 및 Si3N4 증착과 다결정 실리콘 증착에 바람직한 고온의 LPCVD 공정에 유용한 가열부재를 제공해준다.
도 1, 도 2, 및 도 3 에서는 본 발명의 일 실시형태에 따른 반응기에 포함된 시스템의 일부의 단면도를 도시하였다. 이러한 시스템은, 예를 들어 웨이퍼나 기재상에서 Si3N4 나 다결정 실리콘 필름의 증착하기 위한 LPCVD 공정을 포함하는 CVD 공정에 사용된다.
도 1 에서는 "웨이퍼-공정" 위치에서 공정 챔버 본체 (100) 의 내측을 도시하였다. 도 2 에서는 "웨이퍼-분리" 위치에서 챔버의 내측을 도시하였다. 마지막으로, 도 3 에서는 "웨이퍼-탑재" 위치에서 챔버의 단면 측면도를 도시하였다.
도 1, 도 2 및 도 3 에서는 공정 가스(들)와 웨이퍼 간의 반응, 예를 들어 CVD 반응이 일어나는 반응 챔버 (145) 을 한정하는 챔버 본체 (100) 를 도시하였다. 일 실시형태에 있어서, 챔버 본체 (100) 는, 알루미늄으로 이루어지고, 챔버 본체 (100) (예를 들어, "저온벽" 반응 챔버) 를 냉각시키기 위해서 물을 펌핑하는 통로 (102) 를 구비한다. 반응 챔버 (145) 에는, 샤프트 (158) 에 의해 지지되는 방열판 (155) 을 포함하는 저항 히터 (150) 가 제공된다. 일 실시형태에 있어서, 방열판 (155) 은 반도체 웨이퍼를 지지하기에 충분한 표면적을 가지고 있다. 대략 10 인치의 길이를 가진 샤프에 의해 지지되고 대략 9.33 인치의 직경을 가진 원통형 방열판은 8 인치 직경의 웨이퍼를 지지하는데 적합한다.
챔버 본체 (100) 의 챔버 뚜껑 (170) 의 상면의 가스 분배구 (175) 를 통하여 밀봉된 반응 챔버 (145) 로 공정 가스를 유입한다. 공정 가스는, 저항 히터 (150) 위에 위치하고 반응 챔버 (145) 내측에서 챔버 뚜껑 (170) 에 연결되는 천공된 페이스 플레이트 (180) 에 의해 반응 챔버 (145) 에 걸쳐 분배된다.
웨이퍼는 챔버 본체 (100) 의 측면부에서 유입구 (105) 를 통하여 반응 챔버 (145) 내에 방열판 (155) 위에 배치된다. 처리될 웨이퍼를 수용하기 위해서, 히터 (150) 는 방열판 (155) 의 표면이 도 3 에 도시된 바와 같이 유입구 (105) 아래에 있도록 하강된다. 통상적으로, 웨이퍼는, 로봇 이송 기구에 의해, 예를 들어 반응 챔버 (145) 안으로의 이송 블레이드에 의해, 방열판 (155) 의 상위면에 탑재된다. 탑재되면, 유입구 (105) 가 밀봉되고 히터 (150) 는 스텝 모터 등의 리프터 조립체 (160) 에 의해 페이스 플레이트 (180) 쪽으로 상위방향 (예를 들어, 상방) 으로 전진하게 된다. 웨이퍼가 페이스 플레이트 (180) 로부터 단거리 (예를 들어 400 ~ 700 밀) 에 있을 때 상기 전진이 멈추게 된다. 이 시점에서, 가스 패널에 의해 제어된 공정 가스가, 가스 분배구 (175) 및 천공된 페이스 플레이트 (180) 를 통하여 반응 챔버 (145) 안으로 흐르게 되고, 통상적으로 웨이퍼상에서 반응하거나 증착되어 필름을 형성한다. 압력 제어 시스템에 있어서, 반응 챔버 (145) 내의 압력은 이 반응 챔버 (145) 에 연결된 압력 조절기(들)에 의해 형성되고 유지된다. 일 실시형태에 있어서, 예를 들어 종래에 알려진 바와 같이 챔버 본체 (100) 에 연결된 바라톰 (baratome) 압력 조절기(들)에 의해 압력이 형성되고 유지된다.
처리 이후에, 잔류 공정 가스(들)는 반응 챔버 (145) 로부터 펌프되어 펌핑 플레이트 (185) 를 통하여 집속 용기로 가게된다. 그 후, 반응 챔버 (145) 은 예를 들어 질소와 같은 불활성 가스로 정화된다. 처리 및 정화 이후에, 히터 (150) 는 리프터 조립체 (160) 에 의해 하부 방향 (예를 들어 아래로) 으로 도 2 에 도시된 위치로 전진하게 된다. 히터 (150) 가 이동함에 따라, 일단부가 방열판 (155) 의 표면의 개구부 또는 관통구를 통하여 연장하고 제 2 단부가 방열판 (155) 의 하부 (예를 들어, 저부) 표면으로부터 외팔보식으로 연장하는 리프트 핀 (195) 이, 반응 챔버 (145) 의 베이스에 위치한 리프트 플레이트 (190) 와 접촉하게 된다. 도 2 에 도시된 바와 같이, 일 실시형태에 있어서, 이 시점에서, 히터 (150) 가 전진함에 따라, 리프트 플레이트 (190) 는, 웨이퍼-탑재 위치에서 웨이퍼-분리 위치로 전진하지 않게 된다. 대신, 리프트 플레이트 (190) 는 샤프트 (158) 상의 기준높이 (H1) (도 2 에 도시됨) 에 있게 된다. 히터 (150) 가 리프터 조립체 (160) 의 작동을 통하여 하부 방향으로 계속 이동함에 따라, 리프트 핀 (195) 은, 정지상태로 있게 되고, 결국 방열판 (155) 의 상위면 또는 상면 위로 연장하여 방열판 (155) 의 표면으로부터 처리된 웨이퍼를 분리시킨다.
방열판 (155) 의 표면으로부터 처리된 웨이퍼를 분리하면, 로봇 기구의 이송 블레이드가 유입구 (105) 를 통하여 반응 챔버 (145) 내측의 "선택 (pick out)" 위치로 삽입된다. "선택" 위치는 처리된 웨이퍼 아래이다. 다음에, 리프터 조립체 (160) 는 리프트 플레이트 (190) 를 예를 들어 샤프트 (158) 상의 제 2 기준높이 (H2, 도 3 에 도시됨) 로 하부로 이동된다 (하강된다). 리프트 플레이트 (190) 를 하부 방향으로 이동시킴으로써, 처리된 웨이퍼의 하부측에서 리프트 핀 (195) 의 표면이 이송 블레이드와 접촉할 때까지, 리프트 핀 (195) 도 하부 방향으로 이동하게 된다. 그 후, 처리된 웨이퍼는, 웨이퍼를 분리하여 이 웨이퍼를 다음 처리 단계로 이송시키는 로봇 이송 기구 등에 의해, 유입구 (105) 를 통하여 분리된다. 그 후, 반응 챔버 (145) 안으로 제 2 웨이퍼를 탑재할 수 있다. 전술한 단계들을 반대로 하여 웨이퍼를 공정 위치로 가지고 올 수 있다. 적합한 리프터 조립체 (160) 의 자세한 설명은 캘리포니아주 산타클라라에 위치한 Applied Materials 에 허여된 미국특허 제 5,772,773 호에 기재되어 있다.
Si3N4 또는 다결정 실리콘의 LPCVD 처리 등과 같은 고온 작동시, 반응 챔버 (145) 내측의 반응 온도는 750℃ 이상으로 높아질 수 있다. 이에 따라, 반응 챔버 (145) 내에 노출된 구성품은 고온 처리에 적합해야 한다. 또한, 이러한 재료는 반응 챔버 (145) 안으로 유입될 수 있는 공정 가스 및 세정 화학약품과 같은 다른 화학약품에 적합해야 한다. 일 실시형태에 있어서, 히터 (150) 의 노출된 표면은 질화알루미늄 (AlN) 으로 이루어진다. 예를 들어, 방열판 (155) 과 샤프트 (158) 는 유사한 질화알루미늄재로 이루어진다. 다른 방법으로, 바람직한 구성에 있어서, 방열판 (155) 의 표면은 높은 열전도성 질화알루미늄재 (140 W/mK 내지 200 W/mK 의 열전도율을 가지고 95% 정도의 순도) 로 이루어지는 반면, 샤프트 (58) 는 낮은 열전도성 질화알루미늄 (60 W/mK 내지 100 W/mK 정도) 으로 이루어진다. 히터 (150) 의 방열판 (155) 은 통상적으로 확산 접착 또는 경납땜을 통하여 샤프트 (158) 에 접착되고, 이러한 연결은 반응 챔버 (145) 의 환경을 동일하게 견딜 수 있다.
또한, 리프트 핀 (195) 은 처리시 반응 챔버 (145) 내에 있게 된다. 이에 따라, 리프트 핀 (195) 은 반응 챔버 (145) 내의 작동 조건에 적합해야 한다. 리프트 핀 (195) 용으로 적합한 재료로는, 비한정적으로, 사파이어 또는 질화알루미늄을 포함한다. 반응 챔버 (145) 의 환경에 노출되는 다른 구성품으로는 리프트 플레이트 (190) 이다. 이에 따라, 일 실시형태에 있어서, 리프트 플레이트 (190) 의 샤프트 일부를 포함하는 리프트 플레이트 (190) 는 질화알루미늄 (예를 들어, 140 W/mK 내지 200 W/mK 정도의 열전도성 질화알루미늄) 조성물로 이루어진다.
도 1 에서는 또한 방열판 (155) 의 본체의 단면 및 샤프트 (158) 의 단면을 포함하는, 히터 (150) 의 일부의 단면을 도시하였다. 설명된 바와 같이, 도 1 에서는, 2 개의 가열부재, 즉 제 1 가열부재 (250) 와 제 2 가열부재 (260) 를 갖는 방열판 (155) 의 본체를 도시하였다. 제 1 가열부재 (250) 와 제 2 가열부재 (260) 는 방열판 (155) 의 본체내의 별도의 평면에 형성된다. 대략 0.68 인치 (또는 1.728 cm) 의 두께를 가진 방열판에 대해서, 제 1 가열부재 (250) 는 방열판의 표면으로부터 대략 5 ~ 8 mm 에 위치한다. 방열판 (155) 의 표면에 대한 제 1 가열부재 (250) 의 위치의 중요성은 이하 설명될 것이다.
각각의 가열부재 (예를 들어, 가열부재 (250, 260) 는 방열판의 재료와 유사한 열팽창 특성을 가진 재료로 제조된다. 이러한 재료의 일예는 질화알루미늄과 유사한 열팽창계수를 가진 몰리브덴 (Mo) 을 포함한다. 일 실시형태에 있어서, 각각의 가열부재는 감겨진 형상으로 몰리브덴 재료로 된 박층 (예를 들어, 2 밀) 을 포함한다.
도 1 에서, 제 2 가열부재 (260) 는 방열판 (155) 의 본체 하부에 위치된 평면에 형성되고, 제 1 가열부재 (250) (방열판 (155) 의 표면에 대하여) 하부에 위치한다. 일 실시형태에 있어서, 대략 1.728 cm 의 두께를 가진 방열판에 대해서, 제 2 가열부재 (260) 는 제 1 가열부재 (250) 의 평면으로부터 대략 5 mm 의 평면에 위치한다.
상기 실시형태에 있어서, 제 1 가열부재 (250) 와 제 2 가열부재 (260) 는 전력 단자에 개별적으로 연결된다. 전력 단자는, 전도성 리드로서, 내부 방향으로, 종방향으로 연장하는 개구부 및 샤프트 (158) 를 통하여 방열판 (55) 의 표면을 가열하기 위해 필요한 에너지를 공급하는 전원까지 연장한다.
또한, 도 1 에 도시된 바와 같이 히터 (150) 의 단면에는 열전대 (210) 가 있다. 열전대 (210) 는 종방향으로 연장하는 개구부 및 샤프트 (158) 를 통하여 방열판 (155) 의 상부면 또는 상면 바로 아래의 지점까지 연장한다. 방열판 (155) 이 원통형인 일 실시형태에 있어서, 열전대 (210) 는 원통형 본체의 중간지점과 대략 일치하는 지점에서 연장한다.
전술한 바와 같이, 반응기 (145) 내측의 환경은 많은 재료들에게 있어 최악의 환경일 수 있다. 열전대 (210) 뿐만 아니라 전원에 전동성 리드를 위치시킴으로써, 반응기에 적합한 샤프트 및 방열판내의 개구부 내측에서, 구성품들이 반응 챔버 (145) 의 환경에 노출되지 않음에 따라, 이러한 구성품의 열화 또는 변질에 대한 우려가 완화된다.
도 4 에서는 방열판 (155) 과 샤프트 (158) 를 포함하는 히터 (150) 의 1/2 단면 측면도를 도시하였다. 도 4 에서는 히터 (150) 의 다양한 구성품들을 수용하도록 그 길이부를 중심으로 종방향으로 연장하는 개구부를 갖춘 샤프트 (158) 를 도시하였다. 이러한 구성품들은, 제 1 가열부재 (250) 로의 전도성 리드 (215a, 215b) 와 제 2 가열부재 (260) 로의 전도성 리드 (220a, 220b) 를 포함한다. 전도성 리드 (215a, 215b; 220a, 220b) 는, 그 일단부가 특정한 공정에 필요한 온도를 제공하기 위해서 각 가열부재에 필요한 에너지를 제공해주는 1 이상의 전력 공급원에 연결된다.
도 4 에 도시된 바와 같이, 전도성 리드는 히터 (150) 의 방열판 (155) 본체로 연장한다. 전도성 리드 (215a, 215b) 는 샤프트 (158) 로부터 상부로 방열판 (155) 의 본체안으로 연장하여 방열판 (155) 의 본체내에 형성된 제 1 가열부재 (250) 의 위치에 대응하는 선 A-A 로 대략 도시된 평면에 의해 한정된 지점까지 연장한다. 전도성 리드 (220a, 220b) 는, 샤프트 (158) 로부터 상부로 연장하여 전도성 리드 (215a) 보다 방열판 (155) 의 표면으로부터 더 먼 지점까지 방열판 (155) 의 본체안으로 연장한다. 전도성 리드 (220a, 220b) 는, 방열판 (155) 의 본체내에 형성된 제 2 가열부재 (260) 의 위치에 대응하는 선 B-B 로 도시된 평면에 의해 대략 한정된 지점까지 연장한다.
도 4 에서는 방열판 (155) 의 표면의 확대도를 도시하였다. 이 확대도에 있어서, 방열판 (155) 의 표면에는 대략 0.03 인치 깊이의 오목부인 웨이퍼 패킷 (156) 을 구비하고 있음을 도시하였다. 방열판 (155) 의 표면상에 탑재된 웨이퍼는 웨이퍼 패킷 (156) 내에 놓인다. 웨이퍼 패킷 (156) 은, 일방법으로, 방열판 (155) 의 표면상의 웨이퍼를 포획하기 위해 또는 특히 웨이퍼-탑재 공정시 웨이퍼가 방열판 (155) 의 표면에서 미끄러지는 것을 방지하는데 사용된다. 일 실시형태에 있어서, 웨이퍼 패킷은 각진 가장자리, 예를 들어 60°내지 80°의 각 (α) 을 가진 가장자리를 가진다.
도 4 에서는 리프트 핀 (195) 을 지지하기 위한 개구부 (198) 의 확대도를 도시하였다. 일 실시형태에 있어서, 리프트 핀 (195) 은 그 본체보다 큰 직경을 가진 헤드를 가진다. 리프트 핀 (195) 은, 히터 (150) 가 "웨이퍼-공정" 상태에 있을 때, 방열판 (155) 의 표면과 동일한 높이에 놓인다. 이에 따라, 개구부 (198) 는 그 상부 단부에서 리프트 핀 (195) 의 헤드를 수용하기 위해 충분히 넓은 직경을 가진다. 따라서, 대략 0.11 인치의 두께로 된 헤드부를 가진 리프트 핀에 대해서, 개구부 (198) 의 상부 단부는 리프트 핀 (195) 의 헤드를 수용하기 위해 0.11 인치의 깊이를 가질 것이다. 개구부 (198) 의 직경은 이 개구부를 통하여 리프트 핀 (195) 이 빠지는 것을 방지하기 위해 그 상부 단부 아래에서 좁아진다. 이 개구부 (198) 를 통하여 열손실이 발생할 수 있음을 알 수 있다. 따라서, 일 실시형태에 있어서, 개구부 (198) 의 직경은 열손실을 감소시키도록 최소화된다. 예를 들어, 개구부 (198) 의 상부는 약간 더 작은 직경을 가진 리프트 핀의 헤드를 수용하기 위해 0.180 인치의 직경을 가진다. 개구부 (198) 의 나머지부는 유사하거나 약간 더 작은 직경을 가진 리프트 핀의 본체를 수용하기 위해 0.13 인치이다.
어떠한 경우에 있어서, CVD 반응 공정이 대기압과 다른 상태에서 작동될 수 있음을 알 수 있다. LPCVD 반응 상태에 있어서, 예를 들어 반응 챔버 (145) (도 1, 도 2, 도 3, 및 도 4 참조) 내측의 압력은 통상적으로 예를 들어 1 ~ 250 토르에서 작동된다. 전술한 바와 같이, 히터 (150) 의 외부는 반응 챔버 (145) 내측의 반응 상태에 노출된다. 히터 (150) 의 외부면은 진공에 노출되는 것과 동시에, 히터 (150) 의 내부는 반응 챔버 (145) 의 환경으로부터 보호된다. 따라서, 예를 들어 전도성 리드 (215a, 215b, 220a, 220b) 와 열전대 (210) 는, 샤프트 (158) 를 통하여 개구부 또는 도관내에 또한 방열판 (155) 의 본체안으로 배치시킴으로써, 챔버 (145) 내의 환경으로부터 보호된다. 일 실시형태에 있어서, 샤프트 (158) 를 통한 개구부 또는 도관내의 압력은 반응 챔버 (145) 내에 형성될 수 있는 진공으로 되지 않는다. 대신, 샤프트 (158) 를 통한 개구부 또는 도관은 대기압 상태에 있다. 따라서, 반응 챔버 (145) 내의 리프트 플레이트 (190) 와 히터 (150) 를 (예를 들어, 상하로) 이동시키는 스텝 모터는 반응 챔버내의 진공력에 대항하여 이동시키도록 하는 크기이다. 그래서, 본 발명의 히터 형상의 일장점은, 히터 (150) 의 챔버 환경으로부터 보호된 구성품들이 함께 수용되어, 샤프트의 직경이 너무 크지 않고, 또한 샤프트 (158) 내측의 부피가 너무 크지 않아서, 반응 챔버 (145) 내측의 히터 (150) 를 (예를 들어, 상하로) 이동시킬 수 있는 모터에 대한 비합리적인 요구를 들어줄 수 없다는 것이다. 가열부재 (예를 들어, 제 1 가열부재 (250) 와 제 2 가열부재 (260)) 를 방열판 (155) 본체의 별도의 평면에 배치하는 것은 상기와 같은 포개짐을 허용한다. 또한 이러한 포개짐은 샤프트 (158) 내의 개구부 또는 도관을 통한 시스템의 열손실을 최소화한다.
도 5 에서는 샤프트 (158) 의 베이스를 통한 본 발명의 히터의 일 실시형태를 도시하였다. 이 도면에서, 제 1 가열부재 (250) 용 개별 전도성 리드 (215a, 215b) 와 제 2 가열부재 (260) 용 개별 전도성 리드 (220a, 220b) 는 방열판 (155) 의 중앙에 함께 수용되어 도시되었다. 또한, 전도성 리드 (215a, 215b, 220a, 220b) 와 함께 열전대 (210) 가 수용되어 도시되었다. 도시된 바와 같이, 히터 (150) 의 샤프트 (158) 의 직경은, 이 경우에 있어서, 방열판 (155) 직경의 대략 1/3 로 최소화될 수 있다. 또한, 도 5 에서는 방열판 (155) 의 본체내의 4 개의 개구부 (198) 를 도시하였다. 개구부 (198) 는, 예를 들어 웨이퍼를 방열판 (155) 의 상부면으로 상승 및 하강시키는데 사용하는 리프트 핀 (195) 을 지지한다.
도 6 에서는 도 4 의 선 A-A 를 통한 방열판 (155) 의 상부 단면도를 도시하였다. 이 도면에서, 제 1 가열부재 (250) 는 도 4 의 선 A-A 에 의해 한정된 평면에 형성 도시되었다. 일 실시형태에 있어서, 제 1 가열부재 (250) 는 거울상으로 마주보는 2 개의 코일부 (230a, 230b) 로 이루어진다.
제 1 가열부재 (250) 의 코일부 (230a, 230b) 는 도 4 의 선 A-A 에 의해 한정된 평면에서 방열판 (155) 의 본체에 형성된다. 제 1 가열부재 (250) 의 코일부 (230a, 230b) 는 단자 (216a, 216b) 에 각각 연결되어 이 코일부를 전도성 리드 (215a, 215b) 각각을 통하여 전원에 연결시킨다. 일 실시형태에 있어서, 코일부 (230a, 230b) 는 전원의 전류 요구조건과 히터의 온도 범위에 적합한 재료로 제조된다. 또한, 일 실시형태에 있어서, 코일부 (230a, 230b) 는 질화알루미늄과 유사한 열팽창성 재료로 선택될 수 있다. 전술한 바와 같이, 질화알루미늄 (AlN) 스테이지 또는 방열판에 형성된 대략 2 밀의 두께를 가진 몰리브덴 (Mo) 재료는 방열판의 온도를 (적합한 전원에 연결되었을 때) 750℃ 초과하여 발생시킬 수 있다. 일 실시형태에 있어서, 마주보는 코일부 (230a, 230b) 는 단자 (216a, 216b) 에서 대략 3 ~ 5 mm 로 분리된다. 코일부들간의 거리를 감소하여 코일부들간에 어떠한 유효한 "저온 구역" 을 감소시킬 수 있다.
도 7 에서는 도 4 의 선 B-B 을 통한 방열판 (155) 의 상부 단면도를 도시하였다. 도 7 에서는 제 2 가열부재 (260) 의 평면을 도시하였다. 이 실시형태에 있어서, 제 2 가열부재 (260) 는 제 1 가열부재 (250) 보다 방열판 (155) 의 표면으로부터 더 먼 위치에서 방열판 (155) 의 본체내에 형성된다 (즉, 선 A-A 에 의해 한정된 평면은 선 B-B 에 의해 한정된 평면보다 방열판 (155) 의 표면에 더 근접하다). 도 6 과 유사하게, 제 2 가열부재 (260) 는, 일 실시형태에 있어서, 대략 선 B-B 에 의해 한정된 평면에 거울상으로 형성된 몰리브덴으로 된 마주보는 코일부 (232a, 232b) 를 포함한다. 제 2 가열부재 (260) 의 코일부 (232a, 232b) 는 단자 (221a, 221b) 에 각각 연결되어, 코일부를 전도성 리드 (220a, 220b) 를 통하여 전원에 연결시킨다. 일 실시형태에 있어서, 마주보는 코일부 (232a, 232b) 는 단자 (221a, 221b) 에서 대략 3 ~ 5 mm 만큼 분리되어 있다. 이 거리는 감소되어 코일부들간의 어떠한 유효한 "저온 구역" 을 감소시킬 수 있다.
도 6 과 도 7 에 도시된 실시형태에 있어서, 제 2 가열부재 (260) 의 코일부 (232a, 232b) 는 도 7 에서 축선 (217B) 을 중심으로 서로 마주보고, 제 1 가열부재 (250) 의 코일부 (230a, 230b) 는 도 6 에서 축선 (217A) 을 중심으로 서로 마주본다. 일 실시형태에 있어서, 코일부 (232a, 232b) 는 코일부 (230a, 230b) 에 대하여 약 180°로 회전할 수 있다. 이러한 방식으로, 제 1 가열부재 (250) 또는 제 2 가열부재 (260) 에서 마주보는 코일부들 사이의 영역은 다른 가열부재에 의해 보상된다. 가열부재의 코일 형상은 설명된 바와 같이 180°로 오프셋될 필요가 없음을 알 수 있다.
대신, 가열부재의 코일은, 예를 들어 서로 상하로 놓일 수 있고 (즉, 보상은 없음) 또는 서로 겹쳐질 수 있고 및/또는 마주보는 코일부들 사이의 영역을 어떠한 방식으로 보상하기 위해 다양한 각도 및/또는 거리에서 선형적으로 오프셋될 수 있다.
도 8 에서는 방열판 (155) 의 개략적인 상면도를 도시하였다. 방열판 (155) 의 표면은 3 개 이상의 구역으로 분할된다. 도 8 에 도시된 바와 같이, 영역 (245) 은 반경 (R1) 으로 한정된 구역을 형성한다. 영역 (245) 은 샤프트 (158) 위의 방열판 (155) 영역과 관련있다. 영역 (254) 은 반경 (R2) 으로 한정된 영역에서 반경 (R1) 으로 한정된 영역을 뺌으로써 이루어진 구역을 형성한다. 영역 (255) 은, 방열판 (155) 의 가장자리와 관련있고, 반경 (R3) 으로 한정된 영역에서 반경 (R2) 으로 한정된 영역 (254) 과 반경 (R1) 으로 한정된 영역 (245) 을 뺌으로써 이루어진 구역을 형성한다.
일 실시형태에 있어서, 제 1 가열부재 (250) 와 제 2 가열부재 (260) 는 별도의 열 분배부를 가지며 이에 따라 개별적으로 제어될 수 있다. 이러한 방식으로, 제 1 가열부재 (250) 는 소정의 지점에서 제 2 가열부재 (260) 의 소정의 지점보다 다소 전력을 수용할 수 있다. 또한, 이 지점에서, 저항 히터내에 추가의 가열구역을 더 한정하기 위해서 방열판 (155) 의 본체내에 추가의 가열부재를 부가할 수 있음을 알 수 있다. 다중 가열부재를 포함하는 것은, 방열판 (155) 의 평면에서의 위치와 추가의 전도성 리드의 수용에 대한 고려도 포함하게 된다.
제 1 가열부재 (250) 와 제 2 가열부재 (260) 를 개별적으로 제어하는 일방법으로서는, 방열판 (155) 의 영역을 가로질러 각 가열부재의 폭을 변화시키면서 가열부재의 두께를 일반적으로 일정하게 유지하는 것이다. 일반적으로, 저항 히터에 대해서, 가열부재에 공급되는 전력과 이에 따른 가열부재에 의해 발생된 열은 가열부재의 저항과 직접 관련있음을 알 수 있다. 일정한 두께를 가진 저항성 가열부재에 대해서, 가열부재의 넓은부분 (즉, 큰 부피) 은, 더 적은 저항을 가질 것이고, 전류를 흐르게 하기 위해 더 적은 전력을 필요로 할 것이며, 가열부재의 좁은부분 (즉, 작은 부피) 보다는 열이 덜 발생할 것이다. 따라서, 소정의 지점에서 가열부재의 폭을 감소시킴으로써 (즉, 가열부재의 부피를 감소시킴으로써), 가열부재를 통하여 소정량의 전류를 흐르게 하기 위해 이 지점에서 가열부재에 공급되는 전력은 가열부재의 폭이 감소되지 않은 지점에서의 전력보다 더 클 것이다. 유사하게, 폭이 감소된 지점에서 발생한 온도는 가열부재의 폭이 감소되지 않은 지점에서의 온도보다 더 클 것이다. 또한, 일반적으로 소정 길이의 가열부재를 통하여 소정량의 전류를 흐르게 하기 위해 필요한 전력량으로서 규정된 전력밀도는, 폭이 감소된 가열부재의 상기 지점에서 더 클 것이다.
도 6 에 있어서, 제 1 가열부재는, 예를 들어 대략 2 밀의 두께를 가진 몰리브덴 (Mo) 재료로 이루어진다. 이 실시형태에 있어서, 제 1 가열부재 (250) 의 폭을 변경하여, 영역 (245) (도 8 참조) 의 제 1 가열부재 (250) 의 전력 분포를 국부화한다. 이 실시형태에 있어서, 영역 (245) 는 샤프트 (158) 위의 영역을 둘러싸도록 형성된다. 열전대 (210) 와 전도성 리드 (215a, 215b, 220a, 220b) 를 수용하기 위해 샤프트 (158) 를 통하여 개구부가 형성되는 일 실시형태에 있어서, 방열판 (155) 의 영역에서의 열손실은 샤프트 (158) 위의 영역과 관련된 방열판 (155) 의 영역 (영역 (245) 로 도시) 에서보다 더 클 것이다. 따라서, 가열부재 (250) 의 상기 부분의 영역 (245) 과 관련된 전력밀도는 가열부재 (250) 의 상기 부분의 영역 (255) 과 관련된 전력밀도보다 더 클 것이다.
도 6 과 도 8 을 참조하면, 일 실시형태에 있어서, 영역 (245) (도 8 참조) 에 대응하는 영역에서의 제 1 가열부재 (250) 의 폭 (W1) 은 히터 (150) 의 방열판 (155) 의 영역 (255) (도 8 참조) 에 대응하는 폭 (W2) 보다 작다. 제 1 가열부재 (250) 의 더 작은 폭 (W1) 의 부분을 통해 이동하는 전류는, 제 1 가열부재 (250) 의 다른 부분 (예를 들어, 폭 (W2)) 을 통해 이동하는 전류보다 더 큰 저항을 받게 될 것이고, 이에 따라 제 1 가열부재 (250) 에 의해 발생한 열은 영역 (245) 에서 더 클 것이다. 2 밀의 두께를 가진 몰리브덴 (Mo) 재의 가열부재에 대해서, 영역 (255) 에 비하여 영역 (245) 에서 전력밀도를 증가시키기 위해서, 상기 폭 (W1) 은, 예를 들어 폭 (W2) 의 10 퍼센트 이하가 될 수 있다. 몰리브덴재의 일 실시형태에 있어서, 제 1 가열부재 (250) 에 걸친 저항은 2 옴의 값 (예를 들어, 폭 (W2)) 내지 4 옴의 값 (예를 들어, 폭 (W1)) 으로 변한다.
도 7 과 도 8 을 참조하면, 영역 (255) 은, 상기 실시예에서, 방열판 (155) 의 가장자리에 대응하는 영역을 둘러싸도록 형성된다. 일 실시형태에 있어서, 방열판 (155) 의 표면 영역에서의 열손실은 그 가장자리 (영역 (255) 으로 도시됨) 에서보다 더 클 것이다. 따라서, 예를 들어, 가열부재 (260) 의 상기 부분의 영역 (255) 과 관련된 전력밀도는 방열판 (155) 의 다른 영역과 관련된 전력밀도보다 더 클 것이다.
도 7 과 도 8 을 참조하면, 제 2 가열부재 (260) 는, 예를 들어 대략 2 밀의 두께를 가진 몰리브덴 (Mo) 재료일 수 있다. 이 실시에에서, 제 2 가열부재 (260) 의 폭을 변경하여, 영역 (255) (도 8 참조) 에서 제 2 가열부재로의 전력밀도를 국부화한다. 따라서, 영역 (255) (도 8 참조) 에 대응하는 영역에서 제 2 가열부재 (260) 의 폭 (W4) 은 히터 (150) 의 방열판 (155) 의 다른 영역에 대응하는 폭 (W3) 보다 작다. 가열부재 (260) 의 작은 폭 (W4) 부분을 통해 이동하는 전류는 가열부재 (260) 의 다른 부분 (예를 들어, 폭 (W3)) 을 통해 이동하는 전류보다 더 큰 저항을 받게 되어, 가열부재 (260) 에 의해 발생한 열은 영역 (255) 에서 더 클 것이다. 2 밀의 두께를 가진 몰리브덴 (Mo) 재의 가열부재에 대해서, 영역 (245) 에 비하여 영역 (255) 에서 전력밀도를 증가시키기 위해서, 상기 폭 (W4) 은, 예를 들어 폭 (W3) 의 10 퍼센트 이하가 될 수 있다. 몰리브덴재의 일 실시형태에 있어서, 제 1 가열부재 (250) 에 걸친 저항은 2 옴의 값 (예를 들어, 폭 (W3)) 내지 4 옴의 값 (예를 들어, 폭 (W4)) 으로 변한다.
도 9 에서는 히터 (150) 의 방열판 표면을 위한 가열부재의 개별 제어를 그래프로 도시하였다. 도 9 에서는 방열판 (155) 의 반경에 대한 제 1 가열부재와 제 2 가열부재에 공급된 전력비를 도시하였다. 이 실시형태에 있어서, 전력비는제 1 가열부재 (250) 의 전력과 제 2 가열부재 (260) 의 전력비로서 규정된다. 도시된 바와 같이, 제 1 가열부재 (250) 의 전력비는, 이 제 1 가열부재 (250) 에 공급된 추가의 전력으로 인해, 방열판 (155) 의 다른 구역보다 영역 또는 구역 (245) 에서 더 크다. 유사하게, 영역 또는 구역 (255) 에서의 전력비는, 상기 영역 또는 구역의 제 2 가열부재 (260) 에 공급된 추가 전력으로 인해, 방열판 (155) 의 다른 영역보다 더 크다.
도 4 내지 도 8 에 도시된 바와 같이 다중 구역 저항 가열부재는, 히터의 방열판의 개별 영역 또는 구역을 별도로 지정하도록 하여, 단일 구역 저항 히터보다 방열판의 표면에 걸쳐 보다 균일한 온도를 제공해준다. 예를 들어, 도 4 내지 도 8 에 도시된 바와 같이 2 구역 저항 히터는 제 1 구역 (영역 (245)) 을 방열판 (155) 의 다른 영역과 별도로 지정하게 한다. 따라서, 샤프트 (158) 를 통한 열손실은 방열판 (155) 의 표면에 걸친 온도 균일성을 해치지 않고 조절될 수 있다. 유사하게, 제 2 구역 (영역 (255)) 은 방열판 (155) 의 다른 영역과 별도로 지정될 수 있다. 따라서, 방열판 (155) 의 가장자리에서의 열손실은 (750℃ 이상의 온도에서도) 방열판 (155) 의 표면에 걸친 온도 균일성을 해치지 않고 조절될 수 있다. 그러므로, 고온 (예를 들어, 대략 750℃ 이상) 에 사용할 시, 별도의 영역은, 예를 들어 샤프트 (158) 및 방열판 (155) 의 가장자리와 관련된 영역에서 열손실이 제 1 영역 (245) 과 제 2 영역 (255) 에의 증가된 전력 분포에 의해 보상될 수 있도록 제어될 수 있다. 따라서, 방열판 (155) 의 표면에 걸친 온도는 종래의 단일 구역 저항 히터보다 일정한 값으로 유지될 수 있다.
도 4 내지 도 8 에 있어서, 제 1 가열부재 (250) 및 제 2 가열부재 (260) 는 대략 방열판 (155) 의 동일 영역을 점유한다. 이러한 구성의 일장점은, 하나의 가열부재가 고장난 경우에, 다른 가열부재가 방열판 (155) 의 전체 표면을 가열하도록 구성된다는 것이다. 개별 가열부재는 방열판 (155) 의 동일 영역을 점유하지 않지만 영역 (245 또는 255) 과 같은 단지 특정 영역을 점유하도록 형성될 수 있다.
도 4 내지 도 8 에 있어서, 제 1 가열부재 (250) 는 제 2 가열부재 (260) 의 평면 (도 5 의 선 B-B 로 도시됨) 보다 방열판 (155) 의 상부면에 더 근접한 평면 (도 4 의 선 A-A 로 도시됨) 에 위치된다. 일 실시형태에 있어서, 제 1 가열부재 (250) 는 방열판 (155) 의 표면으로부터 대략 5 ~ 8 mm 에 있다. 이러한 방식으로 표면으로부터 제 1 가열부재 (250) 를 분리함으로써, 더 나은 온도 분포를 제공하고 국소 가열을 감소시킨다.
각 가열부재의 배치는 공정 조건과 공정 대상물에 따라서 변할 것이다. 방열판 (155) 의 본체 평면내의 제 2 가열부재 (260) 를 제 1 가열부재 (250) 와 연관된 평면보다 더 낮게 배치하는 한가지 이유는, 제 2 가열부재 (260) 에 공급된 전력이 제 1 가열부재 (250) 에 공급된 전력보다 더 크게 할 수 있기 때문이다. 이러한 상황은, 예를 들어, 방열판 (155) 의 표면 가장자리에서의 열손실이 방열판 (155) 의 표면 중심에서의 열손실보다 더 클 때 발생할 것이다. 이에 따라, 예를 들어, 제 2 가열부재가 방열판 (155) 에 더 근접한 평면에 위치할 때보다 제 2 가열부재 (260) 의 위치 (선 B-B 로 도시) 와 방열판 (155) 의 표면간의 차이가 더 크기 때문에, 방열판 (155) 의 중심과 비교하여 이 방열판 (155) 의 가장자리에 공급된 추가 전력 (예를 들어, 더 높은 전력밀도) 이 보다 양호하게 분포될 수 있다. 보다 양호한 전력분포는 방열판 (155) 의 표면에 보다 균일한 열분포를 제공해주는 "고온점" 또는 국부 가열에 대한 가능성을 감소시켜준다. 제 2 가열부재 (260) 의 위치를 통하여 열을 보다 균일하게 분포시킴으로써, 어떠한 특정한 지점에서 750℃ 이상의 온도에서도, 방열판 (155) 의 표면 온도를 예를 들어 ±3℃ 로 제어할 수 있는 능력을 향상시킨다. 또한, 보다 균일한 분포는 방열판의 균열 또는 손상 등을 감소시킨다.
도 10 및 도 11 에서는 방열판 (155) 의 표면 온도를 관찰하거나 나타내는 일 실시형태를 도시하였다. 도 10 에서는, 챔버 본체의 상부면의 일부, 특히 챔버 뚜껑 (170) 의 일부와 천공 페이스 플레이트 (180) 의 일부를 개략적으로 도시하였다. 챔버 뚜껑 (170) 내의 개구부를 통하여 2 개의 고온계, 즉 제 1 고온계 (200) 와 제 2 고온계가 연장한다. 도 11 에서는 제 1 고온계 (200) 와 제 2 고온계 (205) 가 연결된 챔버 뚜껑 (170) 의 상면도를 도시하였다. 제 1 고온계 (200) 와 제 2 고온계 (205) 는, 예를 들어 워싱턴주 밴쿠버에 위치한 Sekidenko, Inc. 로부터 구입가능하다. 각 고온계는 방열판 (155) 의 표면에서 (또는 방열판 (155) 상의 웨이퍼 표면에서) 온도에 대한 데이타를 제공해준다. 일 실시형태에 있어서, 각 고온계는 335℃ 내지 1200℃ 의 온도 측정범위를 가진다. 각 고온계는 이 고온계의 위치에 대응하는 영역의 방열판 (155) 의 온도를 측정한다. 도 11 에 도시된 실시예에 있어서, 제 1 고온계 (200) 는 영역 또는 구역 (245) 의 방열판 (155) 의 온도를 측정하는 반면, 제 2 고온계 (205) 는 영역 또는 구역 (245) 의 방열판 (155) 의 온도를 측정한다. 열전대 (210) 는 방열판 (155) 의 중앙 또는 중점 (235) 에 근접하는 또는 대략 그에 대응하는 방열판 (155) 의 표면에서의 온도를 측정한다. 고온계와 열전대는 실시예이고 온도 표시장치로서 다른 장치를 사용할 수 있다. 예를 들어, 열 카메라가 본 발명의 다른 실시형태에서의 고온계로 대체될 수 있다.
제 1 고온계 (200) 와 제 2 고온계 (205) 는 고온계가 노출되는 광 또는 복사 에너지 일부를 바탕으로 하여 온도를 측정하기 때문에, 각 고온계는 챔버 (145) 내측으로 접근해야 한다. 이 경우에 있어서, 제 1 고온계 (200) 와 제 2 고온계 (205) 각각의 베이스에 있는 창 (290, 295), 챔버 뚜껑 (170) 내에 형성된 개구부 (270, 275), 및 페이스 플레이트 (180) 내에 형성된 개구부 (280, 285) 에 의해 접근된다. 상기 CVD 증착 공정과 같은 어떠한 실시형태에 있어서, 제 1 고온계 (200) 와 제 2 고온계 (205) 에 이용가능한 복사열 또는 광을 방해하여 고온계의 온도 측정을 방해하는 창 (290, 295) 의 가능한 코팅에 대한 문제가 있을 수 있다. 이에 따라, 일 실시형태에 있어서, 특히 개구부 (280, 285) 또한 가능한한 개구부 (270, 275) 의 길이와 폭이, 창 (290, 295) 의 가능한 코팅을 최소화하도록 이루어진다. 일 실시형태에 있어서, 개구부의 비는 페이스 플레이트 (180) 의 두께와 연관있다. 개구부와 페이스 플레이트이의 적합한 두께 비는 약 1 대 약 3 이다.
일 실시형태에 있어서, 다중 온도 측정장치는 방열판 (155) 의 표면 온도를 조절 및 제어하는데 사용된다. 예를 들어, Si3N4 의 증착을 위한 LPCVD 공정에 있어서, 약 750℃ 의 표면 반응 온도는 히터 (150) 의 방열판 (155) 표면에 걸쳐 약 ±2.5℃ 의 온도 차이를 가지는 것이 바람직할 수 있다. 본 발명의 시스템은 기준 온도 또는 제어 온도로서 사용되는 방열판 (155) 의 중앙 또는 중점 (235) 에서의 온도 (본 실시형태에서 열전대 (210) 에 의해 측정됨) 를 측정함으로써 히터 (150) 의 온도를 조절 및 제어할 수 있다. 방열판 (155) 에 걸친 온도의 균일성은 제 1 고온계 (200) 와 제 2 고온계 (205) 의 온도차 (△T) 에 의해 측정된다. 시스템은, 측정된 온도차 (△T) 로부터, 제 1 가열부재와 제 2 가열부재의 전력비를 조절하여 상기 온도차 (△T) 를 소정의 범위, 예를 들어 Si3N4 LPCVD 에 대하여 약 750℃ 에서 약 ±2.5°로 제어할 수 있다. 온도차 (예를 들어, △T) 측정을 사용함으로써 적어도 2 가지의 장점이 있다. 첫째, 웨이퍼들간의 방출율 (emissivity) 변화는, 각 고온계의 절대 측정치에만 유효하고 온도차 ( △T) 의 상대치에는 유효하지 않을 것이다. 두번째로, 시간에 따른 챔버 상태의 변화는 상대 온도 측정치에는 유효하지 않고 일반적으로 절대 온도 측정치에 유효할 것이다.
도 12 에서는 본 발명에 따라 이루어진 반응기에서 웨이퍼를 처리하는 일반적인 방법을 도시하였다. 도 12 에서는, 예를 들어 CVD 공정시 2-구역 단일 웨이퍼의 저항 히터의 온도를 제어하는 방법에 관한 것이다. 제 1 단계 (단계 300) 에서 CVD 반응기의 챔버 (145) 내의 히터 (150) 를 웨이퍼-탑재 위치 (도 3 및 관련 내용 참조) 로 배치한다. 그 다음에, 예를 들어 로봇 이송 기구를 사용하여 웨이퍼를 방열판 (155) 안에 탑재한다 (단계 310). 그 후, 예를 들어 도 1 에 도시된 바와 같이 웨이퍼가 천공된 페이스 플레이트 (180) 에 인접하도록 히터 (150) 가 배치된다 (단계 320). 그 다음에, 반응기를 공정 온도까지 상승시킨다 (단계 330). 예를 들어, Si3N4 의 증착을 위한 LPCVD 공정에서는, 온도를 약 750℃ 까지 상승시킨다. 히터 (150) 의 방열판 (155) 표면에 걸친 온도를 조절하기 위해서 열전대 (210) 및 제 1 고온계 (200) 와 제 2 고온계 (205) 중 하나 또는 둘 다에서 온도를 측정한다 (단계 340). 제 1 가열부재 (250) 와 제 2 가열부재 (260) 에 공급된 전력을 조절함으로써 온도가 제어된다 (단계 350).
반응이 완성되고 소망하는 필름 두께가 달성되면, 챔버에 공급된 공정 가스가 차단되고 챔버는 질소 등의 불활성 가스로 세척된다 (370). 다음에, 히터 스테이지는 웨이퍼-탑재 위치 (도 3 및 관련 내용 참조) 로 이동되고, 처리된 웨이퍼는 분리되어 다른 웨이퍼로 교체된다 (단계 380).
상기 설명은, 방열판 (155) 의 상이한 영역 또는 구역의 온도를 제어 및 조절함으로써, 이 방열판 (155) 의 표면상에 있는 웨이퍼의 표면 반응 온도와, 히터 (150) 의 방열판 (155) 의 표면 온도를 제어하는 것에 관한 것이다. 이러한 제어와 조절은 시스템 제어기의 도움으로 또는 수동으로 실시될 수 있음을 알 수 있다. 전술한 실시예에서, 작업자는 상이한 온도 표시장치 (예를 들어, 제 1 고온계 (200), 제 2 고온계 (205), 및 열전대 (210)) 의 온도 측정치를 기록하여 제 1 가열부재 (250) 와 제 2 가열부재 (260) 중 하나 또는 둘다에 공급된 전력을 수동으로 조절할 수 있다. 다른 방법으로, 제어기는, 온도 표시장치에 의해 측정된 온도를 기록하여, 예를 들어 온도차의 상대치를 결정하여 이에 따라 가열부재를 조절하는 알고리즘 등을 바탕으로 가열부재에 공급된 전력을 제어하도록 구성될 수 있다.
도 12 에서는, 제어기 (225) 에 제공된 제 1 고온계 (200), 제 2 고온계 (205), 및 열전대 (210) 등의 표시장치에 의해 제공되는 온도 측정치를 바탕으로 제 1 가열부재 (250) 와 제 2 가열부재 (260) 를 제어하기 위한 시스템을 개략적으로 도시하였다. 일 실시형태에 있어서, 제어기 (225) 는, 2 이상의 온도 표시장치의 온도차를 비교하고 히터 (150) 의 온도를 조절하여 상기 온도 표시장치가 허용가능한 범위내에 있도록 전력 공급기 (215) 를 제어하기 위한 적합한 알고리즘을 포함한다. 예를 들어, 히터의 온도가 히터 (150) 의 방열판 (155) 의 표면에 걸쳐 약 750℃ ±약 2.5℃ 인 것이 바람직한 실시예에 있어서, 제어기 (225) 는 2 이상의 표시장치에 의해 제공된 측정치를 바탕으로 하는 상기 결과를 얻기 위해 전력 공급기 (215) 를 제어한다.
제어기 (225) 에는 이 제어기의 메모리와 같은 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램인 소프트웨어 지시 로직이 제공된다. 예를 들어, 메모리는 하드 디스크 드라이브의 일부이다. 또한, 제어기 (225) 는, 작업자가 소망하는 반응 온도와 지시 장치들간의 온도차의 허용가능한 공차 (예를 들어, 약 ±3℃) 등의 반응 인자 (parameters) 를 입력하도록 하는 사용자 인터페이스 (user interface) 에 연결될 수 있다. LPCVD 반응 공정에서, 제어기 (225) 는 챔버 (145) 내의 압력을 조절하기 위해서 진공원과 챔버 (145) 내의 압력을 측정하는 압력 표시장치에 추가로 연결될 수 있다.
일반적으로, 가열부재의 제어는 전압 또는 전류 조절에 의해 실시된다. 가열부재의 전력 출력은, 전압 조절에 의해, 다음과 같다.
전력 = 전압2/저항
도 13 에서, 제어기 (225) 에는 소망하는 작동 온도 (온도 설정 지점 (201)에 의해 제공됨) 가 제공된다. 제어기 (225) 는 제 1 가열부재 드라이버 (216) 와 제 2 가열부재 드라이버 (217) 에 필요한 전압을 공급해주는 전력 공급기 (215) 를 제어한다. 가열부재 드라이버는 제 1 가열부재 (250) 와 제 2 가열부재 (260) 에 각각 가해진 전압을 제어한다. 제어기 (225) 는 히터 (150) 의 온도 상승율과 히터 (150) 의 전압 또는 전력비를 제어한다 (온도 상승율/PID 제어 (203) 및 전압비 (202)).
예를 들어 도 13 에 도시된 시스템을 사용하는 다구역 히터 제어의 일 실시형태가 이하 설명된다. 다구역 히터 온도는 내부 구역 (예를 들어, 영역 또는 구역 (245)) 에서 하나의 온도 센서로 제어되는 반면, 내부 구역 (예를 들어, 영역 또는 구역 (245)) 과 외부 구역 (예를 들어, 영역이나 구역 (254) 또는 영역이나 구역 (255)) 사이에 보정 상관관계 기능이 설정된다. 온도 제어는 2 가지 방법론 (methodologies) 을 채택한다. 히터 온도가 설정 지점에 근접하고 비례 플러스 적분 미분 포워드 (PIDF) (proportional plus integral derivative forward) 서보 밴드내에 있다면, PIDF 제어 알고리즘은 히터 온도를 설정 지점으로 조절하는데 사용된다. 다른 한편으로는, 히터가 온도 상승 또는 냉각 모드이고 히터의 온도가 PIDE 서보 대역 밖에 있다면, 온도 상승 알고리즘은 히터의 온도를 가열 또는 냉각의 소정의 비율로 제어하여 히터의 수명을 연장하는데 사용된다. 2 구역 히터의 온도 균일성은 내부 구역과 외부 구역 사이의 전압비 또는 전력비에 의해 제어된다. 이러한 비는, 일정하지는 않지만, 히터의 온도가 상승함에 따라 방열판의 내부 구역과 외부 구역간의 열손실이 상이하게 증가하기 때문에 온도를 변경함에 따라 변경될 필요가 있다. 또한, 이러한 비를 설정하는 것은 챔버의 압력과 가스 유동 등의 챔버의 상태에 따라 변한다.
히터 제어 모드는 온도상승 제어와 PIDF 제어로 나뉠 수 있다. 온도상승 제어는 상이한 전압비 또는 전력비율을 갖는 온도범위에 의해 "작은 온도상승"과 "큰 온도상승"으로 더 나뉠 수 있다. "작은 온도상승"은 고정된 전압비를 채용한다. "큰 온도상승"은 공식에 따라 계산된 변환 전압비 또는 전력비율을 채용한다.
Ⅰ. 제어 조건
챔버 작동 조건은 "챔버 오프선(OFF line)/온선(ON line)" 및 "레서피(recipe)" 작동이라는 2개의 모드로 나뉠 수 있다. 작동 시퀀스는 다음과 같다.
A. 챔버 오프선/온선:
히터가 가열되기 시작하면, 온도를 설정하기 위해 제어 단계는 다음과 같다.
1. 히터 온도가 소정의 온도보다 낮은 경우, 작은 온도상승.
2. 히터 온도가 소정의 온도보다 높은 경우, 큰 온도상승.
3. 히터 온도가 소정의 서보밴드(servo band) 내의 요구되는 온도에 도달하면, PIDF 서보.
히터가 냉각되기 시작하면, 제어 단계는 역으로 된다.
1. 히터 온도가 소정의 온도보다 높은 경우, 큰 온도상승.
2. 히터 온도가 소정의 온도보다 낮은 경우, 작은 온도상승.
3. 히터 온도가 소정의 서보 밴드 내의 요구되는 온도에 도달하면, PIDF 서보.
상승율 및 다른 PID 인자는 소정의 시스템 상수를 따른다.
B. 레서피 작동:
레서피 작동에서, 히터 제어는 온도 설정, 전압비 및 상승율 (만약 요구되는 온도가 이전 단계와 다른 경우) 라는 온도제어요구 파라미터를 포함한다.
세척(clean) 레서피를 위해, 제어 시퀀스는 예컨대 다음과 같다.
33. 공정 온도에서 PIDF 서보
34. 세척 온도까지 온도하강
35. 세척 온도에서 PIDF 서보
36. 공정 온도까지 온도상승
37. 공정 온도에서 PIDF 서보
PIDF 서보 동안, 전압비가 레서피의 단계에서 기록된다. 온도상승 또는 온도하강 동안, 상승율이 레서피의 단계에서 기록되고, 전압비는 "챔버 오프선/온선"에서 사용된 것처럼 소정의 값을 따른다.
Ⅱ. 온도상승 제어
온도상승 제어를 위해 사용된 연산이 히터 온도의 상승율에 대한 비례적인 제어를 이용한 아래 식으로 기재되어 있다.
히터 상승 전압:
내부구역 히터 상승 전압 =
이전의 내부구역 상승 전압 + ([상승 P 증가] ×(목적 상승율-실제 상승율))
외부구역 히터 상승 전압 =
전압비 ×내부구역 히터 상승 전압
외부구역 히터 상승 전압 〉100 % (예컨대, 10 V) 이면, 외부구역 히터 상승 전압 = 100 % (예컨대, 10 V), 내부구역 히터 상승 전압 = 외부구역 히터 상승 전압/전압비 로 한다.
목적 상승율은 온선/오프선에서의 히터 온도 상승율이고, 목적 상승율을 "세척" 레서피에서 "상승율"에 의해 겹쳐쓸 수 있다. 표 1 에서 위 식의 각 파라미터를 설명하고, LPCVD 챔버를 위한 대표적인 추천값을 제공한다.
히터 파라미터 설명 - 온도상승 제어
내부구역 히터 전력 출력 상승율 (상승율 변화의 제한)설명 : 시스템 제어기로부터 아날로그 출력되는 최대 비율은 변할 수 있다.추천값 : 100 mV
PID 제어로의 전환을 위한 온도 오차설명 : 온도상승 제어에서 PID 제어로 그리고 역으로 전환하기 위한 온도 밴드추천값 : 10 ℃
온도 상승 비례적인 게인 (상승 P 게인)설명 : 온도상승 제어 동안 히터 전압을 계산하기 위해 사용된 비례적인 게인추천값 : 8
챔버 X 히터 온도 상승율히터 온도 상승 비율 (목적 상승율)설명 : 온도가 상승 또는 하강되어야 하는 비율추천값 : 0.15 ℃/sec
전압비파라미터 :설명 : 제어 온도에서의 외부구역 및 내부구역 사이의 전압비. 이 파라미터는 온도에 따라 변한다. 자세한 내용은 "전압비" 부분 참조.
열전대 고장 검출 타임아웃(time-out)설명 : 실제 상승율이 목적 상승율의 70%보다 적은 경우 경보를 알리는 시간.추천값 : 300 초
온도상승 제어를 위해, 전압비는 히터 온도 범위에 의존한다.
A. 만약 전류온도(℃) < [TL] 라면,
전압비 = [RL] 이다.
여기서, RL = 작은 온도상승의 전압비
TL = 작은 온도상승의 온도 한계(℃).
B. 만약 [TL] < 전류온도(℃) < 설정온도(℃) - [PID 제어를 전환하기 위한 온도 오차] 라면,
전압비 = [RL] + ([RH]-[RL]) ×(전류온도-[TL])/([TH]-[TL]) 이다.
여기서, RL = 작은 온도상승의 전압비,
RH = 큰 온도상승의 전압비,
TH = 큰 온도상승의 온도한계(℃),
TL = 작은 온도상승의 온도한계(℃),
RL, RH 은 두 구역의 최대 전압비 (RM) 에 의해 제한된다.
C. 레서피 (공정 및 세척) 에서:
전압비는 소망하는 히터 온도 범위에 의존한다.
공정온도에서:
전압비 = 레서피에서 공정온도에서의 전압비.
히터 온도상승이 작거나 커지면, 레서피의 각 요구온도 (request temperature) (℃) - [PID 제어를 전환시키기 위한 온도 오차].
전압비 = [RL] + ([RH]-[RL]) ×(전류온도-[TL])/([TH]-[TL])
여기서, RL = 작은 온도상승의 전압비,
RH = 큰 온도상승의 전압비,
TH = 큰 온도상승의 온도한계(℃),
TL = 작은 온도상승의 온도한계(℃),
RL, RH 은 두 구역의 최대 전압비 (RM) 에 의해 제한된다.
매 10 ℃ 온도변화에 의해 전압비비를 다시 계산한다.
Ⅲ. PIDF 제어
PIDF 제어는, 히터 온도가 시스템에 의해 온도밴드 내에 있을 때 사용된다. PIDF 제어 밴드내에서, 총 히터 전압을 계산하기위해 5개까지의 다른 파라미터가 사용된다. 이들 5개의 파라미터는 앞먹임 (feedforward), 템프프리셋 (temp preset), P, I 및 D 이다. 앞먹임 다리부 (feedforward leg) 가 온도를 특정한 설정값으로 유지하는데 필요한 전압을 제공한다. 이는 부하가 없을 때 총 히터 전압에 기여하는 유일한 성분이어야 한다. 앞먹임을 부가하는 일 목적은 저항이 다를 수 있는 다른 히터에 제어 안정성을 제공하는 것이다. 가스 안내시 히터에 큰 하중이 존재할 때 히터에 또는 압력 램프에 즉각적인 전압변화를 일으키는데 공정 레서피에서 템프프리셋은 유용하다. 온도오차에 게인을 곱해 P 다리부가 결정되고, 총 온도오차에 게인을 곱해 I 다리부가 결정되며, 온도오차 기울기에 게인을 곱하여 D 다리부가 결정된다. 온도가 설정값에 가까운 정상상태 조건 근방에서만 I 다리부가 사용된다. 공정동안 템프프리셋이 사용되는 경우, I 다리부는 총 전압 계산에 사용되지 않는다.
표 2 의 식에 따라 PIDF 제어동안 내부구역 히터 전압이 결정된다. 다른 다리부는 더하지만, 총 전압에서 D 다리부를 뺀다. 개별 다리를 위한 식을 몇몇 계산 예로 나타내었다. I 다리부 및 템프프리셋 다리부는 서로에 대해 배타적이다. I 다리부는 템프프리셋이 0 일 때에만 총 전압에 기여한다. 만약 템프프리셋이 0 이 아니라면, I 다리부는 사용되지 않는다.
PIDF 제어동안 외부구역 히터 전압은 내부구역 PID 제어 출력 전압 및 전압비츌 (전력 상관관계) 의 결과에 의해 결정된다. 전압비 (전력 상관관계) 는 실제 공정 조건, 다른 제조사의 히터 및 고온 아이들 (idle) 조건에 기초하여 계량된 표 또는 리스트일 수 있다.
PID 제어 식
내부구역 히터 PID 전압=(앞먹임 다리부+P다리부+I다리부+템프프리셋 다리부)-D다리부
외부구역 히터 전압=전압비 ×내부구역 히터 제어 전압외부구역 히터 전압 > 100%(10V)일 때,외부구역 히터 전압 = 100%(10V),내부구역 히터 전압 = 외부구역 히터 램프전압/전압비 이라 한다.
앞먹임 다리부=온도 설정값 ×([1°당 바이어스 와트수])/([내부의 최대 와트]+[외부의 최대 와트] ×전압비^2))
P 다리부 = 온도오차×([P 게인]×오차 1°당 수정 전력]/([내부의 최대 와트]+[외부의 최대 와트] ×전압비^2))
I 다리부 = 총 온도오차×([I 게인]×오차 1°당 수정 전력]/([내부의 최대 와트]+[외부의 최대 와트] ×전압비^2))
D 다리부 = 온도오차 기울기×([D 게인]×오차 1°당 수정 전력]/([내부의 최대 와트]+[외부의 최대 와트] ×전압비^2))
템프프리셋 다리부 = [템프프리셋]/([내부의 최대 와트]+[외부의 최대 와트] ×전압비^2))
예:
(내부)히터 PID 전압=(49.1%+3.4%+3.0%+0%)-2.0%=53.3% →107 VAC
앞먹임 다리부=750 ×(0.655/(2000+2000 ×22) →49.1 %
P 다리부=0.8×(142.7×30/(2000+2000 ×22) →3.4 %
(외부)히터 전압=1.15 ×107 →123 VAC
전력비율 = 1.5
참고:위 식의 화살표는 계산된 값이 10x 의 인자에 의해 크기가 다름을 나타낸다.
히터 파라미터 설명 - PID 제어
챔버 X 최대 내부 아날로그 출력시 전력의 와트 (내부의 최대 와트)설명 : 앞먹임 다리부, P 다리부, I 다리부, D 다리부 및 템프프리셋 다리부의 계산시 게인 인자로서 이용됨
챔버 X 최대 외부 아날로그 출력시 전력의 와트 (외부의 최대 와트)설명 : 앞먹임 다리부, P 다리부, I 다리부, D 다리부 및 템프프리셋 다리부의 계산시 게인 인자로서 이용됨
챔버 X 저항 히터 서보밴드 폭설명 : 통합 제어(integral control)가 이용되는 설정값 주위의 온도밴드.통합 제어는 온도가 이 밴드를 벗어날 때마다 재설정된다.추천값 : 15℃
챔버 X 오차 1°당 수정 전력 (오차 1°당 수정 전력)설명 : P, I 및 D 다리부에 사용되는 게인 인자.추천값 : 30.0 W/℃
챔버 X 외부구역 전압서보 비율 (전압비)설명 : 전압비가 내부구역 PID 서보값에 기초한 외부구역 전압서보 계량 인자를 위해 사용된다. 이는 전기적 부하 변화, 즉 젼류에 관한 것이다.
챔버 X 총 오차 이력의 퍼센트에 의한 서보 (I 게인)설명 : I 다리부를 위한 게인 인자. I 다리부는 정상상태 오차를 수정하기 위해 이용되고, 히터 온도가 설정값에 가까울 때에만 이용된다. I 다리부는 레서피에서 템프프리셋이 없을 때에만 이용된다.
챔버 X 현재 오차의 퍼센트에 의한 서보 (P 게인)설명 : P 다리부를 위한 게인 인자. P 다리부는 가스 유동, 쿨 웨이퍼(cool wafer) 등에 의해 야기된 어떤 하중 교란에 대항하기 위해 사용된다.
챔버 X 현재 기울기의 퍼센트에 의한 서보 (D 게인)설명 : D 다리부를 위한 게인 인자. D 다리부는 온도의 변동을 감소시키기 위해 사용된다. 이는 총 전력에서 제외되고, 온도의 갑작스런 변화에 대항한다.
챔버 X 설정값 1°당 히터 바이어스 와트수 (1°당 바이어스 와트수)설명 : 앞먹임 다리부를 위한 게인 인자. 앞먹임 다리부는 고온 아이들 (하중 없음) 에서 모든 전압을 총 히터 전압이 되도록 돌려져야 한다.추천값 : 0.25 W/℃ (돌려질 때 히터에 따라 다름)
템프프리셋 (템프프리셋)레서피에서 프로그램됨설명 : 레서피에서 필요시 즉작적인 변화를 제공함. 히터에 큰 하중이 존재할 때 가스유동안내 동안 사용됨. 템프프리셋이 사용될 때, I 다리부는 0 이 된다. 템프프리셋은 특히 증착공정동안 I 다리부가 사용되기 위해 0 이 되어야 한다.추천값 : 레서피에 의존함. 템프프리셋의 매 50 mV 마다 다구역 히터를 위한 히터에 1.8 % 전압을 더해준다.
PIDF 제어를 위해, 전압비는 히터온도범위에 의존한다.
A. 만약 설정온도 (℃) 가 [TL] 보다 작다면,
전압비 = [RL]
참고: RL = 작은 온도상승의 전압비
TL = 작은 온도상승의 온도한계 (℃)
B. 만약 설정온도 (℃) 가 [TL] 과 [TH] 사이라면,
전압비 = [RL]+([RH]-[RL])×(설정온도-[TL])/([TH]-[TL])
참고: RL = 작은 온도상승의 전압비
RH = 큰 온도상승의 전압비
TH = 큰 온도상승의 온도한계
TL = 작은 온도상승의 온도한계
C. 전압비 - 레서피에서 (공정/세척):
공정온도 ±온도오차 내에서, PID 제어를 전환한다.
전압비 = 레서피 내 공정온도에서의 전압비. 전압비 설정은 두 구역의 최대 전압비 (RM) 에 의해 제한된다.
표 4 에서 가열, 준비/공정 및 냉각을 위한 히터 파라미터를 설명한다.
히터 파라미터 설명 - 가열, 준비/공정, 냉각
히터 파라미터 설명 - (시스콘(syscon)이 공정/챔버 파라미터 아래의 히터계량스크린(Heater Calibration Screen)에 위치된다.)
챔버 X 작은 온도상승의 온도 한계 (℃)(TL)설명 : 히터가 이 온도 이상이면, 두 구역의 전압비는 기본전압비와 동일하다.추천값 : 750℃ (설정가능값 : 600℃ ∼ 800℃)
준비온도 (℃)설명 : 챔버가 준비조건이라면, 히터는 이 온도에서 유지된다.추천값 : 공정온도 + 10℃
챔버 X 작은 온도상승의 전압비 (RL)설명 : [작은 온도상승의 온도한계(℃)(TL)]의 온도이하에서 외부구역과 내부구역 사이의 전압비
챔버 X 큰 온도상승의 전압비 (RH)설명 : [큰 온도상승의 온도한계(℃)(TH)]의 온도이상에서 외부구역과 내부구역 사이의 전압비
두 구역의 최대 전압비 (RM)설명 : 최대 전압비. 레서피에서는 RH, RL 및 R 을 포함한다.가능한 값의 범위 : 0 ∼ 22
설정온도 (℃)(TS)설명 : 목적 히터 작동 온도. 히터가 이 온도가 되면, 두 구역의 전압비가 기본 전압비와 동일해진다.
설정온도의 전압비설명 : 온도가 [챔버 X 저항히터 서보폭(℃)]내의 설정온도가 되면, 제어기는 이 전압비를 이용하여 PIDF 제어를 한다.
표 5 에서 챔버 세척 레서피용 히터 파라미터를 설명한다.
히터 파라미터 설명 - 세척 레서피
히터 파라미터 설명 - (레서피 내에 파라미터가 위치된다.)
공정온도 (℃)파라미터 : 레퍼시에서 프로그램된다.설명 : 챔버가 공정조건에 있다면, 히터는 이 온도로 된다.추천값 : 공정에 따라 다르다.
공정온도에서의 전압비시스콘 : 레서피에서 프로그램된다.설명 : [서보밴드]내의 공정온도에서 외부구역과 내부구역 사이의 전압비.제한값 : RM
상승율(상승 또는 하강)(℃/분)(목적 상승율을 다시 씀)파라미터 : 레서피에서 프로그램된다.설명 : 온도설정은 이전 단계와 다르다. 히터온도의 상승율은 소프트웨어에 의해 설정 및 제어될 필요가 있다.제한값: ...℃/분
도 14 는 도 7 의 제 2 가열부재 (260) 위에 있는 제 1 가열부재 (250) 및 방열판 (155) 의 단면을 위에서 바라본 도면이다. 일 실시형태에서, 제 1 가열부재 (250) 는 거울상 형식으로 배치된 2개의 대향 코일부 (230A, 230B) 로 이루어져 있다. 제 1 가열부재 (250) 의 코일부 (230A, 230B) 는 선 A-A (도 4) 로 규정되는 면에서 방열판 (155) 의 본체 내에 형성된다. 제 1 가열부재 (250) 의 코일부 (230A, 230B) 는 전도성 리드 (215A, 215B) 를 통해 코일부를 전원에 각각 연결하기 위해 단자 (216A, 216B) 에 각각 연결되어 있다. 일 실시형태에서, 코일부 (230A, 230B) 는 전원의 전류 요구 및 히터용 온도범위와 양립할 수 있는 재료로 제조된다.
도 7 의 제 2 가열부재 (260) 는 제 1 가열부재 (250) 의 면 바로 아래의 평행한 면에 위치한다. 이 실시형태에서, 제 2 가열부재 (260) 는 방열판 (155) 의 본체 내에 형성되지만, 방열판 (155) 의 표면에서 멀리 떨어진 위치에 형성된다. 일 실시형태에서, 제 2 가열부재 (260) 는 선 B-B (도 4) 에 근사한 면에 거울상 형식으로 형성된 대향 코일부 (230A, 230B) 를 포함한다. 제 2 가열부재 (260) 의 코일부 (230A, 230B) 는 전도성 리드 (220A, 220B) 를 통해 코일부를 전원에 연결하기 위해 단자 (221A, 221B) 에 각각 연결되어 있다. 일 실시형태에서, 코일부 (232A, 232B) 는 단자 (221A, 221B) 에서 약 3 ∼ 5 ㎜ 정도 떨어져 있다. 코일부 사이의 유효 "저온 구역(cold zone)"을 줄이기 위해 상기 거리를 줄일 수 있다.
도 14 에 도시된 실시형태에서, 제 2 가열부재 (260) 의 코일부 (232A, 232B) 는 축 (217) 을 중심으로 서로 마주보고 있고, 제 1 가열부재 (250) 의 코일부 (230A, 230B) 도 또한 축 (217) 을 중심으로 서로 마주보고 있다. 일 실시형태에서, 코일부 (232A, 232B) 는 코일부 (230A, 230B) 에 대해 약 0°∼ 약 180°회전될 수 있고, 그리고/또는 선형거리로 약 5 ㎜ ∼ 약 50 ㎜ 만큼 오프셋될 수 있다. 다른 실시형태에서, 코일부 (232A, 232B) 는 코일부 (230A, 230B) 에 대해 약 90°∼ 약 270°회전될 수 있다. 또다른 실시형태에서, 예컨대, 가열부재 코일은 겹쳐지게 놓일 수 있다. 히터 (150) 의 샤프트 (158) (도 4 참조) 에 평행한 면 (402) (C-C 로 표시) 이 있다. C-C 로 표시된 면 (402) 은 제 1 가열부재 (250) 의 코일부 (230A, 230B) 와 제 2 가열부재 (260) 의 코일부 (232A, 232B) 를 지난다.
이미 설명한 것처럼, 제 1 가열부재 (250) 및/또는 제 2 가열부재 (260) 는 저항율, 그에 따른 주어진 임의의 전류를 위한 열출력(heat output)을 조절하기 위해 다른 단면적을 가질 수 있다. 증가된 단면적의 경우, 저항율이 작아지고, 주어진 전류에서 열출력이 작아진다. 감소된 단면적의 경우, 저항율이 커지고, 주어진 전류에서 열출력이 커진다. 저항율 및 열출력은 소망하는 온도 프로파일을 제공하기 위해 필요에 따라 조절될 수 있다.
도 15 는 제 1 가열부재 (250) 및 제 2 가열부재 (260) 에서 C-C 로 표시된 면 (402) 에서 자른 단면도이다. 도 15 의 중앙에는 축 (217) 이 한 점으로 표시되어 있다. 도시된 것처럼, 상부 축 (217) 은 대향 코일부 (230A, 230B) 및 단자 (216A, 216B) 를 갖는 제 1 가열부재 (250) 이다. 하부 축 (217) 은 대향 코일부 (232A, 232B) 및 단자 (221A, 221B) 를 갖는 제 2 가열부재 (260) 이다.
도 16 은 방열판 (155) 의 본체 내의 제 1 가열부재 (250) 및 제 2 가열부재 (260) 의 배열의 다른 실시형태를 보여준다. 이 실시형태에서, 축 (217B) 에 대해 오프셋된 축 (217A) 으로 표시된 것처럼, 제 1 가열부재 (250) 와 제 2 가열부재 (260) 는 오프셋되어 있다. 제 1 가열부재 (250) 는 축 (217A) 을 중심으로 거울상 형식으로 배치된 2개의 대향 코일부 (230A, 230B) 로 형성되어 있다. 제 1 가열부재 (250) 의 코일부 (230A, 230B) 는 전도성 리드 (215A, 215B) (도 5 참조) 를 통해 코일부 (230A, 230B) 를 전원에 각각 연결하기 위해 단자 (216A, 216B) 에 각각 연결되어 있다.
제 2 가열부재 (260) 는 방열판 (도시 안됨) 의 표면에서 제 1 가열부재 (250) 보다 더 먼 위치에서 방열판 (155) 의 본체 내에 형성되어 있다. 다시 말해, 도 16 에 도시된 것처럼, 제 1 가열부재 (250) 는 제 2 가열부재 (260) 위에 있다. 제 2 가열부재 (260) 는 축 (217B) 을 중심으로 거울상 형식으로 형성된 대향 코일부 (232A, 232B) 를 포함한다. 제 2 가열부재 (260) 의 코일부 (232A, 232B) 는 전도성 리드 (220A, 220B) (도 5 참조) 를 통해 코일부 (232A, 232B) 를 전원에 연결하기 위해 단자 (221A, 221B) 에 각각 연결되어 있다.
도 14 처럼, 제 1 가열부재 (250) 및/또는 제 2 가열부재 (260) 는 방열판 (도시 안됨) 의 표면에서의 온도 분배의 필요에 따라 다른 단면적을 가질 수 있다. 제 1 가열부재 (250) 및/또는 제 2 가열부재 (260) 의 단면적이 증가하면, 저항율이 작아지고, 따라서, 주어진 전류에서 열출력이 감소된다. 제 1 가열부재 (250) 및/또는 제 2 가열부재 (260) 의 단면적이 감소하면, 저항율이 커지고, 주어진 전류에서 열출력이 커진다. 단면적 및 저항율은 히터 (150) 를 위한 소망하는 열출력 및 온도 분배를 얻기 위해 조절될 수 있다.
도 16 에서, D-D 로 표시된 면 (404) 은 히터 (150) 의 샤프트 (158) 의 길이방향 축에 평행하다. D-D 로 표시된 면 (404) 은 제 1 가열부재 (250) 및 제 2 가열부재 (260) 를 지난다. 도 17 은 제 1 가열부재 (250) 및 제 2 가열부재 (260) 를 통해 D-D 로 표시된 면 (404) 에서 자른 단면도로서, 제 1 가열부재 (250) 가 어떻게 제 2 가열부재 (260) 로부터 축 (217A) 및 축 (217B) 사이의 거리만큼 오프셋되어 있는지 보여주고 있다. 제 1 가열부재 (250) 는 축 (217A) 을 중심으로 대향 코일부 (230A, 230B) 를 가지며, 단자 (216A, 216B) 를 갖고 있다. 제 2 가열부재 (260) 는 축 (217A) 을 중심으로 대향 코일부 (232A, 232B) 를 가지며, 단자 (221A, 221B) 를 갖고 있다. 이 실시형태에서, 제 1 가열부재 (250) 및 제 2 가열부재 (260) 는 오프셋되어 있는데, 이는 실험적 시뮬레이션에서 코일부가 오프셋되지 않은 경우보다 방열판 (도시 안됨) 의 표면에서 온도 분배가 더 균일함을 나타내었다. 간격 (gap) (407) 은 제 1 가열부재 (250) 의 한 코일부와 제 2 가열부재 (260) 의 한 코일부 사이의 수평거리 (도시된 것처럼) 를 나타낸다. 일 실시형태에서, 300 ㎜ 직경 스테이지를 위해, 약 3 ∼ 약 20 ㎜ 의 대표적인 간격 ( 간격 (407) ) 이 스테이지의 표면에 걸친 향상된 온도 균일을 위해 사용될 수 있다. 다른 실시형태에서, 대표적인 간격은 약 5 ∼ 15 ㎜ 일 수 있다. 또다른 실시형태에서, 대표적인 간격은 약 7 ∼ 약 10 ㎜ 일 수 있다.
도 16 및 도 17 에 도시된 실시형태에서, 간격 (407) 은 축 (217A) 과 축 (217B) 을 실질적으로 평행하게 유지하면서 제 1 가열부재 (250) 를 제 2 가열부재 (260) 로부터 오프셋시킴으로써 형성된다. 간격 (407) 은, 다른 실시형태에서 축 (217A) 과 축 (217B) 이 서로에 대해 약 0°∼ 약 180°의 각을 이루도록, 또다른 실시형태에서는 약 15°∼ 약 165°의 각을 이루도록, 제 1 가열부재 (250) 와 제 2 가열부재 (260) 를 선형 거리로 오프셋시킴으로써 형성될 수 있다. 도 17 에는 제 1 가열부재 (250) 의 인접한 코일부 사이의 거리로서 피치 (P) (418) 가 나타나 있다. 일 실시형태에서, 간격 (407) 은 피치 (P) (418) 의 약 0.3 ∼ 0.4 배일 수 있다. 다른 실시형태에서, 간격 (407) 은 피치 (P) (418) 의 약 1.5 배일 수 있다. 일 실시형태에서, 간격 (407) 은 약 5 ∼ 15 ㎜ 일 수 있다. 다른 실시형태에서, 간격 (407) 은 약 7 ∼ 약 10 ㎜ 일 수 있다.
도 18 은 제 1 가열부재 (250) 및 제 2 가열부재 (260) 의 다른 실시형태를 보여준다. 비교의 편의를 위해, 제 1 가열부재 (250) 에 빗금을 쳤다. 이 실시형태에서 다른 코일 형상 또는 다른 유형의 형상의 사용을 생각할 수 있지만, 제 1 가열부재 (250) 는 정사각형 코일 형상으로 나타내었다. 제 1 가열부재 (250) 는 단자 (216A, 216B) 를 포함한다.
제 2 가열부재 (260) 는 단자 (221A, 221B) 를 포함한다. 이 실시형태에서 다른 코일 형상 또는 다른 유형의 형상의 사용을 생각할 수 있지만, 제 2 가열부재 (260) 도 또한 정사각형 코일 형상으로 나타내었다. 일 실시형태에서, 제 1 가열부재 (250) 및 제 2 가열부재 (260) 는 교차하지 않으므로, 제 1 가열부재 (250) 와 제 2 가열부재 (260) 사이에 간격이 항상 유지된다. 일 실시형태에서, 제 1 가열부재 (250) 및 제 2 가열부재 (260) 사이의 간격 (408) 은 일정한 거리로 유지된다. 다른 실시형태에서, 제 1 가열부재 (250) 와 제 2 가열부재 (260) 사이의 간격 (408) 은 필요에 따라 열출력 및 온도 분배를 변화시키기 위해 변한다.
E-E 로 표시된 면 (406) 은 히터 (150) 의 샤프트 (158) 의 선형 축에 평행하다. E-E 로 표시된 면 (406) 은 제 1 가열부재 (250) 와 제 2 가열부재 (260) 를 지난다. 도 18 에 도시된 것처럼, 일 실시형태에서 제 1 가열부재 (250) 는 제 2 가열부재 (260) 위에 있다.
도 19 는 도 18 의 E-E 로 표시된 면 (406) 에서 자른 단면도이다. 단면도는 제 2 가열부재 (260) 위의 제 1 가열부재 (250) 를 보여준다. 제 1 가열부재 (250) 는 제 2 가열부재 (260) 로부터 오프셋되어 있다. 간격 (408) 이 제 1 가열부재 (250) 의 한 코일부와 제 2 가열부재 (260) 의 한 코일부 사이의 수평거리 (도시된 것처럼) 로 나타나 있다. 피치 (P) (428) 는 도 19 에서 제 1 가열부재 (250) 의 인접한 코일 사이의 거리로 나타나 있다. 일 실시형태에서, 간격 (408) 은 제 1 가열부재 (250) 의 피치 (P) (428) 의 약 0.3 ∼ 약 0.7 배의 거리를 갖는다. 다른 실시형태에서, 간격 (408) 은 제 1 가열부재의 피치 (P) (428) 의 약 0.5 배의 거리를 갖는다.
일 실시형태에서, 제 1 가열부재 (250) 및/또는 제 2 가열부재 (260) 의 단면적은 제 1 가열부재 (250) 및/또는 제 2 가열부재 (260) 의 저항 및 열출력을 변화시키기 위해 변화될 수 있다. 가열부재 (250, 260) 의 단면적이 증가하면, 저항이 감소하고, 따라서 주어진 임의의 전류에서 열출력이 감소한다. 역으로, 단면적이 감소하면, 저항이 증가하고, 따라서 주어진 임의의 전류에서 열출력이 증가한다. 제 1 가열부재 (250) 및/또는 제 2 가열부재 (260) 의 단면적, 저항 및 열출력은 히터 (150) (도 1 참조) 로부터 소망하는 열출력 및 온도 분배를 얻기 위해 필요한 경우 각각의 길이를 따라 조절될 수 있다.
도 20 은 방열판 (155) 의 본체 내의 제 1 가열부재 (250) 와 제 2 가열부재 (260) 의 배열의 다른 실시형태를 보여준다. 이 실시형태에서, 제 1 가열부재 (250) 와 제 2 가열부재 (260) 는 h (272) 로 표시된 것처럼 오프셋되어 있다. 제 1 가열부재 (250) 는 축 (217) 을 중심으로 거울상 형식으로 배치된 2개의 대향 코일부 (230A, 230B) 로 형성되어 있다. 제 1 가열부재 (250) 의 코일부 (230A, 230B) 는 전도성 리드 (215A, 215B) (도 5 참조) 를 통해 코일부 (230A, 230B) 를 전원에 각각 연결하기 위해 단자 (216A, 216B) 에 각각 연결되어 있다.
제 2 가열부재 (260) 는 방열판 (도시 안됨) 의 표면에서 제 1 가열부재 (250) 보다 더 먼 위치에서 방열판 (155) 의 본체 내에 형성되어 있다. 다시 말해, 도 20 에 도시된 것처럼, 제 1 가열부재 (250) 는 제 2 가열부재 (260) 위에 있다. 제 2 가열부재 (260) 는 축 (217) 을 중심으로 거울상 형식으로 형성된 대향 코일부 (232A, 232B) 를 포함한다. 제 2 가열부재 (260) 의 코일부 (232A, 232B) 는 전도성 리드 (220A, 220B) (도 5 참조) 를 통해 코일부 (232A, 232B) 를 전원에 연결하기 위해 단자 (221A, 221B) 에 각각 연결되어 있다.
도 14 처럼, 제 1 가열부재 (250) 및/또는 제 2 가열부재 (260) 는 상기한 것처럼, 방열판 (도시 안됨) 의 표면에서의 온도 분배의 필요에 따라 다른 단면적을 가질 수 있다.
도 21 은 제 1 히터 및 제 2 히터의 온도 프로파일을 보여준다. 제 1 히터 (예컨대, 도 14 및 도 15 에 도시된 것과 같은 히터) 의 제 1 온도 프로파일 (2110) 은 일측에서 먼 측까지의 중간까지 그 표면에서 일반적으로 거친 온도 프로파일을 갖는다. 제 2 히터 (예컨대, 도 16, 17, 18, 19 및/또는 20 에 도시된 것과 같은 히터) 의 제 2 온도 프로파일 (2120) 은 일측에서 먼 측까지의 중간까지 일반적으로 매끄러운 온도 프로파일을 갖는다. 도 21 에 도시된 것처럼, 제 1 온도 프로파일 (2110) 을 갖는 제 1 히터는 150 ㎜의 반경을 갖는다. 제 1 온도 프로파일 (2110) 은 약 535 ℃∼ 약 590 ℃이다. 제 2 온도 프로파일 (2120) 은 마찬가지로 약 150 ㎜의 반경을 갖는 제 2 히터에 대응한다. 제 2 온도 프로파일 (2120) 은 약 555 ℃ ∼ 약 600 ℃의 온도범위를 갖는다.
도 22 에는 제 1 가열부재 (250) 및 제 2 가열부재 (260) 의 다른 실시형태가 나타나 있다. 이 실시형태에서, 제 1 가열부재 (250) 는 제 1 가열부재 (250) 의 동심 원호부의 중심 (422) 및 축 (217A) 을 갖고 있다. 제 2 가열부재 (260) 는 제 2 가열부재 (260) 의 동심 원호부의 중심 (420) 및 축 (217B) 을 갖고 있다. 제 1 가열부재 (250) 의 중심 (422) 은 제 2 가열부재 (260) 의 중심 (420) 으로부터 선형으로 오프셋되어 있다. 또한, 제 1 가열부재 (250) 의 축 (217A) 은 제 2 가열부재 (260) 의 축 (217B) 으로부터 각도상에서 각도 α(43) 만큼 오프셋되어 있다. 일 실시형태에서, 오프셋 각도 α(430) 는 약 0°∼ 약 180°이다. 다른 실시형태에서, 오프셋 각도 α(430) 는 약 15°∼ 약 165°이다. 다른 실시형태에서, 오프셋 각도 α(430) 는 약 30°∼ 약 150°이다. 피치 (P) (428) 는 도 22 에서 제 1 가열부재 (250) 의 인접한 코일 사이의 거리로서 나타나 있다. 일 실시형태에서, 선형 오프셋 (424) 은 피치 (P) (428) 의 약 0.3 ∼ 약 0.7 배이다. 다른 실시형태에서, 선형 오프셋 (424) 은 피치 (P) (428) 의 약 1.5 배이다. 다른 실시형태에서, 선형 오프셋 (424) 은 약 1 ㎜ ∼ 약 50 ㎜이다. 다른 실시형태에서, 선형 오프셋 (424) 은 약 5 ㎜ ∼ 약 25 ㎜이다. 다른 실시형태에서, 선형 오프셋 (424) 은 약 10 ㎜ ∼ 약 15 ㎜이다.
상기 설명은 주로 CVD 시스템에서의 사용을 위한 다구역, 단일웨이퍼 히터의 사용에 관한 것이다. 본 발명은 이중구역 히터 장치를 포함하여 설명되었다. 추가적인 가열부재 및 그 가열부재에 연관된 온도 표시기가 본 발명의 보호범위를 벗어나지 않고 포함될 수 있음을 인식해야 한다. 본 발명은 CVD 반응기, 시스템 또는 방법으로 제한되지 않으며, 정확한 온도 제어가 보장되는 다양한 다른 용도에 사용될 수 있음을 인식해야 한다.
상기한 상세한 설명에서, 특정 실시형태를 참조하여 본 발명을 설명하였다. 그러나, 본 발명의 청구범위에 의한 보호범위를 벗어나지 않는 다양한 변형예가 가능함은 명백하다. 따라서, 상세한 설명 및 도면은 제한적이 아니라 예시적인 것으로 간주되어야 한다.

Claims (62)

  1. 웨이퍼를 지지하기 위한 영역을 갖는 표면 및 본체를 포함하는 스테이지,
    상기 스테이지에 연결된 샤프트,
    상기 스테이지의 본체의 제 1 면내에 배치된 제 1 가열부재 및
    상기 스테이지의 표면으로부터 본체의 제 1 면보다 더 멀리 떨어져 있는 스테이지의 본체의 제 2 면내에 배치된 제 2 가열부재를 포함하며,
    상기 제 2 가열부재는 본체의 제 1 면에 실질적으로 평행인 면에서 제 1 가열부재로부터 오프셋되어 있는 것을 특징으로 하는 장치.
  2. 제 1 항에 있어서, 상기 제 1 가열부재는 제 1 저항을 갖는 제 1 부분 및 제 1 저항과 다른 제 2 저항을 갖는 제 2 부분을 포함하는 저항 가열부재인 것을 특징으로 하는 장치.
  3. 제 2 항에 있어서, 상기 제 1 가열부재의 제 2 부분은 상기 영역의 중간지점으로부터 제 1 가열부재의 제 1 부분보다 더 먼 거리에 있는 스테이지의 영역내에 배치되는 것을 특징으로 하는 장치.
  4. 제 1 항에 있어서, 제 2 가열부재는 제 1 저항을 갖는 제 1 부분 및 제 1 저항과 다른 제 2 저항을 갖는 제 2 부분을 포함하는 저항 가열부재인 것을 특징으로 하는 장치.
  5. 제 4 항에 있어서, 상기 제 2 가열부재의 제 2 부분은 상기 영역의 중간지점으로부터 제 2 가열부재의 제 1 부분보다 더 먼 거리에 있는 스테이지의 영역 내에 배치되는 것을 특징으로 하는 장치.
  6. 제 1 항에 있어서, 상기 제 1 가열부재는, 제 2 가열부재가 차지하는 스테이지의 영역과 실질적으로 동일한 크기의 스테이지의 영역을 차지하는 것을 특징으로 하는 장치.
  7. 제 1 항에 있어서,
    상기 스테이지는 웨이퍼를 지지하는 제 1 표면 및 제 2 표면을 포함하고, 상기 샤프트는 샤프트의 길이에 걸쳐 내부 개구를 규정하는 일 부분을 가지며,
    상기 샤프트는 중간지점에 실질적으로 대응하는 일 지점에서 스테이지의 제 2 표면에 연결되고, 제 1 가열부재와 제 2 가열부재 각각에 대한 파워리드가 상기 개구 내에 배치되는 것을 특징으로 하는 장치.
  8. 제 1 항에 있어서, 스테이지 본체는 중간지점이 표면에 수직인 축에 대응하도록 실질적으로 원통형이고, 스테이지의 상기 영역의 제 1 부분은 축을 중심으로 제 1 반경에 의해 규정되며, 상기 영역의 제 2 부분은 상기 축을 중심으로 상기 제 1 반경보다 더 큰 제 2 반경에 의해 규정되는 것을 특징으로 하는 장치.
  9. 제 8 항에 있어서,
    상기 제 1 가열부재는 상기 스테이지의 영역의 제 1 부분에 대응하는 영역내의 제 1 저항 및 상기 스테이지의 역역의 제 2 부분에 대응하는 영역내의 제 2 저항을 포함하는 저항 가열부재이고,
    상기 제 2 가열부재는 상기 스테이지의 영역의 제 1 부분에 대응하는 영역내의 제 1 저항 및 상기 스테이지의 영역의 제 2 부분에 대응하는 영역내의 제 2 저항을 포함하는 저항 가열부재인 것을 특징으로 하는 장치.
  10. 제 9 항에 있어서, 상기 제 1 가열부재의 제 1 저항은 상기 제 1 가열부재의 제 2 저항보다 작고, 상기 제 2 가열부재의 제 1 저항은 상기 제 2 가열부재의 제 2 저항보다 큰 것을 특징으로 하는 장치.
  11. 제 8 항에 있어서, 스테이지의 영역의 제 1 부분에 대응하는 영역 및 스테이지의 영역의 제 2 부분에 대응하는 영역에서 제 1 가열부재의 전력 밀도가 제 2 가열부재의 전력밀도보다 큰 것을 특징으로 하는 장치.
  12. 제 1 항에 있어서,
    상기 제 1 가열부재는 제 1 축에 의해 분리된 2개의 세그먼트를 포함하고,
    상기 제 2 가열부재는 제 2 축에 의해 분리된 2개의 세그먼트를 포함하며, 상기 제 1 축과 제 2 축은 적어도 평행하거나 상기 표면의 면에서 약 0°∼ 약 180°의 각도를 규정하기 위해 교차하는 것을 특징으로 하는 장치.
  13. 제 12 항에 있어서,
    상기 스테이지 본체는 중간지점이 표면에 수직인 축에 대응하도록 실질적으로 원통형이고, 스테이지의 상기 영역의 제 1 부분은 축을 중심으로 제 1 반경에 의해 규정되며, 상기 영역의 제 2 부분은 상기 축을 중심으로 상기 제 1 반경보다 더 큰 제 2 반경에 의해 규정되고,
    스테이지의 영역의 제 1 부분에 대응하는 영역 및 스테이지의 영역의 제 2 부분에 대응하는 영역에서 상기 제 1 가열부재의 전력 밀도는 제 2 가열부재의 전력밀도보다 큰 것을 특징으로 하는 장치.
  14. 제 1 항에 있어서, 상기 스테이지는 약 750 ℃ 를 초과하는 온도까지 탄성인 재료를 포함하는 것을 특징으로 하는 장치.
  15. 제 14 항에 있어서, 상기 스테이지 및 상기 샤프트는 질화알루미늄을 포함하는 것을 특징으로 하는 장치.
  16. 제 15 항에 있어서, 상기 스테이지는 질화알루미늄을 포함하고, 또한 약 140 W/mK ∼ 약 200 W/mK 의 열전도율을 가지며, 상기 샤프트는 질화알루미늄을 포함하고, 또한 약 60 W/mK ∼ 약 100 W/mK의 열전도율을 갖는 것을 특징으로 하는 장치.
  17. 제 1 항에 있어서, 웨이퍼를 지지하는 영역이 약 60°∼ 약 80°의 각도로 스테이지의 표면내에 함몰된 웨이퍼 패킷을 포함하는 것을 특징으로 하는 장치.
  18. 챔버, 및
    웨이퍼를 지지하는 영역을 갖는 표면 및 본체를 포함하며 챔버내에 배치된 스테이지, 상기 스테이지에 연결된 샤프트, 스테이지의 상기 영역의 제 1 부분내에 그리고 상기 스테이지의 본체의 제 1 면내에 배치된 제 1 가열부재 및 스테이지의 상기 영역의 제 2 부분내에 그리고 상기 스테이지의 본체의 제 2 면내에 배치된 제 2 가열부재를 포함하는 저항 히터를 포함하며,
    상기 히터의 본체의 제 2 면은 스테이지의 상기 표면으로부터 상기 본체의 제 1 면보다 더 먼 거리에 있으며,
    제 1 가열부재의 전력밀도가 스테이지 영역의 제 1 부분에 대응하는 영역에서 제 2 가열부재의 전력밀도보다 크고, 제 1 가열부재의 전력밀도가 스테이지 영역의 제 2 부분에 대응하는 영역에서 제 2 가열부재의 전력밀도보다 작으며,
    또한, 상기 제 2 가열부재는 상기 스테이지의 본체의 제 1 면에 실질적으로 평행인 면에서 제 1 가열부재로부터 오프셋되어 있는 것을 특징으로 하는 반응기.
  19. 제 18 항에 있어서, 상기 스테이지 본체는 중간지점이 표면에 수직인 축에 대응하도록 실질적으로 원통형이고, 상기 제 2 스테이지 영역은 상기 중간지점으로부터 제 1 스테이지 영역보다 더 먼 거리에 배치되는 것을 특징으로 하는 반응기.
  20. 제 18 항에 있어서,
    상기 제 1 가열부재는, 제 1 부분의 제 1 세그먼트내에 배치된 제 1 코일과 제 1 부분의 제 2 세그먼트내에 배치된 제 2 코일이라는 한 쌍의 코일로 제 1 부분 주위에 배치되고, 상기 제 1 부분은 제 1 축에 의해 분리되는 2개의 세그먼트에 의해 규정되며, 상기 제 1 코일은 상기 제 1 축에 의해 제 2 코일에 연결되고,
    상기 제 2 가열부재는, 제 2 부분의 제 1 세그먼트내에 배치된 제 1 코일과 제 2 부분의 제 2 세그먼트내에 배치된 제 2 코일이라는 한 쌍의 코일로 제 2 부분 주위에 배치되고, 상기 제 2 부분은 제 2 축에 의해 분리되는 2개의 세그먼트에 의해 규정되며, 상기 제 1 코일은 상기 제 2 축에 의해 제 2 코일에 연결되고, 상기 제 1 축과 제 2 축은 적어도 평행하거나 상기 표면의 면에서 약 0°∼ 약 180°의 각도를 규정하기 위해 교차하는 것을 특징으로 하는 반응기.
  21. 제 18 항에 있어서,
    상기 스테이지의 제 1 온도를 측정하기 위해 샤프트 내에 배치된 제 1 온도센서 및
    상기 스테이지의 영역의 제 1 부분 및 상기 스테이지의 영역의 제 2 부분 중 어느 일방에 대응하는 상기 스테이지의 영역의 제 2 온도를 측정하기 위해 배치된 제 2 온도센서를 더 포함하는 것을 특징으로 하는 반응기.
  22. 제 21 항에 있어서, 상기 제 1 온도센서는 열전대인 것을 특징으로 하는 반응기.
  23. 제 21 항에 있어서, 상기 제 2 온도센서는 고온계인 것을 특징으로 하는 반응기.
  24. 제 23 항에 있어서, 상기 챔버는 상부표면을 포함하고, 상기 고온계는 상기 챔버의 상부표면에 있는 창에 배치된 것을 특징으로 하는 반응기.
  25. 제 28 항에 있어서, 상기 샤프트는 샤프트의 길이에 걸쳐 내부 개구를 규정하는 일 부분을 갖고, 상기 샤프트의 개구를 통해 제 1 가열부재 및 제 2 가열부재에 연결된 전원을 더 포함하는 것을 특징으로 하는 반응기.
  26. 제 25 항에 있어서, 제 1 가열부재 및 제 2 가열부재의 온도를 제어하기 위해 전원에 연결된 제어기를 더 포함하는 것을 특징으로 하는 반응기.
  27. 제 26 항에 있어서, 상기 제어기는 제 1 가열부재 및 제 2 가열부재의 온도를 약 ±3℃ 이내로 제어하는 것을 특징으로 하는 반응기.
  28. 제 27 항에 있어서, 상기 제어기는 2 이상의 제 1 온도센서 및 제 2 온도센서에 연결된 것을 특징으로 하는 반응기.
  29. 제 18 항에 있어서, 상기 히터는 750 ℃ 를 초과하는 온도까지 탄성인 재료를 포함하는 것을 특징으로 하는 반응기.
  30. 제 29 항에 있어서, 상기 스테이지는 질화알루미늄을 포함하고, 또한 약 140 W/mK ∼ 약 200 W/mK 의 열전도율을 갖는 것을 특징으로 하는 반응기.
  31. 제 18 항에 있어서, 상기 히터의 본체는 저부표면 및 본체를 관통하는 개구를 규정하고 상기 표면에 실질적으로 수직인 일 부분을 포함하고,
    상기 반응기는, 히터의 본체를 관통하는 개구내에 배치된 제 1 단부 및 히터의 본체의 저부표면 아래에 연장된 제 2 단부를 갖는 리프트 핀, 반응기 챔버 내의 제 1 위치와 제 2 위치 사이에서 히터를 이동시키기 위해 샤프트에 연결된 리프터 조립체, 및 리프터 조립체에 연결되고 또한 챔버 내에 배치된 일 부분을 갖는 리프트 플레이트를 더 포함하고,
    상기 챔버 내에 배치된 상기 부분은 샤프트에 수직인 방향으로 연장되고 스테이지의 본체의 상부표면에 실질적으로 평행인 일 표면을 포함하여, 히터가 제 1 위치에 있을 때, 리프트 핀이 리프트 플레이트에 접하는 것을 특징으로 하는 반응기.
  32. 제 31 항에 있어서, 상기 리프트 플레이트는 약 750 ℃ 를 초과하는 온도까지 탄성인 재료를 포함하는 것을 특징으로 하는 반응기.
  33. 제 32 항에 있어서, 상기 리프트 플레이트는 질화알루미늄을 포함하고, 또한 약 140 W/mK ∼ 약 200 W/mK 의 열전도율을 갖는 것을 특징으로 하는 반응기.
  34. 제 31 항에 있어서, 상기 리프트 핀은 사파이어와 질화알루미늄 중 일방을 포함하는 것을 특징으로 하는 반응기.
  35. 제 34 항에 있어서, 상기 본체를 관통하는 개구는, 리프트 핀의 헤드부를 지지하기 위해 제 1 직경을 갖는 제 1 부분 및 제 1 직경보다 작은 제 2 직경을 갖는 제 2 부분을 갖는 것을 특징으로 하는 반응기.
  36. 제 18 항에 있어서, 웨이퍼를 지지하는 영역은 약 60°∼ 약 80°의 각도로 스테이지의 표면내에 함몰된 웨이퍼 패킷을 포함하는 것을 특징으로 하는 반응기.
  37. 웨이퍼를 지지하는 영역을 갖는 표면 및 본체를 포함하는 스테이지, 그 스테이지에 연결된 샤프트, 상기 스테이지의 본체의 제 1 면내에 배치된 제 1 가열부재, 및 상기 스테이지의 본체의 제 2 면내에 배치된 제 2 가열부재를 포함하는 저항 히터,
    상기 스테이지의 제 1 온도를 측정하기 위해 샤프트내에 배치된 제 1 온도센서, 및
    상기 제 1 가열부재 및 제 2 가열부재에 연결된 전원을 포함하는 화학 증기 증착 장치용 가열 시스템으로서,
    상기 히터의 본체의 제 2 면은 상기 스테이지의 표면으로부터 본체의 제 1 면보다 더 먼 거리에 있고, 상기 제 2 가열부재는 상기 스테이지의 본체의 제 1 면에 실질적으로 평행한 면에서 제 1 가열부재로부터 오프셋되어 있는 것을 특징으로 하는 시스템.
  38. 제 37 항에 있어서,
    제 1 가열부재의 전력밀도가 스테이지 영역의 제 1 부분에 대응하는 영역에서 제 2 가열부재의 전력밀도보다 크고, 제 1 가열부재의 전력밀도가 스테이지 영역의 제 2 부분에 대응하는 영역에서 제 2 가열부재의 전력밀도보다 작으며,
    스테이지 본체는 중간지점이 표면에 수직인 축에 대응하도록 실질적으로 원통형이고, 스테이지의 상기 영역의 제 1 부분은 축을 중심으로 제 1 반경에 의해 규정되며, 상기 영역의 제 2 부분은 상기 축을 중심으로 상기 제 1 반경보다 큰 제 2 반경에 의해 규정되는 것을 특징으로 하는 시스템.
  39. 제 38 항에 있어서,
    상기 제 1 가열부재는, 제 1 부분의 제 1 세그먼트내에 배치된 제 1 코일과 제 1 부분의 제 2 세그먼트내에 배치된 제 2 코일이라는 한 쌍의 코일로 제 1 부분 주위에 배치되고, 상기 제 1 부분은 제 1 축에 의해 분리되는 2개의 세그먼트에 의해 규정되며, 상기 제 1 코일은 상기 제 1 축에 의해 제 2 코일에 연결되고,
    상기 제 2 가열부재는, 제 2 부분의 제 1 세그먼트내에 배치된 제 1 코일과 제 2 부분의 제 2 세그먼트내에 배치된 제 2 코일이라는 한 쌍의 코일로 제 2 부분 주위에 배치되고, 상기 제 2 부분은 제 2 축에 의해 분리되는 2개의 세그먼트에 의해 규정되며, 상기 제 1 코일은 상기 제 2 축에 의해 제 2 코일에 연결되고, 상기 제 1 축과 제 2 축은 적어도 평행하거나 상기 표면의 면에서 약 0°∼ 약 180°의 각도를 규정하기 위해 교차하는 것을 특징으로 하는 반응기.
  40. 제 39 항에 있어서, 상기 제 1 축 및 상기 제 2 축이 상기 표면의 면에서 약 90°이상의 각도로 교차하는 것을 특징으로 하는 시스템.
  41. 제 38 항에 있어서,
    스테이지의 영역의 제 1 부분과 스테이지의 영역의 제 2 부분 중 일방에 대응하는 제 2 온도를 측정하기 위해 배치된 제 2 온도센서 및
    스테이지의 영역의 제 1 부분과 스테이지의 영역의 제 2 부분 중 다른 일방에 대응하는 영역의 제 3 온도를 측정하기 위해 배치된 제 3 온도센서를 더 포함하는 것을 특징으로 하는 시스템.
  42. 제 38 항에 있어서, 상기 히터의 샤프트는 샤프트의 길이에 걸쳐 내부 개구를 규정하는 일 부분을 갖고, 상기 샤프트의 개구를 통해 제 1 가열부재 및 제 2 가열부재에 연결된 전원을 더 포함하는 것을 특징으로 하는 시스템.
  43. 제 38 항에 있어서, 제 1 가열부재 및 제 2 가열부재의 온도를 제어하기 위해 전원에 연결된 제어기를 더 포함하는 것을 특징으로 하는 시스템.
  44. 제 43 항에 있어서, 상기 제어기는 제 1 가열부재 및 제 2 가열부재의 온도를 약 ±2.5℃ 이내로 제어하는 것을 특징으로 하는 시스템.
  45. 제 44 항에 있어서, 상기 제어기는 제 1 온도센서, 제 2 온도센서 및 제 3 온도센서 중 2 이상의 센서에 연결된 것을 것을 특징으로 하는 시스템.
  46. 제 45 항에 있어서, 상기 제 1 온도센서는 열전대이고, 상기 제 2 온도센서 및 상기 제 3 온도센서는 각각 고온계인 것을 특징으로 하는 시스템.
  47. 제 41 항에 있어서, 상기 제 2 온도센서는 화학 증기 증착 챔버의 외부 표면에 있는 제 1 창에 배치되고, 상기 제 3 온도센서는 상기 챔버의 외부 표면에 있는 제 2 창에 배치되는 것을 특징으로 하는 시스템.
  48. 제 47 항에 있어서, 공정가스를 상기 챔버내로 분배하기 위해 챔버의 내부표면에 연결된 다기관 (manifold) 을 더 포함하고, 상기 다기관은 스테이지의 상기 표면 위에 위치되고 또한 제 1 창과 제 2 창 중 일방의 폭의 약 3 배의 두께를 갖는 것을 특징으로 하는 시스템.
  49. 제 37 항에 있어서, 상기 히터의 본체는 저부표면 및 본체를 관통하는 개구를 규정하고 상기 표면에 실질적으로 수직인 일 부분을 포함하고,
    상기 시스템은, 히터의 본체를 관통하는 개구내에 배치된 제 1 단부 및 히터의 본체의 저부표면 아래에 연장된 제 2 단부를 갖는 리프트 핀, 반응기 챔버 내의 제 1 위치와 제 2 위치 사이에서 히터를 이동시키기 위해 샤프트에 연결된 리프터 조립체, 및 리프터 조립체에 연결되고 또한 챔버 내에 배치된 일 부분을 갖는 리프트 플레이트를 더 포함하고,
    상기 챔버 내에 배치된 상기 부분은 샤프트에 수직인 방향으로 연장되고 스테이지의 본체의 상부표면에 실질적으로 평행인 일 표면을 포함하여, 히터가 제 1 위치에 있을 때, 리프트 핀이 리프트 플레이트에 접하는 것을 특징으로 하는 시스템.
  50. 제 49 항에 있어서, 상기 본체를 관통하는 개구는, 리프트 핀의 헤드부를 지지하기 위해 제 1 직경을 갖는 제 1 부분 및 제 1 직경보다 작은 제 2 직경을 갖는 제 2 부분을 갖는 것을 것을 특징으로 하는 시스템.
  51. 저항 히터의 스테이지의 본체의 제 1 면 내에 배치된 제 1 저항 가열부재 및 상기 스테이지의 본체의 제 2 면내에 배치된 제 2 저항 가열부재에 전력을 공급하는 단계 및
    스테이지의 2이상의 영역에서 제 1 저항 가열부재와 제 2 저항가열부재의 적어도 어느 하나의 저항을 변화시키는 단계를 포함하며,
    상기 제 2 가열부재는 제 1 면과 제 2 면 중 적어도 어느 일방에 실질적으로 평행한 제 3 면에서 제 1 가열부재로부터 오프셋되어 있는 것을 특징으로 하는 방법.
  52. 제 51 항에 있어서, 상기 저항을 변화시키는 단계는, 적어도 제 1 저항과 제 2 저항을 갖는 저항 가열부재를 제공하는 것을 포함하는 것을 특징으로 하는 방법.
  53. 제 51 항에 있어서, 상기 저항을 변화시키는 단계는, 스테이지의 2 이상의 영역에서 제 1 저항 가열부재의 저항 및 제 2 저항 가열부재의 저항을 변화시키는 것을 포함하는 것을 특징으로 하는 방법.
  54. 제 51 항에 있어서,
    상기 저항 히터는, 웨이퍼를 지지하는 영역을 갖는 표면 및 본체를 갖는 스테이지, 상기 스테이지의 본체의 제 1 면내에 형성된 제 1 가열부재, 및 상기 스테이지의 본체의 제 2 면내에 형성된 제 2 가열부재를 포함하고, 상기 제 2 면은 표면영역으로부터 제 1 가열부재보다 더 먼 거리에 배치되며,
    상기 저항을 변화시키는 단계는
    중간지점으로부터 제 1 반경으로 규정되는 영역에서 중간지점으로부터 제 1 반경보다 더 큰 제 2 반경으로 규정되는 제 2 영역에서보다 더 큰 저항을 제 1 가열부재에 제공하는 단계, 및
    제 2 영역에서 제 1 영역보다 더 큰 저항을 제 2 가열부재에 제공하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  55. 제 51 항에 있어서, 상기 저항히터는 웨이퍼를 지지하기 위한 영역을 갖는 표면을 갖는 스테이지를 포함하고,
    상기 저항 가열부제에 공급되는 전력을 조절함으로써 스테이지의 표면의 온도를 제어하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  56. 제 55 항에 있어서,
    2 이상의 온도 센서, 즉 스테이지의 저부표면으로부터 연장된 샤프트 내에 배치되어 스테이지의 제 1 온도를 측정하기 위한 제 1 온도센서, 및 중간지점으로부터 제 1 반경에 의해 규정된 스테이지의 제 1 영역 및 중간지점으로부터 제 2 반경에 의해 규정된 제 2 영역의 제 2 온도를 측정하기 위해 배치된 제 2 온도센서로 온도를 측정하는 단계, 및
    상기 제 1 온도센서로 측정된 온도와 상기 제 2 온도센서로 측정된 온도를 비교하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  57. 제 56 항에 있어서, 온도를 제어하는 단계는 비교된 온도를 약 750 ℃ 의 온도에서 약 ±2.5 ℃ 내로 제어하는 것을 포함하는 것을 특징으로 하는 방법.
  58. 웨이퍼를 지지하기 위한 영역을 갖는 표면 및 본체를 포함하며 챔버내에 배치된 스테이지,
    제 1 전력밀도 및 제 2 전력밀도를 갖고, 스테이지의 본체의 제 1 면내에 형성된 제 1 가열부재, 및
    제 1 전력밀도 및 제 2 전력밀도를 갖고, 표면으로부터 제 1 가열부재보다 더 먼 거리에 배치된 스테이지의 본체의 제 2 면내에 형성된 제 2 가열부재를 포함하는 저항 히터를 반응기의 챔버 내에 제공하는 단계, 및
    제 1 가열부재 및 제 2 가열부재에 전력을 공급하는 단계를 포함하고,
    상기 제 2 가열부재는 제 1 가열부재와 제 2 가열부재 중 적어도 어느 일방에 실질적으로 평행한 면에서 상기 제 1 가열부재로부터 오프셋되어 있는 것을 특징으로 하는 방법.
  59. 제 58 항에 있어서, 중간지점으로부터 제 1 반경으로 규정되는 영역에서 중간지점으로부터 제 1 반경보다 더 큰 제 2 반경으로 규정되는 제 2 영역에서보다 더 큰 전력밀도를 제 1 가열부재에 제공하는 단계, 및
    제 2 영역에서 제 1 영역보다 더 큰 전력밀도를 제 2 가열부재에 제공하는 단계를 포함하는 것을 특징으로 하는 방법.
  60. 제 58 항에 있어서, 상기 저항 가열부제에 공급되는 전력을 조절함으로써 스테이지의 표면의 온도를 제어하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  61. 제 60 항에 있어서, 2 이상의 온도 센서, 즉 스테이지의 저부표면으로부터 연장된 샤프트 내에 배치되어 스테이지의 제 1 온도를 측정하기 위한 제 1 온도센서, 및 중간지점으로부터 제 1 반경에 의해 규정된 스테이지의 제 1 영역 및 중간지점으로부터 제 2 반경에 의해 규정된 제 2 영역의 제 2 온도를 측정하기 위해 배치된 제 2 온도센서로 온도를 측정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  62. 제 60 항에 있어서, 상기 온도를 제어하는 단계는, 제 2 온도측정치 및 제 3 온도측정치가 약 750 ℃ 의 온도에서 ±3 ℃ 내가 되도록, 스테이지의 온도를 제어하는 것을 더 포함하는 것을 특징으로 하는 방법.
KR1020057004801A 2002-09-19 2003-09-19 다구역 저항 히터 KR101240774B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/246,865 US6617553B2 (en) 1999-05-19 2002-09-19 Multi-zone resistive heater
US10/246,865 2002-09-19
PCT/US2003/029689 WO2004032187A2 (en) 2002-09-19 2003-09-19 Multi-zone resitive heater

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020117004894A Division KR101158378B1 (ko) 2002-09-19 2003-09-19 다구역 저항 히터

Publications (2)

Publication Number Publication Date
KR20050054952A true KR20050054952A (ko) 2005-06-10
KR101240774B1 KR101240774B1 (ko) 2013-03-07

Family

ID=32068123

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020057004801A KR101240774B1 (ko) 2002-09-19 2003-09-19 다구역 저항 히터
KR1020117004894A KR101158378B1 (ko) 2002-09-19 2003-09-19 다구역 저항 히터

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020117004894A KR101158378B1 (ko) 2002-09-19 2003-09-19 다구역 저항 히터

Country Status (7)

Country Link
US (1) US6617553B2 (ko)
EP (1) EP1540709A2 (ko)
JP (1) JP4953572B2 (ko)
KR (2) KR101240774B1 (ko)
CN (1) CN1695230A (ko)
AU (1) AU2003294223A1 (ko)
WO (1) WO2004032187A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9263298B2 (en) 2008-02-27 2016-02-16 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
US9892941B2 (en) 2005-12-01 2018-02-13 Applied Materials, Inc. Multi-zone resistive heater
KR20180123588A (ko) * 2016-04-11 2018-11-16 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 챔버

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7403834B2 (en) * 2003-05-08 2008-07-22 Regents Of The University Of California Methods of and apparatuses for controlling process profiles
US7132628B2 (en) * 2004-03-10 2006-11-07 Watlow Electric Manufacturing Company Variable watt density layered heater
US7415312B2 (en) * 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
US20050274324A1 (en) * 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
WO2006004045A1 (ja) * 2004-07-05 2006-01-12 Tokyo Electron Limited 処理装置及びヒーターユニット
US8858071B2 (en) * 2004-12-16 2014-10-14 C-Therm Technologies Ltd. Method and apparatus for monitoring materials
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US20070125762A1 (en) * 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
CN101847574B (zh) * 2006-01-31 2012-11-07 东京毅力科创株式会社 基板处理装置和暴露于等离子体的部件
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US20080197125A1 (en) * 2007-02-16 2008-08-21 Applied Materials, Inc. Substrate heating method and apparatus
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US8168926B2 (en) * 2007-03-26 2012-05-01 Ngk Insulators, Ltd. Heating device
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8198567B2 (en) 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
US8193473B2 (en) * 2008-02-08 2012-06-05 Ngk Insulators, Ltd. Uniform temperature heater
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US8404572B2 (en) * 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110073039A1 (en) * 2009-09-28 2011-03-31 Ron Colvin Semiconductor deposition system and method
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US10138551B2 (en) 2010-07-29 2018-11-27 GES Associates LLC Substrate processing apparatuses and systems
JP5692850B2 (ja) * 2010-12-28 2015-04-01 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
JP5712054B2 (ja) * 2011-05-31 2015-05-07 日本発條株式会社 シャフト付きヒータユニットおよびシャフト付きヒータユニットの製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
EP3514700A1 (en) 2013-02-20 2019-07-24 Hartford Steam Boiler Inspection and Insurance Company Dynamic outlier bias reduction system and method
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US10125422B2 (en) * 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
US20150060527A1 (en) * 2013-08-29 2015-03-05 Weihua Tang Non-uniform heater for reduced temperature gradient during thermal compression bonding
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9698041B2 (en) 2014-06-09 2017-07-04 Applied Materials, Inc. Substrate temperature control apparatus including optical fiber heating, substrate temperature control systems, electronic device processing systems, and methods
CN106471609B (zh) 2014-07-02 2019-10-15 应用材料公司 用于使用嵌入光纤光学器件及环氧树脂光学散射器的基板温度控制的装置、系统与方法
JP6608923B2 (ja) * 2014-07-02 2019-11-20 アプライド マテリアルズ インコーポレイテッド 溝に経路指定された光ファイバーによる加熱を含む温度制御装置、基板温度制御システム、電子デバイス処理システム、及び処理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9888528B2 (en) * 2014-12-31 2018-02-06 Applied Materials, Inc. Substrate support with multiple heating zones
JP6450608B2 (ja) * 2015-03-05 2019-01-09 高周波熱錬株式会社 加熱方法及び加熱装置並びにプレス成形品の作製方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9826574B2 (en) * 2015-10-28 2017-11-21 Watlow Electric Manufacturing Company Integrated heater and sensor system
JP1575661S (ko) * 2015-11-24 2017-05-08
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
JP6611666B2 (ja) * 2016-05-16 2019-11-27 東京エレクトロン株式会社 載置台システム、基板処理装置及び温度制御方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP6767833B2 (ja) * 2016-09-29 2020-10-14 日本特殊陶業株式会社 加熱装置
JP2018063974A (ja) * 2016-10-11 2018-04-19 東京エレクトロン株式会社 温度制御装置、温度制御方法、および載置台
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
EP3357576B1 (en) * 2017-02-06 2019-10-16 Sharp Life Science (EU) Limited Microfluidic device with multiple temperature zones
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
EP3591690B1 (en) * 2017-02-28 2022-10-05 NHK Spring Co., Ltd. Substrate supporting unit and film forming device having substrate supporting unit
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6341457B1 (ja) * 2017-03-29 2018-06-13 Toto株式会社 静電チャック
CN108728828A (zh) * 2017-04-20 2018-11-02 中微半导体设备(上海)有限公司 Cvd设备及其温度控制方法与发热体
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
WO2019104048A1 (en) 2017-11-21 2019-05-31 Watlow Electric Manufacturing Company Multi-zone pedestal heater having a routing layer
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) * 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
WO2019186666A1 (ja) 2018-03-26 2019-10-03 日本たばこ産業株式会社 エアロゾル生成装置及び制御方法並びにプログラム
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7456951B2 (ja) 2018-07-05 2024-03-27 ラム リサーチ コーポレーション 基板処理システムにおける基板支持体の動的温度制御
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2020153079A1 (ja) * 2019-01-25 2020-07-30 日本碍子株式会社 セラミックヒータ
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
US20220155148A1 (en) * 2019-07-26 2022-05-19 Applied Materials, Inc. Temperature profile measurement and synchronized control on substrate and susceptor in an epitaxy chamber
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012998S1 (en) * 2020-09-18 2024-01-30 Ksm Component Co., Ltd. Ceramic heater
USD1013750S1 (en) * 2020-09-18 2024-02-06 Ksm Component Co., Ltd. Ceramic heater
USD1012997S1 (en) * 2020-09-18 2024-01-30 Ksm Component Co., Ltd. Ceramic heater
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
JP7321990B2 (ja) * 2020-11-30 2023-08-07 日本碍子株式会社 セラミックヒータ
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112947634B (zh) * 2021-02-01 2022-12-30 泉芯集成电路制造(济南)有限公司 一种热盘温度调整方法及一种热盘装置
WO2022201546A1 (ja) * 2021-03-26 2022-09-29 株式会社Kokusai Electric 処理装置、プログラム及び半導体装置の製造方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03235325A (ja) * 1990-02-13 1991-10-21 Toshiba Corp 半導体気相成長装置
JPH05326112A (ja) * 1992-05-21 1993-12-10 Shin Etsu Chem Co Ltd 複層セラミックスヒーター
TW275132B (en) 1994-08-31 1996-05-01 Tokyo Electron Co Ltd Treatment apparatus
US5844205A (en) 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5772773A (en) 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
JP3477062B2 (ja) * 1997-12-26 2003-12-10 京セラ株式会社 ウエハ加熱装置
JP3515900B2 (ja) * 1998-05-06 2004-04-05 京セラ株式会社 セラミックヒータ
US6423949B1 (en) * 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
JP2001102157A (ja) * 1999-10-01 2001-04-13 Ngk Insulators Ltd セラミックスヒータ
US6652655B1 (en) * 2000-07-07 2003-11-25 Applied Materials, Inc. Method to isolate multi zone heater from atmosphere
JP3615694B2 (ja) * 2000-08-08 2005-02-02 京セラ株式会社 ウェハ加熱部材及びこれを用いたウェハの均熱化方法
JP4328003B2 (ja) * 2000-10-19 2009-09-09 日本碍子株式会社 セラミックヒーター
AU2002212963A1 (en) * 2000-10-25 2002-05-06 Tokyo Electron Limited Method of and structure for controlling electrode temperature

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9892941B2 (en) 2005-12-01 2018-02-13 Applied Materials, Inc. Multi-zone resistive heater
US9263298B2 (en) 2008-02-27 2016-02-16 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
KR20180123588A (ko) * 2016-04-11 2018-11-16 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 챔버
CN109075108A (zh) * 2016-04-11 2018-12-21 应用材料公司 半导体处理腔室
US10741428B2 (en) 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
CN109075108B (zh) * 2016-04-11 2022-04-01 应用材料公司 半导体处理腔室

Also Published As

Publication number Publication date
KR101240774B1 (ko) 2013-03-07
AU2003294223A1 (en) 2004-04-23
EP1540709A2 (en) 2005-06-15
WO2004032187A2 (en) 2004-04-15
AU2003294223A8 (en) 2004-04-23
KR20110039368A (ko) 2011-04-15
CN1695230A (zh) 2005-11-09
KR101158378B1 (ko) 2012-06-22
US6617553B2 (en) 2003-09-09
WO2004032187A3 (en) 2004-07-01
JP2006500789A (ja) 2006-01-05
JP4953572B2 (ja) 2012-06-13
US20030062359A1 (en) 2003-04-03

Similar Documents

Publication Publication Date Title
KR101158378B1 (ko) 다구역 저항 히터
US6423949B1 (en) Multi-zone resistive heater
US6342691B1 (en) Apparatus and method for thermal processing of semiconductor substrates
US7311782B2 (en) Apparatus for active temperature control of susceptors
US6768084B2 (en) Advanced rapid thermal processing (RTP) using a linearly-moving heating assembly with an axisymmetric and radially-tunable thermal radiation profile
KR100260119B1 (ko) 반도체 처리장치
KR100793329B1 (ko) 웨이퍼들을 열처리하기 위한 방법들 및 장치
US6797068B1 (en) Film forming unit
US20090165720A1 (en) Substrate treating apparatus
US20210313205A1 (en) Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Heater
US6924231B2 (en) Single wafer processing method and system for processing semiconductor
KR100562381B1 (ko) 열처리 장치
US20080280048A1 (en) Single wafer processing unit
EP1135659B1 (en) Apparatus and method for thermal processing of semiconductor substrates
JP2006114638A (ja) 熱処理装置、熱処理方法及び昇温レートの算出方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
A107 Divisional application of patent
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20110228

Effective date: 20121130

GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee