KR20180123588A - 반도체 처리 챔버 - Google Patents

반도체 처리 챔버 Download PDF

Info

Publication number
KR20180123588A
KR20180123588A KR1020187032303A KR20187032303A KR20180123588A KR 20180123588 A KR20180123588 A KR 20180123588A KR 1020187032303 A KR1020187032303 A KR 1020187032303A KR 20187032303 A KR20187032303 A KR 20187032303A KR 20180123588 A KR20180123588 A KR 20180123588A
Authority
KR
South Korea
Prior art keywords
substrate support
lid
gas
coupled
wall
Prior art date
Application number
KR1020187032303A
Other languages
English (en)
Other versions
KR102147782B1 (ko
Inventor
아론 뮤어 헌터
메흐란 베흐드자트
니라즈 메르찬트
더글라스 알. 맥칼리스터
동밍 이우
콩 룽 사무엘 찬
라라 호릴차크
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180123588A publication Critical patent/KR20180123588A/ko
Application granted granted Critical
Publication of KR102147782B1 publication Critical patent/KR102147782B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/54Providing fillings in containers, e.g. gas fillings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools

Abstract

벽을 갖는 본체 ― 벽은 본체 내에 2개의 처리 챔버들을 한정함 ―; 2개의 처리 챔버들 사이에 유체 결합을 형성하는, 벽을 통하는 통로; 본체에 제거가능하게 결합된 덮개 ― 덮개는 통로와 유체 연통하는 관문을 가짐 ―; 처리 챔버들 외부에서 덮개에 결합된 가스 활성화기 ― 가스 활성화기는 덮개의 관문과 유체 연통하는 배출구를 가짐 ―; 각각의 처리 챔버에 배치된 기판 지지부 ― 각각의 기판 지지부는, 각각 매립된 가열 요소를 갖는 적어도 2개의 가열 구역들을 가짐 ―; 각각의 기판 지지부를 향하는, 덮개에 결합된 가스 분배기; 및 각각의 가스 분배기의 에지에서 덮개에 결합된 열 제어 부재를 갖는 반도체 처리 장치가 설명된다.

Description

반도체 처리 챔버
본 발명은 반도체 처리 챔버에 관한 것이다.
반도체 디바이스들은 크기가 계속 축소되고 있다. 반도체 디바이스의 임계 치수가 10 nm 아래로 축소됨에 따라, 그러한 규모들에서 규소를 사용하여 명백해지는 성능 문제들을 극복하기 위해 새로운 반도체 재료들이 연구되고 있다. 점차적으로, 디바이스의 다양한 부분들의 반도체 특성들을 개선하기 위해, 게르마늄이 규소에 합금으로서 포함되고 있다. 예를 들어, 채널 영역들, 소스 드레인 영역들, 및 소스 및 드레인 영역들에 대한 접점들이 점차적으로 규소-게르마늄 합금들로 만들어지고 있다. 전형적인 논리 구조들로, 이러한 영역들은 일반적으로, 전도성 증진 재료들, 예컨대, 붕소로 도핑된다. 또한 전형적으로, 도핑 프로세스에는, 다양한 구조들의 저항을 감소시키고 도펀트들의 전도성 증진 특징들에 접근하기 위한 활성화 프로세스가 후속된다. 채널 및 소스/드레인 영역들은 전형적으로, 별도의 단계들에서 도핑되고 활성화되지만, 일부 프로세스들에서, 이러한 영역들은 하나의 도핑 단계 및 하나의 열 처리 단계에서 도핑되고 활성화된다. 접점 영역들은 전형적으로, 후속 단계들에서 도핑되고 활성화된다. 이러한 영역들의 작은 크기는, 후속 열 처리 동안 열화의 높은 가능성을 야기한다. 일반적으로 사용되는 열 프로세스들이, 붕소 도핑된 규소-게르마늄 (SiGe:B) 접점들을 활성화시키는 데에 사용될 때, 채널 영역에서 유발된 변형이 완화될 수 있고 채널 및 소스/드레인 영역들에서의 도펀트 프로파일이 열화될 수 있음을 밝혀냈다. 10 nm 이하의 디바이스들에서, 도핑된 접점들을 활성화할 수 있는 열 프로세스가 필요하다.
본원에 설명되는 실시예들은, 벽을 갖는 본체 ― 벽은 본체 내에 2개의 처리 챔버들을 한정함 ―; 2개의 처리 챔버들 사이에 유체 결합을 형성하는, 벽을 통하는 통로; 본체에 제거가능하게 결합된 덮개 ― 덮개는 통로와 유체 연통하는 관문을 가짐 ―; 처리 챔버들 외부에서 덮개에 결합된 가스 활성화기 ― 가스 활성화기는 덮개의 관문과 유체 연통하는 배출구를 가짐 ―; 각각의 처리 챔버에 배치된 기판 지지부 ― 각각의 기판 지지부는 적어도 2개의 가열 구역들을 가짐 ―; 각각의 기판 지지부를 향하는, 덮개에 결합된 가스 분배기; 및 각각의 가스 분배기의 에지에서 덮개에 결합된 열 제어 부재를 포함하는 반도체 처리 장치를 제공한다.
다른 실시예들은, 벽을 갖는 본체 ― 벽은 본체 내에 2개의 처리 챔버들을 한정함 ―; 2개의 처리 챔버들 사이에 유체 결합을 형성하는, 벽을 통하는 통로; 본체에 제거가능하게 결합된 덮개 ― 덮개는 통로와 유체 연통하는 관문을 가짐 ―; 처리 챔버들 외부에서 덮개에 결합된 가스 활성화기 ― 가스 활성화기는 덮개의 관문과 유체 연통하는 배출구를 가짐 ―; 각각의 처리 챔버에 배치된 기판 지지부 ― 각각의 기판 지지부는 적어도 2개의 가열 구역들 및 기판 지지 영역(기판 지지 영역은 기판 지지 영역으로부터 연장되는 복수의 기판 지지 표면들을 포함함)을 가짐 ―; 각각의 기판 지지부를 향하는, 덮개에 결합된 가스 분배기; 및 각각의 가스 분배기의 에지에서 덮개에 결합된 열 제어 부재를 포함하는 반도체 처리 장치를 제공한다.
다른 실시예들은, 벽을 갖는 본체 ― 벽은 본체 내에 2개의 처리 챔버들을 한정함 ―; 2개의 처리 챔버들 사이에 유체 결합을 형성하는, 벽을 통하는 통로; 본체에 제거가능하게 결합된 덮개 ― 덮개는 통로와 유체 연통하는 관문을 가짐 ―; 처리 챔버들 외부에서 덮개에 결합된 원격 플라즈마 유닛 ― 원격 플라즈마 유닛은 덮개의 관문과 유체 연통하는 배출구를 가짐 ―; 각각의 처리 챔버에 배치된 기판 지지부 ― 각각의 기판 지지부는 적어도 2개의 가열 구역들 및 기판 지지 영역(기판 지지 영역은 기판 지지 영역으로부터 연장되는 복수의 기판 지지 표면들을 포함함)을 가짐 ―; 각각의 기판 지지부를 향하는, 덮개에 결합된 가스 분배기; 및 각각의 가스 분배기의 에지에서 덮개에 결합된 열 제어 부재를 포함하는 반도체 처리 장치를 제공한다.
위에서 언급된 본 개시내용의 특징들이 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 개시내용의 더 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 실시예들 중 일부는 첨부 도면들에 예시되어 있다. 그러나, 첨부 도면들은 예시적인 실시예들만을 예시하며 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안되며, 동등한 효과의 다른 실시예들을 허용할 수 있다는 점에 주목해야 한다.
도 1은, 일 실시예에 따른 반도체 처리 장치의 사시 단면도이다.
도 2는, 도 1의 장치의 바닥 영역의 상세도이다.
도 3은, 도 1의 장치를 위한 배기 펌핑 유동들의 개략도이다.
도 4는, 도 1의 장치의 처리 챔버의 덮개 영역의 상세도이다.
도 5는, 장치의 내부 특징부들을 보여주는, 도 1의 장치의 부분 분해도이다.
이해를 용이하게 하기 위해, 가능한 경우에, 도면들에 공통인 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 사용되었다. 일 실시예의 요소들 및 특징들이, 추가적인 언급 없이 다른 실시예들에 유익하게 포함될 수 있다는 것이 고려된다.
도 1은 일 실시예에 따른 반도체 처리 장치(100)의 사시 단면도이다. 장치(100)는, 2개의 측벽들(104), 및 2개의 측벽들(104)을 함께 결합시키는 바닥(108)을 갖는 본체(102)를 포함한다. 덮개(106)는 바닥(108)의 반대쪽에 본체(102)에 제거가능하게 결합된다. 본체(102)는 또한, 본체 내에 2개의 처리 챔버들(112 및 114)을 한정하는 분할 벽(110)을 갖는다. 따라서, 반도체 장치(100)는 2챔버 장치로서 설명될 수 있다. 가스 활성화기(116)는 활성화된 가스들을 처리 챔버들(112, 114)에 제공하기 위해 덮개(106)에 결합된다. 벽(110)을 통하는 통로(118)는 처리 챔버들(112, 114)과 함께 유체적으로 결합되고, 가스 활성화기(116)의 배출구(120)는 덮개(106)에 형성된 관문(122)을 통해 통로와 유체 연통한다.
각각의 챔버(112, 114)는 기판 지지부(124)를 갖고, 간략함를 위해, 기판 지지부 중 하나만이 도 1에 도시된다. 기판 지지부(124)는 챔버 내부에, 챔버의 중심 위치에 배치된다. 기판 지지부(124)는 적어도 2개의 가열 구역들(126 및 128)을 갖고, 각각의 가열 구역은 매립된 가열 요소(131)를 가지며, 가열 요소는 저항성 요소 또는 유체 도관일 수 있다. 각각의 기판 지지부(124)는 기판 지지 영역(130)을 갖는다. 기판 지지 영역(130)은, 기판 지지 영역 상에서 처리될 기판들 및 챔버의 처리 환경과 양립가능한 표면 재료를 특징으로 한다. 예시적인 재료들은 석영 및 세라믹들, 예컨대, 산화알루미늄 및 질화알루미늄을 포함한다. 기판 지지 영역(130)은, 기판 지지 영역(130)을 둘러싸는 벽(132)에 의해 한정된다. 기판은 전형적으로, 기판 지지 영역(130) 상에 놓이고, 기판의 둘레의 전부 또는 일부 주변에서 벽과 접촉할 수 있다. 복수의 위치 특징부들(134)은 벽으로부터 연장되고, 기판이 기판 지지부(124)에 전달될 때 기판을 기판 지지 영역(130) 상에 위치시키는 것을 돕는다. 벽(132)은 또한, 기판 지지부의 림(136)을 한정한다. 벽(132)은 약 1 ㎛ 내지 약 500 ㎛, 예컨대, 약 5 ㎛ 내지 약 50 ㎛, 예를 들어, 약 10 ㎛의 높이를 가질 수 있다.
기판 지지 영역(130)은, 기판 지지 영역(130)으로부터 연장되는 복수의 기판 지지 표면들(138)을 갖는다. 기판 지지 표면들(138)은, 기판 지지부(124)와 기판 지지부 상에 놓인 기판 사이에 최소의 접촉을 제공하기 위해, 기판 지지 영역(130) 위로 상승된다. 기판 지지 표면들(138)은 약 1 ㎛ 내지 약 500 ㎛, 예컨대, 약 5 ㎛ 내지 약 50 ㎛, 예를 들어, 약 25 ㎛의 높이를 가질 수 있다. 기판 지지 표면들(138)은 원통형 기둥들 또는 둥근 범프들일 수 있다.
기판 지지 영역(130)은 또한, 기판 지지 영역 상에 형성된 복수의 홈들(140)을 갖는다. 둘레 홈(142)은 기판 지지 영역(130)의 둘레 주변으로 진행하고, 복수의 직선 홈들(144)은 둘레 홈(142)으로부터 기판 지지 영역(130)의 중심 영역을 향하여 진행한다. 진공의 적용을 위해 하나 이상의 관문(146)이 기판 지지 영역(130)의 중심 영역에 제공되는데, 도 1의 실시예에는 2개의 관문들(146)이 존재한다. 기판 지지부(124)는 진공 척이지만, 정전 척 또는 다른 고정 기구가 사용될 수 있다. 관문들(144)은, 기판 지지 표면(138)과 접촉하는 기판 측에 감압을 인가하기 위해, 진공 공급원(도시되지 않음)과 기판 지지 영역(130) 사이에 유체 연통을 제공한다. 가스는 기판 지지 영역(130) 위에서, 기판 지지 표면(138) 주변에서, 그리고 홈들(140)을 따라 유동한다. 도 1의 실시예에서, 2개의 직선 홈들(144)은 각각의 관문(146)으로부터 진행하고, 하나의 직선 홈(144)은 기판 지지부(124)의 직경을 따라 둘레 홈(142)의 일 측으로부터 다른 측으로 진행하여 두 관문들(146) 모두와 접촉한다. 홈들의 임의의 편리한 배열이 사용될 수 있다는 것을 주목해야 한다.
일 실시예에서, 기판 지지부(124)는 최대 450 ℃의 온도에서 작동할 수 있다. 그러한 기판 지지부(124)는, 그러한 높은 온도들을 견딜 수 있는 재료 또는 복수의 재료들로 만들어질 수 있다. 기판 지지부(124)가 복수의 재료들로 만들어지는 경우에, 재료들은 열화 또는 분리 없이 높은 온도들을 견딜 수 있는 방식으로 결합된다. 또한, 기판이 겪고 있는 열 처리에 의해 방출될 수 있는 물질들로부터의 화학적 공격에 기판 지지부의 재료들이 내성을 갖는 것이, 일부 경우들에 도움이 된다. 석영 및 세라믹들, 예컨대, 산화알루미늄 및 질화알루미늄이 많은 경우들에 적합하다. 일 실시예에서, 기판 지지부(124)는 단일체 질화알루미늄이다.
기판 지지부(124)는 기판 지지부(124)에 매립된 하나 이상의 온도 센서, 및 기판 지지부(124)의 외부에 있는 하나 이상의 온도 센서를 가질 수 있다. 예를 들어, 기판 지지부의 온도를 직접 감지하기 위해, 하나 이상의 열전대(도시되지 않음)가 기판 지지부(124)에 매립될 수 있다. 대안적으로 또는 부가적으로, 저항성 온도 센서가 가열 요소들(1xx)을 위한 전력 회로에 결합될 수 있다. 일 실시예에서, 열전대가 외부 가열 구역의 온도를 감지하기 위해 기판 지지부(124)에 매립되는 반면, 저항성 온도 센서는 내부 가열 구역의 온도를 모니터링하는 데에 사용된다. 그러한 배열은, 유지보수를 위해 쉽게 접근될 수 있는, 기판 지지부(124)의 외부 에지에만 매립형 열전대들을 허용함으로써, 기판 지지부(124)를 간략화한다.
가스 활성화기(116)는 일부 경우들에서 원격 플라즈마 유닛일 수 있다. 가스 유입구(150)는, 가스가 인클로저(152) 내로 유동하고, 사실상, 열적, 전기적 또는 방사성일 수 있는 활성화기(154)에 의해 에너지를 공급받는 것을 허용한다. 표준 활성화기들, 예컨대, 마이크로파, RF, 및 UV 활성화기들이 사용될 수 있다. 가스 활성화기(116)는, 라디칼들을 발생시켜 통로(122) 내로 그리고 처리 챔버들(112 및 114) 내로 유동시키는 원격 플라즈마 유닛이다. 가스 활성화기(116)의 배출구(120)는 라디칼들의 비활성화를 최소화하기 위한 높은 유동 배출구이고, 따라서, 처리 챔버들 내로 유동하는 가스는 높은 라디칼 함량을 갖는다. 깔때기(155)는 인클로저(152) 내의 라디칼 가스의 유동이 배출구(120)를 향하게 한다. 깔때기(155)는 화학적으로 비활성인 재료, 예컨대, 석영 또는 세라믹, 예컨대, 산화알루미늄일 수 있다.
화학적으로 비활성인 라이너(156)는, 라디칼들이 처리 챔버들(112, 114) 내로 유동할 때 벽 재조합을 최소화하기 위해, 통로(122)에 배치될 수 있다. 라이너(156)는 석영일 수 있고, 통로(122)의 벽에 부착될 수 있거나 제거가능할 수 있다. 라이너(156)는, 가스 활성화기(116)의 인클로저(152)로부터 통로(122)(및 라이너(156))를 통해 처리 챔버들(112 및 114) 내로의 유체 연통을 유지하기 위해 가스 활성화기(116)의 배출구(120)와 정렬되는 유입구(158)를 갖는다. 라이너(156)가 제거가능한 경우, 유입구(158)가 가스 활성화기(116)의 배출구와 정렬되도록 라이너(156)를 위치시키기 위해 정렬 특징부들이 제공될 수 있다. 도 1의 실시예에서, 라이너(156)의 외부 표면을 따라 위치설정 특징부(157)가 제공될 수 있다. 이 경우, 위치설정 특징부(157)는 원형이지만, 위치설정 특징부(157)는 라이너(156)의 길이방향 위치설정을 위한 임의의 편리한 형상일 수 있다. 위치설정 특징부(157)는 통로(122)의 표면의 함몰부(159)와 정합한다. 도 1의 실시예에서, 라이너(156) 및 통로(122) 둘 모두는 단면이 직사각형이며, 따라서 회전 정렬이 보장된다. 통로(122) 및 라이너(156)의 단면이 원형인 실시예들에서, 위치설정 특징부는 라이너(156)의 외부 표면으로부터 연장되고 통로(122)의 표면의 대응하는 함몰부와 정합하는 탭일 수 있다.
라이너(156)는 일부분 통로(122)를 따라 또는 통로(122)의 전체 길이를 따라 연장될 수 있다. 일부 경우들에서, 라이너(156)는 통로(156)를 넘어서 처리 챔버들(112, 114) 내로 연장될 수 있다. 가스 활성화기(116)가 기판 처리를 위한 가스들을 활성화시키는 데에 사용되는 예에서, 라이너(156)의 단부들은 기판 지지부(124)의 에지 근처에, 예를 들어, 기판 지지 영역(130)의 에지 근처에 위치될 수 있다. 라이너(156)는 라이너(156)의 중심으로부터 단부까지 일정한 영역 유동 경로를 갖는다. 그러나, 다른 실시예들에서, 라이너(156)는 중심으로부터 단부까지 확장되는 유동 경로를 가질 수 있다. 도 1의 실시예에서, 통로(122)의 형상은 라이너(156)의 형상과 정합한다. 라이너가, 확장되는 유동 경로를 갖는 경우에, 통로(122)는 중심으로부터 단부까지 확장되는 정합 형상, 또는 라이너의 단부들에서 라이너와 끼워맞춤되는 일정한 영역 단면을 가질 수 있다.
장치(100)는 덮개(106)에 배치된 열 제어 부재(160)를 갖는다. 열 제어 부재(160)는, 덮개에 열 제어를 제공하기 위해 관문(162)에서 끝나는, 덮개(106)를 통해 배치된 도관(도 1에서는 보이지 않음)을 포함한다. 도관은 가열을 위한 저항성 요소를 수납할 수 있거나, 가열 또는 냉각을 위한 열 전달 매질의 유동을 허용할 수 있다. 가스 활성화기(116)가 열을 생성하는 경우들에서, 덮개(106)에서의 온도를 제어하기 위해, 냉각 매질이 열 제어 부재(160)에 사용될 수 있다. 유사한 열 제어 부재(160)가 장치(100)의 바닥(108)에 도시되어 있다.
기판 지지부(124)는 기판 지지부(124)의 전기 요소들, 예컨대, 가열기들, 온도 센서들, 및 척킹 요소들에 전력을 공급하기 위한 도관(170)을 특징으로 한다. 도관(170)은 또한, 기판 지지부(124)에 진공을 공급하는 데에 사용될 수 있다. 도관은, 외부 접근을 제공하기 위해, 각각의 처리 챔버(112, 114)의 바닥의 개구부(172) 내에 끼워맞춤된다. 기판 지지부(124)는, 덮개(106)에 결합된, 각각의 처리 챔버(112, 114)의 샤워헤드(190)를 향한다.
각각의 처리 챔버(112, 114)는, 처리 챔버들(112, 114)의 벽들에서 화학 반응들을 방지하고 챔버들의 열 균일성을 개선하기 위해, 하나 이상의 라이너를 갖는다. 도 1에 도시된 바와 같이 원통형일 수 있는 벽 라이너(180)는 일반적으로, 챔버 벽들을 화학적 공격으로부터 보호하고 기판 지지부(124) 주변의 챔버 체적을 감소시킨다. 바닥 라이너(182)는 챔버 바닥(108)을 화학적 공격으로부터 보호한다. 열 라이너(184)는 또한, 챔버의 열 불균일성들을 감소시키기 위해, 라이너들(180 및 182)의 가열을 허용하기 위해 제공될 수 있다. 열 라이너(184)가 챔버 바닥(108)과 바닥 라이너(182) 사이에 설치될 수 있거나, 바닥 라이너(182)가 챔버 바닥(108)과 열 라이너(184) 사이에 설치될 수 있다. 열 라이너(184)는 저항성 가열 요소들을 포함할 수 있고, 처리 챔버들(112, 114)의 바닥을 통한 연결들은 열 라이너(184)에 전력을 제공할 수 있다. 장치(100)의 오직 하나의 챔버만이 열 라이너(184)와 함께 도시되어 있지만, 챔버들 둘 모두가 그러한 열 라이너를 가질 수 있음을 주목한다.
본체(102)는 단일 물체로서 일체형으로 형성될 수 있거나, 각각의 챔버(112, 114)는, 분할 벽을 갖는 2챔버 배열을 만들기 위해, 예를 들어, 함께 볼트결합함으로써, 함께 결합될 수 있는 측벽들을 가질 수 있다. 그러한 실시예에서, 개별 챔버들은, 챔버들이 함께 결합될 때 함께 통로(122)를 형성할 통로를 각각 가질 것이다.
도 2는 장치(100)의 바닥 영역의 상세도이다. 도 2는, 도 1에 도시되지 않은, 장치(100)의 바닥(108)에 결합된 특징부들을 포함한다. 위에서 언급된 바와 같이, 개구부(172)는 기판 지지부(124)의 도관(170)이 장치(100)의 바닥(108)을 통해 연장되는 것을 허용한다. 각각의 처리 챔버(112,114)는, 펌핑 블록(204)에 의해 바닥(108)에 결합된 벨로우즈(202)에 의해 밀봉된다. 펌핑 블록(204)은 챔버 바닥(108)의 개구부(172)와 정합하는 개구부(203)를 갖는다. 개구부(203)는, 펌핑 블록(204)을 통해 환형 펌핑 채널(209) 내에 가스들을 수용하는 복수의 펌핑 관문들(207)이 있는 벽(205)을 갖는다. 배출구(206)는 처리 챔버(112)로부터 가스들을 제거하기 위해 펌핑 채널(209)에 결합된다. 펌핑 블록(204)의 구조는 기판 지지부(124)의 도관(170) 주위에 대칭 중심 펌핑을 제공한다.
가스들은 처리 챔버(112) 내로, 기판 지지부(124) 주위로, 그리고 개구부(172)를 향해 유동한다. 가스들은 기판 지지부(124)(도 1)의 도관(170) 주위의 개구부(172)를 통해 챔버(112)를 빠져나간다. 가스들은, 펌핑 블록(204)의 배출구(206)를 통해, 펌핑 블록(204)에 결합된 챔버 배기 라인(208) 내로 유동한다. 챔버 배기 라인(208)에서의 증착을 방지하기 위해, 가열기(210)가 챔버 배기 라인(208)의 일부 또는 전부에 제공될 수 있다. 각각의 챔버(112, 114)의 챔버 배기 라인(208)은, 밀봉된 플랜지들(216)에 의해, 진공 펌프(도시되지 않음)에 연결된 챔버 펌핑 라인(212)에 결합되어, 높은 컨덕턴스 펌핑 경로를 제공한다. 퍼지 가스 관문(218)이 챔버 펌핑 라인(212)에 제공될 수 있다.
벨로우즈(202)는, 기판 지지부(124)의 도관(170) 주위의 벨로우즈(202) 내에 퍼지 가스를 제공하기 위한 퍼지 가스 관문(214)을 갖는다. 퍼지 가스 공급원(도시되지 않음)이 퍼지 가스 관문(214)에 결합되어, 퍼지 가스를 벨로우즈(202) 내로 그리고 배출구(206)를 향해 상방으로 유동시켜서, 챔버 프로세스 가스들이 벨로우즈(202)의 내부 표면들 상에 증착물을 형성하는 것을 방지할 수 있다. 가스 활성화기(116)(도 1)가 세정 가스들을 활성화시키는 데에 사용되는 경우, 벨로우즈(202) 내로의 퍼지 가스 유동은, 세정 가스들이 벨로우즈(202)의 내부 표면들과 접촉하여 표면들 상의 임의의 원치 않는 증착물을 제거하는 것을 허용하기 위해 중단될 수 있다. 대안적으로, 세정 가스들과 벨로우즈(202) 사이의 상호작용이 바람직하지 않은 경우, 세정 가스들이 벨로우즈(202)에 진입하는 것을 방지하기 위해 퍼지 가스 유동이 유지될 수 있다. 챔버들(112 및 114) 각각은, 전술한 요소들을 갖는 배기 시스템을 갖는다.
도 3은 장치(100)를 위한 배기 펌핑 유동들의 개략도이다. 라인들(302)은 각각의 기판 지지부(124)의 기판 지지 영역(130)(도 1)을 진공 공급원으로서의 챔버 펌프에 연결시킨다. 라인들(302)은 도관(170)을 통해 배치되고 챔버 펌핑 라인(212)에 연결된다. 압력 제어기(304)는 진공 척킹이 사용될 때 챔버 압력 및 기판 척킹 압력을 모니터링한다. 일정한 척킹력을 위해, 기판 지지부(124) 상에 배치된 기판의 2개의 주요 표면들 사이의 압력 차이를 제어하기 위해서, 압력 제어 가스(306), 전형적으로 비반응성 가스, 예컨대, 질소, 헬륨, 또는 아르곤이 챔버 펌핑 라인(212)에 결합된다. 유동 제한기(316)는 유동 제어를 허용하는 배압을 제공한다. 압력 제어기는, 압력 차이를 제어하기 위해, 라인들(302)의 밸브들(308), 챔버 펌핑 라인(212)의 밸브들(310 및 312), 및 압력 제어 가스(306)에 대한 밸브(314)를 조정한다. 일부 경우들에서, 압력 제어기(304)는 밸브(314)를 포함할 수 있다. 이러한 방식으로, 압력 제어기는 처리 챔버들(112, 114)을 빠져나가는 프로세스 가스들에 노출되지 않는다.
도 4는 장치(100)의 처리 챔버(114)의 덮개 영역의 상세도이다. 도 4는 덮개(106)에 체결된 샤워헤드(190)를 도시하며, 본 예에서 볼트들을 사용하여 체결된다. O-링들(404)은 샤워헤드와 덮개 사이의 계면을 밀봉한다. 샤워헤드(190)를 통하는 홀들(402)은 가스가 샤워헤드를 통해 챔버(114) 내로 유동하는 것을 허용한다. 가스는, o-링(410)에 의해 덮개(106)에 대해 밀봉된, 확산기(408) 내로의 유입구 관문(406)을 통해 덮개 내로 유동한다. 확산기(408)는 가스를 분배하기 위해 플레넘(412) 및 복수의 개구부들(414)을 가질 수 있고, 따라서, 가스 제트들로부터 열 불균일성들을 야기할 수 있는, 샤워헤드(190)의 중심에서의 유동 집중들을 피한다. 대안적으로, 확산기(408)는 가스를 샤워헤드(190)로 유동시키기 위한 다공성 표면을 가질 수 있다. 위에서 언급된 바와 같이, 처리 챔버들(112, 114) 각각은, 도 4에 도시된 바와 같이 샤워헤드를 갖는다.
도 5는, 장치(100)의 내부 특징부들을 보여주기 위해, 덮개(106) 및 덮개에 대한 모든 부착부들이 제거된, 장치(100)의 부분 분해도이다. 벽 라이너(180), 바닥 라이너(182) 및 열 라이너(184)와 같이, 통로(122) 및 함몰부(159)가 보인다. 처리 챔버(112)는 라이너들(180, 182)이 설치된 상태로 도시되어 있지만, 처리 챔버(114)는, 명확성을 위해, 라이너들이 이격된 상태로 도시되어 있다. 기판 지지부(124)는 처리 챔버(112)로부터 이격된 상태로 도시되어 있고, 처리 챔버(114)에 대해서는 도시되지 않았다. 바닥 라이너(182)는 기판 지지부(124)의 도관(170)을 수용하기 위한 개구부(506)를 갖는다. 벽 라이너(180)는, 기판이 각각의 챔버에 출입하는 것을 허용하기 위한 개구부(502), 및 통로(122)(및, 도 5에 도시되지 않은 라이너(156))로부터 각각의 챔버 내로 가스들을 유동시키기 위한 노치(504)를 갖는다. 바닥 라이너들(182)은 또한, 리프트 핀들(512)이 기판들을 기판 지지 영역(130)으로 또는 기판 지지 영역(130)으로부터 운반하는 것을 허용하기 위한 복수의 개구부들(508)을 갖는다. 기판 지지부(124)는 바닥 라이너(182)의 개구부들(508)과 일치하는 개구부들(510)을 갖는다.
도 5의 도면은 도 1의 도면과 관련하여 장치(100)의 반대쪽 측으로부터의 도면이며, 처리 챔버들(112, 114) 각각에 하나씩, 기판 접근 개구부들(522)을 도시한다. 기판 접근 개구부들(522) 각각은, 복수의 그룹들(514)로 함께 그룹화된 복수의 퍼지 가스 포트들(516)을 특징으로 한다. 각각의 퍼지 가스 포트(516)는, 대응하는 도관(518) 및 퍼지 가스 유입구 포트(520)를 갖는다. 퍼지 가스 유입구 포트들(520)은, 본체(102)의 바닥 에지에 위치되고, 퍼지 가스의 공급원을 본체(102)에 결합시키는 위치를 제공한다. 도 5에 도시된 바와 같이, 본체(102)는 덮개에 근접한 제1 에지 및 제1 에지 반대쪽의 제2 에지를 갖는 외부 벽을 가지며, 퍼지 가스 관문은 외부 벽의 제2 에지에 형성되고, 외부 벽을 통한 통로는 각각의 기판 접근 개구부를 대응하는 퍼지 가스 관문에 유체적으로 결합한다. 처리 동안, 도어들은 기판 접근 개구부들(522)을 덮고 밀봉하여, 퍼지 가스 유입구 포트들(520), 도관들(518) 및 포트들(516)을 통해 유동하는 퍼지 가스가, 기판 접근 개구부(522) 내로 그리고 챔버 중심의 챔버 배기부를 향해 유동한다. 이는 프로세스 가스들이 기판 접근 개구부(522)에 축적되어 그 위에 재료를 증착시키는 것을 방지한다.
전술한 내용은 본 발명의 실시예들에 관한 것이지만, 이의 기본 범위로부터 벗어나지 않고 본 발명의 다른 실시예들 및 추가 실시예들이 고안될 수 있으며, 본 발명의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 반도체 처리 장치로서,
    벽을 갖는 본체 ― 상기 벽은 상기 본체 내에 2개의 처리 챔버들을 한정함 ―;
    상기 2개의 처리 챔버들 사이에 유체 결합을 형성하는, 상기 벽을 통하는 통로;
    상기 본체에 제거가능하게 결합된 덮개 ― 상기 덮개는 상기 통로와 유체 연통하는 관문을 가짐 ―;
    상기 처리 챔버들 외부에서 상기 덮개에 결합된 가스 활성화기 ― 상기 가스 활성화기는 상기 덮개의 관문과 유체 연통하는 배출구를 가짐 ―;
    상기 각각의 처리 챔버에 배치된 기판 지지부 ― 상기 각각의 기판 지지부는 적어도 2개의 가열 구역들을 가짐 ―;
    상기 각각의 기판 지지부를 향하는, 상기 덮개에 결합된 가스 분배기; 및
    상기 각각의 가스 분배기의 에지에서 상기 덮개에 결합된 열 제어 부재를 포함하는, 반도체 처리 장치.
  2. 제1항에 있어서,
    상기 통로에 화학적으로 비활성인 라이너를 더 포함하고, 상기 화학적으로 비활성인 라이너는 상기 덮개의 관문과 일치하는 개구부를 갖는, 반도체 처리 장치.
  3. 제1항에 있어서,
    상기 가스 활성화기의 유입구에 결합된, 활성화 가스의 공급원, 산소 함유 가스의 공급원, 질소 함유 가스의 공급원, 및 연장 가스의 공급원을 더 포함하는, 반도체 처리 장치.
  4. 제3항에 있어서,
    상기 활성화 가스는 아르곤 또는 헬륨이고, 상기 산소 함유 가스는 O2, CO, 또는 CO2이고, 상기 질소 함유 가스는 N2, NF3, 또는 NH3이고, 상기 연장 가스는 N2 또는 헬륨인, 반도체 처리 장치.
  5. 제1항에 있어서,
    상기 기판 지지부는 기판 지지 영역을 갖고, 상기 기판 지지 영역은 상기 기판 지지 영역으로부터 연장되는 복수의 기판 지지 표면들, 및 상기 기판 지지 영역을 둘러싸는 벽을 포함하고, 상기 벽은 상기 벽으로부터 연장되는 복수의 위치 특징부들을 갖는, 반도체 처리 장치.
  6. 제5항에 있어서,
    각각의 처리 챔버는 배기 개구부를 갖는 바닥 및 상기 바닥에 결합된 펌핑 블록을 갖고, 상기 펌핑 블록은 상기 배기 개구부와 정합하는 개구부를 갖고, 상기 펌핑 블록은 복수의 펌핑 관문들에 의해 상기 펌핑 블록의 상기 개구부에 결합되는 환형 펌핑 채널을 갖고, 상기 펌핑 플록은 상기 환형 펌핑 채널에 유체적으로 결합되는 배출구를 갖고, 상기 기판 지지부는 상기 펌핑 블록의 상기 개구부 및 상기 배기 개구부를 통해 배치되는 도관을 갖는, 반도체 처리 장치.
  7. 제1항에 있어서,
    상기 본체는, 상기 본체의 외부 벽을 통하는, 각각의 처리 챔버에 대한 기판 접근 개구부를 갖고, 상기 외부 벽은 각각의 기판 접근 개구부와 유체 연통하는 퍼지 가스 관문을 갖는, 반도체 처리 장치.
  8. 제7항에 있어서,
    상기 외부 벽은 상기 덮개에 근접한 제1 에지 및 상기 제1 에지 반대쪽의 제2 에지를 갖고, 상기 퍼지 가스 관문은 상기 외부 벽의 제1 에지에 형성되고, 상기 외부 벽을 통한 통로는 각각의 기판 접근 개구부를 대응하는 퍼지 가스 관문에 유체적으로 결합하는, 반도체 처리 장치.
  9. 제8항에 있어서,
    각각의 기판 접근 개구부는, 복수의 그룹들로 배열된 복수의 퍼지 가스 관문들을 갖는, 반도체 처리 장치.
  10. 반도체 처리 장치로서,
    벽을 갖는 본체 ― 상기 벽은 상기 본체 내에 2개의 처리 챔버들을 한정함 ―;
    상기 2개의 처리 챔버들 사이에 유체 결합을 형성하는, 상기 벽을 통하는 통로;
    상기 본체에 제거가능하게 결합된 덮개 ― 상기 덮개는 상기 통로와 유체 연통하는 관문을 가짐 ―;
    상기 처리 챔버들 외부에서 상기 덮개에 결합된 가스 활성화기 ― 상기 가스 활성화기는 상기 덮개의 관문과 유체 연통하는 배출구를 가짐 ―;
    상기 각각의 처리 챔버에 배치된 기판 지지부 ― 상기 각각의 기판 지지부는 적어도 2개의 가열 구역들 및 기판 지지 영역을 갖고, 상기 기판 지지 영역은 상기 기판 지지 영역으로부터 연장되는 복수의 기판 지지 표면들을 포함함 ―;
    상기 각각의 기판 지지부를 향하는, 상기 덮개에 결합된 가스 분배기; 및
    상기 각각의 가스 분배기의 에지에서 상기 덮개에 결합된 열 제어 부재를 포함하는, 반도체 처리 장치.
  11. 제10항에 있어서,
    상기 기판 지지부는 상기 기판 지지 영역을 둘러싸는 벽을 갖고, 상기 벽은 상기 벽으로부터 연장되는 복수의 위치 특징부들을 갖는, 반도체 처리 장치.
  12. 제11항에 있어서,
    각각의 처리 챔버는 배기 개구부를 갖는 바닥 및 상기 바닥에 결합된 펌핑 블록을 갖고, 상기 펌핑 블록은 상기 배기 개구부와 정합하는 개구부를 갖고, 상기 펌핑 블록은 복수의 펌핑 관문들에 의해 상기 펌핑 블록의 상기 개구부에 결합되는 환형 펌핑 채널을 갖고, 상기 펌핑 플록은 상기 환형 펌핑 채널에 유체적으로 결합되는 배출구를 갖고, 상기 기판 지지부는 상기 펌핑 블록의 상기 개구부 및 상기 배기 개구부를 통해 배치되는 도관을 갖는, 반도체 처리 장치.
  13. 제10항에 있어서,
    상기 통로에 석영 라이너를 더 포함하고, 상기 석영 라이너는 상기 덮개의 관문과 일치하는 개구부를 갖는, 반도체 처리 장치.
  14. 반도체 처리 장치로서,
    벽을 갖는 본체 ― 상기 벽은 상기 본체 내에 2개의 처리 챔버들을 한정함 ―;
    상기 2개의 처리 챔버들 사이에 유체 결합을 형성하는, 상기 벽을 통하는 통로;
    상기 본체에 제거가능하게 결합된 덮개 ― 상기 덮개는 상기 통로와 유체 연통하는 관문을 가짐 ―;
    상기 처리 챔버들 외부에서 상기 덮개에 결합된 원격 플라즈마 유닛 ― 상기 원격 플라즈마 유닛은 상기 덮개의 관문과 유체 연통하는 배출구를 가짐 ―;
    상기 각각의 처리 챔버에 배치된 기판 지지부 ― 상기 각각의 기판 지지부는 적어도 2개의 가열 구역들 및 기판 지지 영역을 갖고, 상기 기판 지지 영역은 상기 기판 지지 영역으로부터 연장되는 복수의 기판 지지 표면들을 포함함 ―;
    상기 각각의 기판 지지부를 향하는, 상기 덮개에 결합된 가스 분배기; 및
    상기 각각의 가스 분배기의 에지에서 상기 덮개에 결합된 열 제어 부재를 포함하는, 반도체 처리 장치.
  15. 제14항에 있어서,
    각각의 처리 챔버는 배기 개구부를 갖는 바닥 및 상기 바닥에 결합된 펌핑 블록을 갖고, 상기 펌핑 블록은 상기 배기 개구부와 정합하는 개구부를 갖고, 상기 펌핑 블록은 복수의 펌핑 관문들에 의해 상기 펌핑 블록의 상기 개구부에 결합되는 환형 펌핑 채널을 갖고, 상기 펌핑 플록은 상기 환형 펌핑 채널에 유체적으로 결합되는 배출구를 갖고, 상기 기판 지지부는 상기 펌핑 블록의 상기 개구부 및 상기 배기 개구부를 통해 배치되는 도관을 갖는, 반도체 처리 장치.
KR1020187032303A 2016-04-11 2017-03-15 반도체 처리 챔버 KR102147782B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662320861P 2016-04-11 2016-04-11
US62/320,861 2016-04-11
US15/417,865 US10741428B2 (en) 2016-04-11 2017-01-27 Semiconductor processing chamber
US15/417,865 2017-01-27
PCT/US2017/022468 WO2017180283A2 (en) 2016-04-11 2017-03-15 Semiconductor processing chamber

Publications (2)

Publication Number Publication Date
KR20180123588A true KR20180123588A (ko) 2018-11-16
KR102147782B1 KR102147782B1 (ko) 2020-08-25

Family

ID=59998274

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187032303A KR102147782B1 (ko) 2016-04-11 2017-03-15 반도체 처리 챔버

Country Status (6)

Country Link
US (1) US10741428B2 (ko)
JP (1) JP6709855B2 (ko)
KR (1) KR102147782B1 (ko)
CN (1) CN109075108B (ko)
TW (1) TWI707420B (ko)
WO (1) WO2017180283A2 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6388182B1 (ja) * 2017-07-25 2018-09-12 Smc株式会社 ゲートバルブの取付構造
TWI781346B (zh) * 2018-09-29 2022-10-21 美商應用材料股份有限公司 具有精確溫度和流量控制的多站腔室蓋
US11373890B2 (en) * 2018-12-17 2022-06-28 Applied Materials, Inc. Wireless in-situ real-time measurement of electrostatic chucking force in semiconductor wafer processing
US11629409B2 (en) * 2019-05-28 2023-04-18 Applied Materials, Inc. Inline microwave batch degas chamber
KR102312364B1 (ko) * 2019-12-24 2021-10-13 주식회사 테스 기판처리장치
WO2022051079A1 (en) * 2020-09-02 2022-03-10 Applied Materials, Inc. Showerhead design to control stray deposition
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
US11851761B2 (en) * 2021-04-16 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing tool

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050054952A (ko) * 2002-09-19 2005-06-10 어플라이드 머티어리얼즈 인코포레이티드 다구역 저항 히터
KR20060128303A (ko) * 2005-06-10 2006-12-14 주식회사 뉴파워 프라즈마 용량결합형 플라즈마소스 및 수직형 듀얼 프로세스챔버를구비한 플라즈마처리장치
KR20070037507A (ko) * 2004-08-03 2007-04-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 강화 화학 기상 증착(pecvd) 분야를 위한가열식 가스 박스
KR100726791B1 (ko) * 2005-12-07 2007-06-11 주식회사 뉴파워 프라즈마 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리장치
KR20150132416A (ko) * 2013-03-15 2015-11-25 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조시 기판들을 프로세싱하도록 적응된 프로세싱 시스템들, 장치, 및 방법들
KR20160012942A (ko) * 2014-07-24 2016-02-03 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6300255B1 (en) 1999-02-24 2001-10-09 Applied Materials, Inc. Method and apparatus for processing semiconductive wafers
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
US6495233B1 (en) * 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
US6251195B1 (en) * 1999-07-12 2001-06-26 Fsi International, Inc. Method for transferring a microelectronic device to and from a processing chamber
JP2004128019A (ja) 2002-09-30 2004-04-22 Applied Materials Inc プラズマ処理方法及び装置
JP5257424B2 (ja) 2004-04-27 2013-08-07 株式会社Sumco エピタキシャル成長装置
US7622005B2 (en) 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
CN100358099C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 等离子体处理装置
KR20080012628A (ko) * 2006-08-04 2008-02-12 삼성전자주식회사 기판 처리 장치
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
KR20090001030A (ko) 2007-06-29 2009-01-08 삼성전자주식회사 반도체 제조설비
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
WO2010006279A2 (en) * 2008-07-11 2010-01-14 Applied Materials, Inc. Chamber components for cvd applications
KR101089391B1 (ko) 2009-09-23 2011-12-02 주식회사 뉴파워 프라즈마 다중 기판처리챔버
JP2011077147A (ja) 2009-09-29 2011-04-14 Dainippon Screen Mfg Co Ltd 熱処理装置
US8274017B2 (en) * 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
KR101013492B1 (ko) * 2010-10-28 2011-02-10 (주)세미머티리얼즈 화학기상증착장치 및 이의 제어방법
KR101327458B1 (ko) 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
KR101387518B1 (ko) 2012-08-28 2014-05-07 주식회사 유진테크 기판처리장치
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
WO2014149883A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. Chamber design for semiconductor processing
JP2014220046A (ja) 2013-05-02 2014-11-20 東京エレクトロン株式会社 マイクロ波プラズマ処理装置およびマイクロ波プラズマ源
US10672591B2 (en) 2013-06-21 2020-06-02 Applied Materials, Inc. Apparatus for removing particles from a twin chamber processing system
US20150211114A1 (en) 2014-01-30 2015-07-30 Applied Materials, Inc. Bottom pump and purge and bottom ozone clean hardware to reduce fall-on particle defects
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050054952A (ko) * 2002-09-19 2005-06-10 어플라이드 머티어리얼즈 인코포레이티드 다구역 저항 히터
KR20070037507A (ko) * 2004-08-03 2007-04-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 강화 화학 기상 증착(pecvd) 분야를 위한가열식 가스 박스
KR20060128303A (ko) * 2005-06-10 2006-12-14 주식회사 뉴파워 프라즈마 용량결합형 플라즈마소스 및 수직형 듀얼 프로세스챔버를구비한 플라즈마처리장치
KR100726791B1 (ko) * 2005-12-07 2007-06-11 주식회사 뉴파워 프라즈마 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리장치
KR20150132416A (ko) * 2013-03-15 2015-11-25 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조시 기판들을 프로세싱하도록 적응된 프로세싱 시스템들, 장치, 및 방법들
KR20160012942A (ko) * 2014-07-24 2016-02-03 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
JP2019514214A (ja) 2019-05-30
KR102147782B1 (ko) 2020-08-25
CN109075108A (zh) 2018-12-21
JP6709855B2 (ja) 2020-06-17
TWI707420B (zh) 2020-10-11
US10741428B2 (en) 2020-08-11
US20170294325A1 (en) 2017-10-12
CN109075108B (zh) 2022-04-01
WO2017180283A3 (en) 2018-08-23
TW201802991A (zh) 2018-01-16
WO2017180283A2 (en) 2017-10-19

Similar Documents

Publication Publication Date Title
KR102147782B1 (ko) 반도체 처리 챔버
US6494955B1 (en) Ceramic substrate support
US8444926B2 (en) Processing chamber with heated chamber liner
KR102653444B1 (ko) 고온 기판 페데스탈 모듈 및 이의 컴포넌트들
KR102263328B1 (ko) 가스 시일을 갖는 화학적 증착 챔버
CN102027587B (zh) 一种半导体设备的晶片座
US8048226B2 (en) Method and system for improving deposition uniformity in a vapor deposition system
JP6268095B2 (ja) 半導体処理におけるエッジリングの熱管理
KR20090080533A (ko) 온도 제어되는 다중 가스 분배 조립체
JP2015029132A (ja) 半導体材料処理装置用の低粒子性能を有するシャワーヘッド電極及びシャワーヘッド電極アセンブリ
KR20170005072A (ko) 샤워헤드 설계
US9196462B2 (en) Showerhead insulator and etch chamber liner
TWI674646B (zh) 用於電漿處理的雙區式加熱器
CN110050333B (zh) 时间性原子层沉积处理腔室
US20220262657A1 (en) Pedestal with multi-zone heating
JP2020191450A (ja) エッジパージを用いる基材サセプタ
KR20230151975A (ko) 가스 시일링을 갖는 화학적 증착 챔버
CN111164744A (zh) 呈双装载锁定配置的高温加热支撑底座
US20150368830A1 (en) One-piece injector assembly and one-piece exhaust liner
KR20060097021A (ko) 접촉 체적을 사용하는 효과적인 온도 제어 방법 및 온도제어 장치
KR101016063B1 (ko) 고온 퍼니스
JP2007266337A (ja) 基板処理装置
KR20230157071A (ko) 정전척
JP2004079845A (ja) 基板処理装置
KR20090012928U (ko) 고온 퍼니스

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant