KR102263328B1 - 가스 시일을 갖는 화학적 증착 챔버 - Google Patents

가스 시일을 갖는 화학적 증착 챔버 Download PDF

Info

Publication number
KR102263328B1
KR102263328B1 KR1020140080669A KR20140080669A KR102263328B1 KR 102263328 B1 KR102263328 B1 KR 102263328B1 KR 1020140080669 A KR1020140080669 A KR 1020140080669A KR 20140080669 A KR20140080669 A KR 20140080669A KR 102263328 B1 KR102263328 B1 KR 102263328B1
Authority
KR
South Korea
Prior art keywords
cavity
gas
inert
module
substrate
Prior art date
Application number
KR1020140080669A
Other languages
English (en)
Other versions
KR20150002543A (ko
Inventor
라메쉬 찬드라세카란
상러트 상플러그
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150002543A publication Critical patent/KR20150002543A/ko
Application granted granted Critical
Publication of KR102263328B1 publication Critical patent/KR102263328B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like

Abstract

화학적 증착 장치 내의 프로세싱 존 (processing zone) 을 실링 (sealing) 하기 위한 시스템이 개시되며, 이 시스템은 화학적 격리 챔버 내에 형성된 증착 챔버를 갖는 상기 화학적 격리 챔버; 대면플레이트 (faceplate) 및 백킹플레이트 (backing plate) 를 갖는 샤워헤드 모듈로서, 상기 샤워헤드 모듈은 반도체 기판들을 프로세싱하기 위해서 반응기 화학물질들을 캐비티 (cavity) 로 전달하는 복수의 유입구들 및 상기 캐비티로부터 반응기 화학물질들 및 비활성 가스를 제거하는 배출구들 (exhaust outlets) 및 비활성 가스를 전달하도록 구성된 외측 배관 (outer plenum) 을 포함하는, 상기 샤워헤드 모듈; 기판을 지지하도록 구성된 페데스탈 모듈 (pedestal module) 로서, 상기 페데스탈 모듈은 상기 페데스탈 모듈과 상기 대면플레이트의 외측 부분에 둘러있는 단차부 간의 좁은 갭 (narrow gap) 을 형성하면서 상기 캐비티를 폐쇄하도록 수직으로 이동하는, 상기 페데스탈 모듈; 및 비활성 시일 가스 (inert seal gas) 를 상기 외측 배관 내로 공급하도록 구성된 비활성 시일 가스 피드 (feed) 를 포함하며, 상기 비활성 시일 가스는 가스 시일 (gas seal) 을 형성하도록 방사상 내측으로 적어도 부분적으로 상기 좁은 갭을 통해서 흐른다.

Description

가스 시일을 갖는 화학적 증착 챔버{CHEMICAL DEPOSITION CHAMBER HAVING GAS SEAL}
본 발명은 화학적 증착을 수행하며 플라즈마 강화된 화학적 증착을 수행하는데 사용되기 위한 장치들 및 프로세스들에 관한 것이다.
에칭 (etching), PVD (physical vapor deposition), CVD (chemical vapor deposition), PECVD (plasma enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), PDL (pulsed deposition layer) 프로세싱, PEPDL (plasma enhanced pulsed deposition layer) 프로세싱, 및 레지스트 제거를 포함하는 기법들에 의해서 반도체 기판을 프로세싱하는데 플라즈마 프로세싱 장치들이 사용될 수 있다. 예를 들어서, 플라즈마 프로세싱 시에 사용되는 일 타입의 플라즈마 프로세싱 장치는 상단 전극 및 하단 전극을 포함하는 반응 또는 증착 챔버를 포함한다. 반응 챔버 내에서 반도체 기판들을 프로세싱하기 위해서 프로세스 가스를 플라즈마로 여기시키도록 무선 주파수 (RF) 전력이 상단 전극과 하단 전극 간에 인가된다.
화학적 증착 장치 내의 프로세싱 존 (processing zone) 을 실링 (sealing) 하기 위한 시스템이 개시되며, 이 시스템은 화학적 격리 챔버 내에 형성된 증착 챔버를 갖는 상기 화학적 격리 챔버; 대면플레이트 (faceplate) 및 백킹플레이트 (backing plate) 를 갖는 샤워헤드 모듈로서, 상기 샤워헤드 모듈은 반도체 기판들을 프로세싱하기 위해서 반응기 화학물질들을 캐비티 (cavity) 로 전달하는 복수의 유입구들 및 상기 캐비티로부터 반응기 화학물질들 및 비활성 가스를 제거하는 배출구들 (exhaust outlets) 및 비활성 가스를 전달하도록 구성된 외측 배관 (outer plenum) 을 포함하는, 상기 샤워헤드 모듈; 기판을 지지하도록 구성된 페데스탈 모듈 (pedestal module) 로서, 상기 페데스탈 모듈은 상기 페데스탈 모듈과 상기 대면플레이트의 외측 부분에 둘러있는 단차부 간의 좁은 갭 (narrow gap) 을 형성하면서 상기 캐비티를 폐쇄하도록 수직으로 이동하는, 상기 페데스탈 모듈; 및 비활성 시일 가스 (inert seal gas) 를 상기 외측 배관 내로 공급하도록 구성된 비활성 시일 가스 피드 (feed) 를 포함하며, 상기 비활성 시일 가스는 가스 시일 (gas seal) 을 형성하도록 방사상 내측으로 적어도 부분적으로 상기 좁은 갭을 통해서 흐른다.
반도체 기판들을 프로세싱하기 위해서 반응기 화학물질들이 캐비티로부터 누출되는 것을 방지하는 방법이 개시되며, 이 방법은 화학적 증착 장치의 캐비티 내에서 기판을 프로세싱하는 단계로서, 상기 캐비티는 샤워헤드 모듈과 상기 기판을 수용하도록 구성된 페데스탈 모듈 간에서 형성되며, 상기 샤워헤드 모듈은 반응기 화학물질들을 상기 캐비티 (cavity) 로 전달하는 복수의 유입구들 및 상기 캐비티로부터 반응기 화학물질들 및 비활성 가스를 제거하는 배출구들 (exhaust outlets) 을 포함하는, 상기 기판을 프로세싱하는 단계; 및 상기 캐비티의 외측 에지를 둘러싸는 상기 대면플레이트의 외측 부분에 둘러있는 단차부와 상기 페데스탈 모듈 간의 좁은 갭 (narrow gap) 내로 비활성 가스를 전달하도록 구성된 외측 배관 (outer plenum) 내로 비활성 시일 가스 피드 (inert seal gas feed) 를 공급하는 단계를 포함하며, 상기 비활성 시일 가스가 가스 시일 (gas seal) 을 형성하도록 방사상 내측으로 적어도 부분적으로 상기 좁은 갭을 통해서 유동된다.
예시적인 실시예에 따라서, 가스 기반 실링 시스템은 상이한 ALD 프로세스 단계들 동안에 반응기 화학물질의 누출을 방지하도록 구성된다. 예를 들어서, 상이한 ALD 프로세스 단계들은 반응기 압력 및 유동 레이트가 여러 배만큼 또는 10의 몇 제곱만큼 상이할 수 있다. 따라서, 반응기 화학물질을 한정하고 반응기 또는 캐비티를 격리하는 메카니즘으로서 시일 가스를 사용하여서 ALD 프로세스 단계들 동안에 웨이퍼 또는 반응기 캐비티의 가스 시일을 달성하는 것이 바람직할 것이다.
도 1a는 예시적인 실시예에 따른 페데스탈 (pedestal) 을 갖는 화학적 증착 장치를 도시하는 개략도이다.
도 1b는 예시적인 실시예에 따른 페데스탈 (pedestal) 을 가지지 않는 화학적 증착 장치를 도시하는 개략도이다.
도 2는 예시적인 실시예에 따른 가스 기반 실링 시스템 (gas based sealing system) 의 단면도이다.
도 3은 예시적인 실시예에 따른 가스 기반 실링 시스템 (gas based sealing system) 의 단면도이다.
도 4는 예시적인 실시예에 따른 가스 기반 실링 시스템 (gas based sealing system) 의 단면도이다.
도 5는 예시적인 실시예에 따른 가스 기반 실링 시스템 (gas based sealing system) 의 단면도이다.
도 6은 예시적인 실시예에 따른 가스 기반 실링 시스템 (gas based sealing system) 의 단면도이다.
도 7은 예시적인 실시예에 따른 가스 기반 실링 시스템 (gas based sealing system) 의 개략도이다.
도 8은 예시적인 실시예에 따른 가스 기반 실링 시스템 (gas based sealing system) 에 대한 압력 및 밸브 각 (valve angle) 대 시간을 나타내는 차트이다.
다음의 상세한 개시에서, 예시적인 실시예들이 본 명세서에서 개시된 장치 및 방법들의 이해를 제공하기 위해서 제시된다. 그러나, 이러한 예시적인 실시예들은 이러한 특정 세부사항들 없이도 또는 다른 요소들 또는 프로세스들을 사용하여서 실시될 수 있음이 본 기술 분야의 당업자에게 자명하다. 다른 실례들에서, 잘 알려진 프로세스들, 절차들 및/또는 컴포넌트들은 본 명세서에서 개시된 실시예들의 측면들을 불필요하게 모호하게 하지 않도록 하기 위해서 세부적으로는 기술되지 않았다.
예시적인 실시예에 따라서, 본 명세서에서 개시된 장치들 및 이와 연관된 방법들은 플라즈마 강화된 화학적 증착과 같은 화학적 증착에서 사용될 수 있다. 이 장치 및 방법들은 다중-단계 증착 프로세스 (예를 들어서, ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), PDL (pulsed deposition layer) 프로세싱, 또는 PEPDL (plasma enhanced pulsed deposition layer) 프로세싱) 에서 자기-한정 (self-limiting) 증착 단계들의 분리를 요구하는 반도체 제조 기반 유전체 증착 프로세스와 함께 사용될 수 있지만, 이 장치 및 방법들은 이로만 한정되지 않는다.
설명한 바와 같이, 본 실시예들은 플라즈마 강화형 화학 기상 증착과 같은 화학적 증착을 수행하기 위한 장치 및 이와 관련된 방법들을 제공한다. 이 장치 및 방법들은 다중-단계 증착 프로세스 (예를 들어서, ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), PDL (pulsed deposition layer) 프로세싱, 또는 PEPDL (plasma enhanced pulsed deposition layer) 프로세싱) 에서 자기-한정 (self-limiting) 증착 단계들의 분리를 요구하는 반도체 제조 기반 유전체 증착 프로세스와 함께 사용되도록 특히 적용가능하지만, 이 장치 및 방법들은 이로만 한정되지 않는다.
전술한 프로세스들은 증착된 재료를 받는 웨이퍼 또는 기판에 걸친 불균일한 온도와 연관된 몇몇 단점들을 경험할 수 있다. 예를 들어서, 불균일한 온도는 주변 챔버 컴포넌트들 (components) 와 열적으로 접촉하는 수동으로 가열된 샤워헤드가 이 주변 챔버 컴포넌트로 열을 손실할 때에 기판에 걸쳐서 발달할 수 있다. 따라서, 프로세싱 존 (processing zone) 의 상부 벽을 형성하는 샤워헤드는 바람직하게는 등온 프로세싱 존이 형성되어서 기판에 걸쳐서 균일한 온도를 형성할 수 있도록 이러한 주변 챔버 컴포넌트들로부터 열적으로 분리된다. 기판에 걸친 균일한 온도는 기판의 균일한 프로세싱을 지원하며, 기판 온도가 증착 프로세스를 위한 활성화 에너지를 제공하며, 이로써 증착 반응을 구현하기 위한 제어 수단이다.
또한, 일반적으로 2 개의 주요 타입의 증착 샤워헤드들, 즉 샹들리에 타입 (chandelier type) 및 플러시 마운트 (flush mount) 타입이 있다. 샹들리에 타입 샤워헤드들은 그 일단이 챔버의 상단에 부착되고 타단이 대면플레이트 (faceplate) 에 부착된 스템부를 가지며 이로써 샹들리에와 유사하다. 이 스템부의 일부는 가스 라인들과 RF 전력의 접속을 가능하게 하도록 챔버 상단으로부터 돌출된다. 플러시 마운트 타입 샤워헤드들은 챔버의 상단 내부에 통합되며 스템부를 가지지 않는다. 본 실시예들은 플러시 마운트 타입 샤워헤드에 관한 것이며, 플러시 마운트 타입 샤워헤드는 챔버 공간 (chamber volume) 을 줄이며, 챔버 공간은 프로세싱 동안에 진공 소스에 의해서 배기되어야 한다.
도 1a 및 도 1b는 본 명세서에서 개시된 실시예들에 따른 화학적 증착 장치 (100) 를 도시하는 개략도들이다. 도 1a 및 도 1b에서 도시된 바와 같이, 화학적 증착 장치는 화학적 격리 챔버 또는 하우징 (110), 증착 챔버 (120), 샤워헤드 모듈 (130) 및 이동형 페데스탈 모듈 (140) 을 포함하며, 이동형 페데스탈 모듈은 페데스탈 모듈 (140) 의 상부 표면 상의 기판 (웨이퍼) (190) 위치를 상승 및 하강시키도록 샤워헤드 모듈 (130) 에 대해서 수직으로 상승 또는 하강될 수 있다. 샤워헤드 모듈 (130) 도 또한 수직으로 상승 및 하강될 수 있다. 반응 물질 가스 (또는 프로세스 가스) (192) (도 3) 가 가스 라인들 (112) 을 경유하여서 샤워헤드 모듈 (130) 의 중앙 배관 (202) (도 6) 을 통해서 서브-챔버 (또는 캐비티) (150) 내로 도입된다. 가스 라인들 각각 (112) 은 격리 밸브들 (116) 을 사용하여서 장치 (100) 로부터 격리될 수 있는 대응하는 축적기 (accumulator) (미도시) 를 가질 수 있다. 예시적인 실시예에 따라서, 장치 (100) 는 사용되는 반응 가스들의 수에 따라서 격리 밸브들 및 축적기들을 갖는 하나 이상의 가스 라인들 (112) 을 갖도록 수정될 수 있다. 또한, 반응 가스 전달 라인들 (112) 은 복수의 화학적 증착 장치들 또는 다중-스테이션 시스템 간에서 공유될 수 있다.
예시적인 실시예에 따라서, 챔버 (120) 는 진공 소스 (미도시) 에 연통된 하나 이상의 진공 라인들 (160) 을 통해서 배기될 수 있다. 예를 들어서, 진공 소스는 진공 펌프 (미도시) 일 수 있다. 다중-스테이션 반응기들에서, 예를 들어서, 동일한 증착 프로세스를 수행하는 다수의 스테이션들 또는 장치들 (100) 을 갖는 다중-스테이션 반응기들에서, 다른 스테이션으로부터의 진공 라인 (160) 은 진공 라인 (160) 과 공통 포어라인 (foreline) 을 공유할 수 있다. 또한, 장치 (100) 는 스테이션 또는 장치 (100) 마다 하나 이상의 진공 라인들 (160) 을 갖도록 수정될 수 있다.
예시적인 실시예에 따라서, 복수의 배기 도관들 (evacuation conduits) (170) 이 샤워헤드 모듈 (130) 의 대면플레이트 (136) 내의 하나 이상의 배출구들 (174) 과 유체로 연통하도록 구성될 수 있다. 배출구들 (174) 은 증착 프로세스들 간에서 캐비티 (150) 로부터 프로세스 가스들 또는 반응기 화학물질들 (192) 을 제거하도록 구성될 수 있다. 복수의 배기 도관들 (evacuation conduits) (170) 은 또한 하나 이상의 진공 라인들 (160) 과 유체로 연통한다. 복수의 배기 도관들 (evacuation conduits) (170) 은 기판 (190) 주변의 원주 방향으로 이격되며 균일하게 이격될 수 있다. 몇몇 실례들에서, 복수의 배기 도관들 (evacuation conduits) (170) 의 이격은 진공 라인들 (160) 의 위치들을 보상하도록 설계될 수 있다. 일반적으로 복수의 배기 도관들 (evacuation conduits) (170) 보다 더 적은 개수의 진공 라인들 (160) 이 존재하기 때문에, 진공 라인 (160) 에 가장 가까운 도관 (170) 을 통한 흐름은 더 멀리 떨어진 도관을 통한 흐름보다 높을 수 있다. 균일한 흐름 패턴을 보장하기 위해서, 도관들 (170) 은 이들이 진공 라인들 (160) 로부터 멀리 떨어져 있으면 서로 더 가깝게 이격될 수 있다. 가변 흐름 전달기 (variable flow conductor) 를 포함하는 복수의 도관들 (170) 을 포함하는 화학적 증착 장치 (100) 의 예시적인 실시예는 공동으로 양도된 미국 특허 7,993,457에서 찾을 수 있으며, 이 문헌은 그 전체가 본 명세서에서 참조로서 인용된다.
본 명세서에서 개시된 실시예들은 바람직하게는 플라즈마 강화된 화학 기상 증착 장치 (예를 들어서, PECVD 장치, PEALD 장치 또는 PEPDL 장치) 에서 구현된다. 이러한 장치는 상이한 형태를 취할 수 있는데, 이 장치는 하나 이상의 기판들 (190) 을 하우징하고 기판 프로세싱에 적합한, 상술한 바와 같은 다수의 스테이션들 또는 증착 챔버들 (120) 을 포함할 수 있는 하나 이상의 챔버들 또는 "반응기들" (110) 을 포함할 수 있다. 각 챔버 (120) 는 프로세싱을 위해서 하나 이상의 기판들을 하우징할 수 있다. 하나 이상의 챔버 (120) 는 구획된 위치 또는 위치들에서 (이러한 위치에서 예를 들어서, 회전, 진동 또는 다른 요동과 같은 움직임을 가지면서 또는 가지지 않으면서) 기판 (190) 을 유지한다. 일 실시예에서, 증착 및 처리를 받고 있는 기판 (190) 은 프로세스 동안에 장치 (100) 내에서 일 스테이션 (예를 들어서, 증착 챔버 (120)) 으로부터 다른 스테이션으로 전달될 수 있다. 프로세스 동안에, 각 기판 (190) 은 페데스탈, 웨이퍼 척 및/또는 다른 웨이퍼 홀딩 장치 (140) 에 의해서 제자리에서 유지된다. 기판 (190) 이 가열될 특정 동작들을 위해서, 장치 (140) 는 가열 플레이트와 같은 가열기를 포함할 수 있다.
도 2는 예시적인 실시예에 따른 가스 기반 실링 시스템 (gas based sealing system) (200) 을 갖는 화학적 증착 장치 (100) 의 단면도이다. 도 2에 도시된 바와 같이, 화학적 증착 장치 (100) 는 기판 페데스탈 모듈 (140) 을 포함하며, 이 모듈은 페데스탈 모듈 (140) 의 상부 표면 (142) 으로부터 반도체 기판 (또는 웨이퍼) (190) 를 받고/받거나 분리시키도록 구성된다. 하위 위치에서, 기판 (190) 은 페데스탈 모듈 (140) 의 표면 상에 배치되고, 이어서 샤워헤드 모듈 (130) 을 향해서 수직으로 상향 상승된다. 예시적인 실시예에 따라서, 페데스탈 모듈 (140) 의 상부 표면 (142) 과 샤워헤드 모듈 (130) 의 하부 표면 (132) 간의 이격 거리는 캐비티 (150) 를 형성하며 이는 약 0.2 인치 (5 밀리미터) 내지 약 0.6 인치 (15 밀리미터) 일 수 있다. 캐비티 (150) 를 폐쇄하도록 페데스탈 모듈 (140) 이 상향으로 수직으로 이동하는 것은 샤워헤드 모듈 (130) 의 대면플레이트 (136) (도 1a 및 도 1b) 의 외측 부분 (131) 주변의 단차부 (135) 와 페데스탈 간에 좁은 갭 (240) 을 생성한다.
예시적인 실시예에 따라서, 챔버 (120) 내측의 온도는 샤워헤드 모듈 (130) 및/또는 페데스탈 모듈 (140) 내의 가열 메카니즘을 통해서 유지될 수 있다. 예를 들어서, 기판 (190) 은 샤워헤드 모듈 (130) 및/또는 페데스탈 모듈 (140) 이 목표된 온도로 기판 (190) 을 유지하도록 구성되는 등온 분위기 내에서 위치한다. 예시적인 실시예에 따라서, 샤워헤드 모듈 (130) 은 250 ℃ 보다 높은 온도로 유지되고/되거나 페데스탈 모듈 (140) 은 50 ℃ 내지 550 ℃ 범위 내에서 가열될 수 있다. 증착 챔버 또는 캐비티 (150) 는 페데스탈 모듈 (140) 과 함께 동작하는 샤워헤드 모듈 (130) 을 포함하는 용량 결합형 플라즈마 타입 시스템에 의해서 생성되는 플라즈마를 포함하는 역할을 한다.
매칭 네트워크 (미도시) 에 접속된 고주파수 (HF) RF 생성기 및 저주파수 (LF) RF 생성기와 같은 RF 소스(들) (미도시) 가 샤워헤드 모듈 (130) 에 접속된다. 매칭 네트워크에 의해서 공급되는 전력 및 주파수는 프로세스 가스/증기로부터 플라즈마를 생성하는데 충분하다. 일 실시예에서, HF 생성기 및 LF 생성기 모두가 사용될 수 있다. 통상적인 프로세스에서, HF 생성기는 대체적으로 약 2 내지 100 MHz의 주파수에서 동작하며, 바람직한 실시예에서는 13.56 MHz에서 동작한다. LF 생성기는 대체적으로 약 50 kHz 내지 2 MHz의 주파수에서 동작하며, 바람직한 실시예에서는 350 내지 600 kHz에서 동작한다. 프로세스 파라미터들은 챔버 체적, 기판 크기, 및 다른 인자들에 따라서 크기가 조절될 수 있다. 예를 들어서, HF 생성기 및 LF 생성기의 전력 출력들은 통상적으로 기판의 증착 표면적에 직접적으로 비례한다. 300 mm 웨이퍼들에서 사용되는 전력은 대체적으로 200 mm 웨이퍼들에서 사용되는 전력보다 적어도 2.25 높을 것이다. 마찬가지로, 표준 증기 압력과 같은 플로우 레이트들도 증착 챔버 (120) 의 자유 체적 (free volume) 에 의존할 수 있다.
증착 챔버 (120) 내에서, 페데스탈 모듈 (140) 은 재료들이 증착될 수 있는 기판 (190) 을 지지한다. 페데스탈 모듈 (140) 은 통상적으로 증착 및/또는 플라즈마 처리 반응들 동안에 그리고 이들 간에서 기판을 유지 및 전달하는 척, 포크 또는 리프트 핀을 포함한다. 페데스탈 모듈 (140) 은 정전 척, 기계적 척, 또는 본 산업 및/또는 연구에서 사용되도록 입수가능한 다양한 다른 타입의 척을 포함할 수 있다. 페데스탈 모듈 (140) 은 목표된 온도로 기판 (190) 을 가열하기 위해서 가열기 블록에 연결될 수 있다. 일반적으로, 기판 (190) 은 증착될 재료에 따라서 약 25 ℃ 내지 500 ℃ 온도에서 유지된다.
예시적인 실시예에 따라서, 가스 기반 실링 시스템 (200) 은 프로세스 재료 또는 프로세스 가스의 흐름 동안에 캐비티 (150) 로부터의 유출 흐름을 제어 및 조절하는 것을 지원하도록 구성될 수 있다. 예시적인 실시예에 따라서, 챔버 (150) 의 배기 또는 퍼지 (purge) 는 샤워헤드 모듈 (130) 을 통해서 캐비티 (150) 내로 공급되는 비활성 또는 퍼지 가스 (미도시) 를 사용한다. 예시적인 실시예에 따라서, 하나 이상의 도관들 (178) 이 페데스탈 모듈 (140) 아래의 존으로부터 시일 가스 (seal gas) (182) (도 2) 을 제거하도록 구성되는 환상 배기 통로 (176) 를 통해서 진공 라인들 (160) 에 연통될 수 있다.
예시적인 실시예에 따라서, 샤워헤드 모듈 (130) 은 반응기 화학물질들을 캐비티 (또는 반응기 챔버) (150) 에 전달하도록 구성된다. 샤워헤드 모듈 (130) 은 복수의 유입구들 또는 관통구멍들 (138) 을 갖는 대면플레이트 (136) 및 백킹플레이트 (backing plate) (139) 를 포함할 수 있다. 예시적인 실시예에 따라서, 대면플레이트 (136) 는 복수의 유입구들 또는 관통구멍들 (138) 및 대면플레이트 (136) 의 외연 (137) 을 둘러서 연장되는 단차부 (135) 를 갖는 단일 플레이트일 수 있다. 이와 달리, 단차부 (135) 는 대면플레이트 (136) 의 외측 부분 (131) 의 하부 표면에 부착된 개별 링 (133) 일 수 있다. 예를 들어서, 단차부 (135) 는 스크루 (143) 를 사용하여서 대면플레이트 (136) 의 외측 부분 (131) 의 하부 표면에 부착될 수 있다. 동심 배출구들 (174) 을 갖는 대면플레이트 (136) 를 포함하는, 프로세스 가스들의 분배를 위한 샤워헤드 모듈 (130) 의 예시적인 실시예는 공동으로 양도된 미국 특허 번호 5,614,026에서 찾을 수 있으며, 이 문헌은 그 전체가 본 명세서에서 참조로서 인용된다. 예를 들어서, 예시적인 실시예에 따라서, 배출구들 (174) 은 복수의 유입구들 (138) 을 둘러싼다.
예시적인 실시예에 따라서, 캐비티 (150) 는 샤워헤드 모듈 (130) 의 대면플레이트 (136) 의 하부 표면 (132) 과 기판 페데스탈 모듈 (140) 의 상부 표면 (142) 간에서 형성된다. 샤워헤드 모듈 (130) 의 대면플레이트 (136) 의 내의 복수의 동심 배기 도관들 또는 배출구들 (174) 은 증착 프로세스들 간에서 캐비티 (150) 로부터 프로세스 가스들 또는 반응기 화학물질들 (192) 을 제거하도록 복수의 도관들 (170) 중 하나 이상에 유체로 연통될 수 있다.
도 2에 도시된 바와 같이, 장치 (100) 는 하나 이상의 도관들 (184) 을 통해서 가스 기반 실링 시스템 (200) 의 외측 배관 (204) 으로 공급되는 비활성 가스 또는 시일 가스 (182) 의 소스 (180) 를 더 포함한다. 예시적인 실시예에 따라서, 비활성 가스 또는 시일 가스 (182) 는 질소 가스 또는 아르곤 가스일 수 있다. 예시적인 실시예에 따라서, 비활성 가스 소스 (180) 는 비활성 시일 가스 (182) 를 하나 이상의 도관들 (184) 을 통해서 방사상 내측으로 좁은 갭 (240) 을 통해서 공급하도록 구성되며, 이 좁은 갭 (240) 은 캐비티 (150) 로부터 외측으로 연장되며 대면플레이트 (136) 의 외연 (137) 을 둘러있는 단차부 (135) 의 하부 표면 (134) 과 페데스탈 모듈 (140) 의 상부 표면 (142) 간에서 형성된다. 예시적인 실시예에 따라서, 비활성 시일 가스 (182) 는 프로세싱 동안에 가스 시일 (gas seal) 을 형성하게 좁은 갭 (240) 내에 있는, 캐비티 (150) 로부터의 프로세스 가스들 또는 반응기 화학물질들 (192) (도 3) 과 연통한다. 도 3 및 도 4에 도시된 바와 같이, 비활성 시일 가스 (182) 는 좁은 갭 (240) 을 오직 부분적으로만 진입하며 이로써 이 좁은 갭 내에서 프로세스 가스들 또는 반응기 화학물질들 (192) 과 비활성 시일 가스 (182) 간에 가스 시일을 형성한다. 이와 달리, 도 4 및 도 5에 도시된 바와 같이, 비활성 시일 가스 (182) 의 흐름은 캐비티 (150) 의 외측 에지까지 이루어지며 샤워헤드 모듈 (130) 내의 하나 이상의 배출구들 (174) 을 통해서 캐비티 (150) 로부터 제거될 수 있다.
예시적인 실시예에 따라서, 환상 배기 통로 (176) 는 복수의 배기 도관들 (170) 중 하나 이상과 유체로 연통된다. 예시적인 실시예에 따라서, 환상 배기 통로 (176) 는 하나 이상의 유출구들 (미도시) 을 가지며 기판 (190) 의 주변부를 둘러싸는 존으로부터 비활성 가스 (182) 를 제거하고 좁은 갭 (240) 을 통해서 방사상 내측으로 흐르거나 이동하는 비활성 가스 (182) 를 제거하도록 구성된다. 환상 배기 통로 (176) 는 기판 페데스탈 모듈 (140) 의 외측 부분 (144) 내에서 형성된다. 환상 배기 통로 (176) 는 또한 비활성 가스 (182) 를 기판 페데스탈 모듈 (140) 아래로부터 제거하도록 구성될 수도 있다. 통로들 (176) 과 유사한 다수의 도관들을 갖는 다른 실시예들이 보다 많은 비활성 가스 (182) 를 인출하고 페데스탈 아래의 도관들 (178) 및 부분들 내로의 보다 높은 비활성 가스 흐름을 가능하게 하는 것을 지원할 수 있다. 다수의 도관들 (176) 은 또한 실링 표면 상에서의 보다 높은 압력 강하를 지원하여서 웨이퍼 캐비티 내로의 보다 낮은 확산을 가능하게 한다.
도 3은 예시적인 실시예에 따른 가스 기반 실링 시스템 (gas based sealing system) (200) 을 갖는 화학적 증착 장치 (100) 의 증착 챔버 (120) 의 일부의 단면도이다. 도 3에 도시된 바와 같이, 외측 배관 (204) 은 대면플레이트 (136) 의 외측 부분 (131) 내에서 형성될 수 있다. 외측 배관 (204) 은 비활성 가스 소스 또는 공급부 (180) 로부터 비활성 가스 (182) 를 수용하도록 구성된 하나 이상의 도관들 (220) 을 포함할 수 있다. 비활성 가스 (182) 는 외측 배관 (204) 을 통해서 하나 이상의 도관들 (220) 을 경유하여서 하부 유출구 (228) 로 흐른다. 하부 유출구 (228) 는 좁은 갭 (240) 과 유체로 연통한다. 예시적인 실시예에 따라서, 캐비티 (150) 의 외측 에지 (152) 로부터 외측 배관 (204) 과 연통하는 대면플레이트 (136) 의 외연 또는 에지 (141) 까지의 거리는 한정적으로 제어되는 거리이다. 예를 들어서, 캐비티 (150) 의 외측 에지 (152) 로부터 외측 배관 (204) 과 연통하는 대면플레이트 (136) 의 외연 또는 에지 (141) 까지의 거리 (폭) 는 약 5.0 mm 내지 약 25.0 mm일 수 있다.
예시적인 실시예에 따라서, 외측 배관 (204) 을 형성하는 하나 이상의 도관들 (220) 은 외측 환상 리세스 (outer annular recess) (222) 이다. 외측 환상 리세스 (outer annular recess) (222) 는 캐비티 (150) 의 외측 에지에 있는 좁은 갭 (240) 과 유체로 연통하도록 구성된다. 외측 환상 리세스 (outer annular recess) (222) 는 상부 환상 리세스 (224) 및 하부 환상 리세스 (226) 를 갖도록 구성될 수 있으며, 상부 환상 리세스 (224) 는 하부 환상 리세스 (226) 보다 큰 폭을 갖는다. 예시적인 실시예에 따라서, 하부 유출구 (228) 는 하부 환상 리세스 (226) 의 하부 부분에 있는 환상 유출구이며 좁은 갭 (240) 과 유체로 연통한다.
예시적인 실시예에 따라서, 도 3에 도시된 바와 같이, 비활성 가스 (182) 는 외측 배관 (204) 을 통해서 한정적으로 제어되는 거리들만큼 이격된 반응기 또는 캐비티 (150) 의 에지로 공급된다. 이렇게 외측 배관 (204) 을 통해서 흐르는 비활성 가스 (182) 의 유동 레이트는 Peclet 수 (number) 가 약 1.0보다 크게 되어서 도 3에 도시된 바와 같이 캐비티 (150) 내에서 화학물질들 (192) 을 한정하도록 될 수 있다. 예를 들어서, Peclet 수 (number) 가 약 1.0보다 크면, 비활성 가스 (182) 및 반응기 화학물질들 (192) 은 좁은 갭 (240) 의 내측 부분 (242) 내에서 평형을 확립할 수 있으며, 이로써 반응기 화학물질들 (192) 이 기판 페데스탈 (140) 아래로 흘러서 캐비티 (150) 외측에 있는 증착 챔버 (120) 의 부분들을 오염시키는 방지한다.
예시적인 실시예에 따라서, 프로세스가 일정 압력 프로세스이면, 페데스탈 (140) 아래로부터의 압력과 함께 비활성 가스 (182) 의 단일 (또는 일정한) 유동은 캐비티 (150) 내의 반응기 화학물질 (192) 과 방사상 내측으로 좁은 갭 (240) 을 통해서 흐르는 비활성 가스 (180) 간의 시일을 확립하는데 충분할 수 있다. 예를 들어서, 예시적인 실시예에 따라서, 가스 기반 실링 시스템 (200) 은 일반적으로 상대적으로 일정한 압력 모드에서 실행될 수 있는 실리콘 산화물을 ALD할 시에 사용될 수 있다. 또한, 가스 기반 실링 시스템 (200) 은 비활성 가스 (182) 의 유동 레이트 또는 페데스탈 모듈 (140) 아래의 압력 및/또는 이 둘의 조합을 변화시킴으로써 예를 들어서 질화물 ALD 프로세스 동안에 증착 챔버 (120) 및 캐비티 (150) 내에서의 상이한 프로세스들 및 상이한 압력 범위들에 걸쳐서 실링을 제어하는 수단으로서 작용할 수 있다.
예시적인 실시예에 따라서, 가스 기반 실링 시스템 (200) 은 개별적으로 사용되거나 또는 배출 도관들 (174,176) 과 연관된 압력들과 함께 사용되어서, 프로세싱 동안에 반응기 화학물질 (192) 의 캐비티 (150) 외부로의 유동 및/또는 확산을 방지하는 것을 지원할 수 있다. 또한, 가스 기반 실링 시스템 (200) 은 개별적으로 사용되거나 또는 배출 도관들 (174,176) 및 이 배출 도관들 (174,176) 과 연관된 압력들과 함께 사용되어서, 비활성 가스 (182) 의 캐비티 (150) 내로의 그리고 기판 (190) 위에서 그리고 기판 상으로의 벌크 유동 (bulk flow) 을 방지할 수 있다. 또한, 캐비티 (150) 를 격리시키도록 비활성 가스 (182) 를 좁은 갭 (240) 내로 유동시키는 유동 레이트는 배출구들 (174) 에 의해서 생성되는 압력에 기초하여서 조절될 수 있다. 예시적인 실시예에 따라서, 예를 들면, 비활성 가스 또는 시일 가스 (182) 가 약 100 cc/분 내지 약 5.0 slm (standard liters per minute) 레이트로 외측 배관 (204) 을 통해서 공급될 수 있으며, 이 유동 레이트는 캐비티 (150) 를 격리시키는데 사용될 수 있다.
예시적인 실시예에 따라서, 하나 이상의 캐비티들 (250) 이 캐비티 (150) 를 둘러싸는 페데스탈 모듈 (140) 의 외측 부분 내에 위치할 수 있다. 하나 이상의 캐비티들 (250) 은 좁은 갭 (240) 및 하부 유출구 (228) 와 유체로 연통하며 이로써 캐비티 (150) 로부터 비활성 가스 피드 (180) 로의 압력 강하가 더해질 수 있다. 하나 이상의 캐비티들 (250) (또는 환상 채널) 은 또한 예를 들어서 질화물 ALD 프로세스 동안에 다양한 프로세스들 및 압력 범위에 걸쳐서 실링을 가능하게 하는 추가 제어 메카니즘을 제공할 수 있다. 예시적인 실시예에 따라서, 하나 이상의 캐비티들 (250) 은 증착 챔버 (120) 에 걸쳐서 균등하게 이격될 수 있다. 예시적인 실시예에서, 하나 이상의 캐비티들 (250) 은 하부 유출구 (228) 보다 큰 폭을 가지며 동심인 환상 채널이다.
도 4는 가스 기반 실링 시스템 (gas based sealing system) (200) 을 갖는 화학적 증착 장치 (100) 의 증착 챔버 (120) 의 일부의 단면도이다. 도 4에 도시된 바와 같이, 반응기 화학물질 (192) 의 유동 레이트가 비활성 가스 (182) 의 유동 레이트와 같거나 그보다 크면, 반응기 화학물질 (192) 의 유동은 캐비티 (150) 외측으로 확장되는데, 이는 바람직하지 않을 수 있다.
도 4에 도시된 바와 같이, 환상 배기 통로 (176) 가 복수의 배기 도관들 (170) 중 하나 이상에 유체적으로 연통된다. 환상 배기 통로 (176) 는 기판 페데스탈 (140) 아래로부터 그리고 기판 (190) 의 주변부를 둘러싸는 존으로부터 비활성 가스 (182) 를 제거하도록 구성된다. 예시적인 실시예에 따라서, 환상 배기 통로 (176) 는 하나 이상의 유출구들 (미도시) 을 가지며 기판 (190) 의 주변부를 둘러싸는 존으로부터 비활성 가스 (182) 를 제거하고 방사상 내측으로 좁은 갭 (240) 을 통하여서 유동 또는 확산하는 비활성 가스 (182) 를 제거하도록 구성된다.
도 5는 예시적인 실시예에 따른 가스 기반 실링 시스템 (gas based sealing system) (200) 을 갖는 화학적 증착 장치 (100) 의 증착 챔버 (120) 의 일부의 단면도이다. 캐비티 (150) 외측으로부터의 비활성 가스 (182) 의 유동이 반응기 화학물질 (192) 의 유동 레이트를 저감시키고/시키거나 비활성 가스 (182) 의 유동 레이트를 증가시킴으로써 생성될 수 있다. 예시적인 실시예에 따라서, 외측 배관 (204) 으로부터의 비활성 가스 (182) 는 캐비티 (150) 내로 유동할 것이며 샤워헤드 모듈 (130) 내의 하나 이상의 배출구들 (174) 을 통해서 제거될 수 있다.
도 6는 예시적인 실시예에 따른 가스 기반 실링 시스템 (gas based sealing system) (300) 을 갖는 화학적 증착 장치 (100) 의 증착 챔버 (120) 의 일부의 단면도이다. 예시적인 실시예에 따라서, 샤워헤드 모듈 (130) 의 중앙 배관 (202) 은 반응기 화학물질 (192) 을 캐비티 (150) 내로 전달하는 복수의 유입구들 또는 관통-구멍들 (138) 을 포함한다. 또한, 캐비티 (150) 는 이 캐비티 (150) 로부터 반응기 화학물질 (192) 및 비활성 가스 (182) 를 제거하는 동심 도관들 또는 배출구들 (174) 을 포함한다. 동심 도관들 또는 배출구들 (174) 은 중간 배관 (208) 과 유체로 연통할 수 있다. 중간 배관 (208) 은 복수의 배기 도관들 (170) 중 하나 이상과 유체적으로 연통할 수 있다.
샤워헤드 모듈 (130) 은 또한 대면플레이트 (136) 의 외연 (137) 에 걸쳐서 비활성 가스 (182) 를 전달하도록 구성된 수직 가스 통로 (370) 를 포함할 수 있다. 예시적인 실시예에 따라서, 외측 배관 (206) 은 대면플레이트 (136) 의 외연 (137) 과 격리 링 (214) 의 내연 또는 내측 에지 (212) 간에서 형성될 수 있다.
도 6에 도시된 바와 같이, 시스템 (300) 은 백킹플레이트 (139) 의 외측 부분 (320) 및 상부 플레이트 (310) 내의 내측 채널 (360) 내에 형성된 수직 가스 통로 (370) 를 포함한다. 수직 가스 통로 (370) 는 비활성 가스 소스 또는 피드 (180) 로부터 비활성 가스 (182) 를 수용하도록 구성된 하나 이상의 도관들 (312, 322) 을 포함한다. 예시적인 실시예에 따라서, 비활성 가스 (182) 는 상부 플레이트 (312) 및 백킹플레이트 (139) 의 외측 부분 (320) 을 통해서 하나 이상의 도관들 (312,322) 을 경유하여서 하나 이상의 리세스들 및/또는 채널들 (330, 340, 350) 으로 그리고 반응기 또는 캐비티 (150) 의 외측 에지로 유동한다.
예시적인 실시예에 따라서, 하나 이상의 도관들 (312) 은 상부 환상 리세스 (314) 및 하부 환상 리세스 (316) 를 포함할 수 있다. 예시적인 실시예에 따라서, 상부 환상 리세스 (314) 는 하부 환상 리세스 (316) 보다 큰 폭을 갖는다. 또한, 하나 이상의 도관들 (322) 은 상부 플레이트 (310) 및 백킹플레이트 (139) 의 외측 부분 (320) 내에 있을 수 있다. 하나 이상의 도관들 (322) 은 상부 플레이트 (310) 상의 유출구 (318) 와 유체로 연통하는 유입구 (326) 및 좁은 갭 (240) 과 유체로 연통하는 유출구 (328) 를 갖는 환형 리세스를 형성할 수 있다. 예시적인 실시예에 따라서, 하부 격리 링 (320) 내의 유출구 (328) 는 하나 이상의 리세스들 및/또는 채널들 (330, 340, 350) 과 유체로 연통할 수 있으며, 하나 이상의 리세스들 및/또는 채널들 (330, 340, 350) 은 비활성 가스 (182) 의 유동을 샤워헤드 모듈 (130) 의 대면플레이트 (136) 의 외연에 걸쳐서 좁은 갭 (240) 의 외측 에지 (243) 로 가이드한다.
예시적인 실시예에 따라서, 비활성 가스 (182) 는 수직 가스 통로 (370) 를 통해서 외측 배관 (126) 으로 공급되고 그리고 방사상 내측으로 그리고 적어도 부분적으로 좁은 갭 (240) 을 통해서 캐비티 (150) 로 향하게 된다. 하나 이상의 리세스들 및/또는 채널들 (330, 340, 350) 을 통해서 흐르는 비활성 가스 (182) 의 유동 레이트는 Peclet 수 (number) 가 약 1.0보다 크게 되어서 캐비티 (150) 내에서 반응기 화학물질들 (192) 을 한정하도록 될 수 있다. 예시적인 실시예에 따라서, Peclet 수 (number) 가 약 1.0보다 크면, 비활성 가스 (182) 및 반응기 화학물질들 (192) 은 좁은 갭 (240) 의 내측 부분 (242) 내에서 평형을 확립할 수 있으며, 이로써 반응기 화학물질들 (192) 이 기판 페데스탈 (140) 아래로 흘러서 캐비티 (150) 외측에 있는 증착 챔버 (120) 의 부분들을 오염시키는 것을 방지한다. 예시적인 실시예에 따라서, 캐비티 (150) 내로 반응기 화학물질들 (192) 유동을 한정함으로써, 시스템 (300) 은 반응기 화학물질 (192) 사용량을 줄일 수 있다. 또한, 시스템 (300) 은 프로세싱 동안에 반응기 화학물질 (192) 로 캐비티 (150) 를 충진하는 시간을 줄일 수 있다.
도 7은 예시적인 실시예에 따른 가스 기반 실링 시스템 (gas based sealing system) (400) 의 개략도이다. 도 7에 도시된 바와 같이, 시스템 (400) 은 각기 비활성 또는 시일 가스 (182) 및 프로세스 가스 (192) 를 캐비티 (150) 로 전달하도록 구성된 비활성 또는 시일 가스 소스 (180) 및 프로세스 가스 소스 (190) 를 포함한다. 시스템 (400) 은 또한 각기 웨이퍼-캐비티 또는 캐비티 압력 (414) 및 하부 챔버 압력 (416) 을 제어하는, 웨이퍼-캐비티 또는 캐비티 압력 밸브 (410) 및 하부 챔버 압력 밸브 (412) 를 포함할 수 있다.
도 8은 예시적인 실시예에 따른 가스 기반 실링 시스템 (gas based sealing system) (400) 에 대한 압력 및 밸브 각 (valve angle) 대 시간을 나타내는 차트 (500) 이다. 예시적인 실시예에 따라서, 도 8에 도시된 바와 같이, 프로세스 가스 (192) 가 헬륨의 형태로 0 내지 약 20 SLM (standard liters per minute) 의 유동 레이트로 캐비티 (150) 로 전달되었다. 비활성 또는 시일 가스 (182) 가 질소 가스 형태로 약 2 SLM 유동 레이트로 캐비티 (150) 로 전달되었다. 예시적인 실시예에 따라서, 캐비티 압력 (414) 및 하부 챔버 압력 (416) 은 대략 10 Torr이었다. 도 8에 도시된 바와 같이, 약 20 SLM에 달하는 헬륨 가스 (192) 및 2 SLM의 질소 가스 (182) 의 동작 조건들에서, 헬륨 가스 (192) 는 잔류 가스 분석기 측정에 의해서 증명된 바와 같이 퍼지 채널 (또는 좁은 갭 (240)) 을 통해서 누설되지 않았다.
또한, 프로세싱 장치 내에서 반도체 기판들을 프로세싱하기 위한 방법이 본 명세서에서 기술된다. 이 방법은 프로세스 가스 소스로부터 프로세스 가스를 증착 챔버 내로 공급하는 단계 및 플라즈마 프로세싱 챔버 내에서 반도체 기판을 프로세싱하는 단계를 포함한다. 이 방법은 바람직하게는 반도체 기판을 플라즈마 프로세싱하는 단계를 포함하며, 이 단계에서 RF 에너지가 RF 생성기를 사용하여서 프로세스 가스에 인가되며 이로써 증착 챔버 내에서 플라즈마를 생성한다.
용어 "약" 또는 "대략"이 수치 값과 관련하여서 본 명세서에서 사용되는 경우에, 해당 수치 값은 기재된 수치 값 주변의 ± 10 % 편차를 포함함을 의도한다.
또한, 용어들 "대체적으로", "상대적으로" 및 "실질적으로"이 기하학적 형상들과 관련하여서 사용되는 경우에, 해당 기하학적 형상의 정밀성이 요구되기보다는, 해당 형상에 대한 허용범위 (latitude) 가 본 개시의 범위 내에 있음이 의도된다. 용어들 "대체적으로", "상대적으로" 및 "실질적으로"이 기하학적 용어들과 함께 사용되는 경우에, 이 용어들은 그 용어에 대한 엄격한 정의를 만족하는 특징부들뿐만 아니라 이 엄격한 정의와 매우 근사한 특징부들도 포함함을 의도한다.
등온 증착 챔버를 포함하는 플라즈마 프로세싱 장치가 본 발명의 특정 실시예들을 참조하여서 세부적으로 기술되었지만, 다양한 수정 및 변경이 첨부된 청구항들의 범위 내에서 가능하고 그 균등 사항들도 가능함은 본 기술 분야의 당업자에게 자명하다.

Claims (23)

  1. 화학적 증착 장치 내의 프로세싱 존 (processing zone) 을 실링 (sealing) 하기 위한 시스템으로서,
    화학적 격리 챔버 내에 형성된 증착 챔버를 갖는 상기 화학적 격리 챔버;
    대면플레이트 (faceplate) 및 백킹플레이트 (backing plate) 를 갖는 샤워헤드 모듈로서, 상기 샤워헤드 모듈은 반도체 기판들을 프로세싱하기 위해서 반응기 화학물질들을 캐비티 (cavity) 로 전달하는 복수의 유입구들 및 상기 캐비티로부터 반응기 화학물질들 및 비활성 가스들을 제거하는 배출구들 (exhaust outlets) 및 비활성 가스를 전달하도록 구성된 외측 배관 (outer plenum) 을 포함하는, 상기 샤워헤드 모듈;
    기판을 지지하도록 구성된 페데스탈 모듈 (pedestal module) 로서, 상기 페데스탈 모듈은 상기 페데스탈 모듈과 상기 대면플레이트의 외측 부분에 둘러있는 단차부 간의 좁은 갭 (narrow gap) 을 형성하면서 상기 캐비티를 폐쇄하도록 수직으로 이동하는, 상기 페데스탈 모듈;
    비활성 시일 가스 (inert seal gas) 를 상기 외측 배관 내로 공급하도록 구성된 비활성 시일 가스 피드 (feed); 및
    상기 페데스탈 모듈의 외측 부분 내에 형성되는 환상 배기 통로 (annular evacuation passage) 로서, 상기 환상 배기 통로는 상기 페데스탈 모듈의 상부 표면 상의 상기 기판의 주변부 (periphery) 를 둘러싸는 존으로부터 그리고 상기 좁은 갭을 통해서 방사상 내측으로 흐르는 비활성 시일 가스를 제거하는, 상기 환상 배기 통로를 포함하고,
    상기 비활성 시일 가스는 상기 페데스탈 모듈 및 상기 단차부 사이에 가스 시일 (gas seal) 을 형성하도록 적어도 부분적으로 상기 대면플레이트의 상기 단차부 아래 상기 좁은 갭을 통해서 방사상 내측으로 흐르는, 프로세싱 존 실링 시스템.
  2. 삭제
  3. 삭제
  4. 제 1 항에 있어서,
    상기 페데스탈 모듈의 상부 표면 상의 반도체 기판을 포함하는, 프로세싱 존 실링 시스템.
  5. 제 1 항에 있어서,
    상기 외측 배관은 상기 대면플레이트의 외연 (outer periphery) 과 격리 링의 내연 (inner periphery) 간에 형성된, 프로세싱 존 실링 시스템.
  6. 제 5 항에 있어서,
    상기 외측 배관은 환상 도관인, 프로세싱 존 실링 시스템.
  7. 제 1 항에 있어서,
    상기 좁은 갭은 상기 캐비티의 외측 에지로부터 상기 대면플레이트의 외측 에지까지의 약 5.0 mm 내지 25.0 mm의 폭을 갖는, 프로세싱 존 실링 시스템.
  8. 제 1 항에 있어서,
    상기 배출구들은 상기 복수의 유입구들을 둘러싸는, 프로세싱 존 실링 시스템.
  9. 제 1 항에 있어서,
    상기 비활성 시일 가스는 질소 가스 또는 아르곤 가스인, 프로세싱 존 실링 시스템.
  10. 제 1 항에 있어서,
    상기 환상 배기 통로와 유체로 연통하는 적어도 하나의 배기 도관; 및
    상기 적어도 하나의 배기 도관과 유체로 연통하는 배기 장치를 포함하는, 프로세싱 존 실링 시스템.
  11. 제 1 항에 있어서,
    중간 배관 (intermediate plenum) 과 유체로 연통하는 적어도 하나의 배기 도관; 및
    복수의 배기 도관들과 유체로 연통하는 배기 장치를 포함하는, 프로세싱 존 실링 시스템.
  12. 제 1 항에 있어서,
    상기 페데스탈 모듈 내에 위치한 하나 이상의 캐비티들을 포함하며,
    상기 하나 이상의 캐비티들은 상기 외측 배관과 유체로 연통하도록 구성된, 프로세싱 존 실링 시스템.
  13. 제 12 항에 있어서,
    상기 페데스탈 모듈 내의 상기 하나 이상의 캐비티들은 환상 채널인, 프로세싱 존 실링 시스템.
  14. 제 1 항에 있어서,
    상기 대면플레이트의 외측 부분에 둘러있는 상기 단차부는 개별 링인, 프로세싱 존 실링 시스템.
  15. 반도체 기판들을 프로세싱하기 위해서 반응기 화학물질들이 캐비티 (cavity)로부터 누출되는 것을 방지하는 방법으로서,
    화학적 증착 장치의 캐비티 내에서 기판을 프로세싱하는 단계로서, 상기 캐비티는 샤워헤드 모듈과 상기 기판을 수용하도록 구성된 페데스탈 모듈 간에서 형성되며, 대면플레이트 (faceplate) 및 백킹플레이트 (backing plate) 를 갖는, 상기 샤워헤드 모듈은 반응기 화학물질들을 상기 캐비티 (cavity) 로 전달하는 복수의 유입구들, 상기 캐비티로부터 반응기 화학물질들 및 비활성 가스들을 제거하는 배출구들 (exhaust outlets) 및 비활성 가스를 전달하도록 구성된 외측 배관 (outer plenum) 을 포함하고, 상기 페데스탈 모듈은 상기 기판을 지지하도록 구성되고, 상기 페데스탈 모듈과 상기 대면플레이트의 외측 부분에 둘러있는 단차부 간의 좁은 갭 (narrow gap) 을 형성하면서 상기 캐비티를 폐쇄하도록 수직으로 이동하는, 상기 기판을 프로세싱하는 단계;
    상기 외측 배관 내로 비활성 시일 가스 (inert seal gas) 를 공급하는 단계;
    상기 페데스탈 모듈 및 상기 단차부 사이에 가스 시일 (gas seal) 을 형성하도록 상기 비활성 시일 가스를 적어도 부분적으로 상기 대면플레이트의 상기 단차부 아래 상기 좁은 갭을 통해 방사상 내측으로 흘리는 단계;
    상기 좁은 갭을 통해 상기 캐비티 내로의 상기 비활성 시일 가스의 유동 레이트 (flow rate) 를 증가시킴으로써 반응기 화학물질들의 상기 캐비티를 퍼지하는 (purge) 단계;
    상기 샤워헤드 모듈의 동심 배출구들에 유체로 연결된 배기 장치를 사용하여서 상기 캐비티로부터 상기 반응기 화학물질들을 배기시키는 단계; 및
    상기 페데스탈 모듈 상의 상기 기판의 주변부를 둘러싸는 존으로부터 상기 배기 장치와 유체로 연통하는 배기 통로를 통해서 상기 비활성 시일 가스를 제거하는 단계로서, 상기 배기 통로는 상기 페데스탈 모듈의 외측 부분 내에 형성되는, 상기 비활성 시일 가스를 제거하는 단계를 포함하는, 반응기 화학물질 누출 방지 방법.
  16. 삭제
  17. 삭제
  18. 제 15 항에 있어서,
    상기 비활성 시일 가스를 상기 좁은 갭 내로 약 1.0보다 큰 Peclet 수 (number) 로 흘리는 단계를 포함하는, 반응기 화학물질 누출 방지 방법.
  19. 제 15 항에 있어서,
    프로세스들 중 적어도 하나를 통해서 기판 상에 층을 증착하는 단계를 포함하며,
    상기 프로세스들은 화학 기상 증착 (chemical vapor deposition), 플라즈마 강화된 화학 기상 증착 (plasma enhanced chemical vapor deposition), 원자 층 증착 (atomic layer deposition), 플라즈마 강화된 원자 층 증착 (plasma enhanced atomic layer deposition), 펄스형 층 증착 (pulsed layer deposition), 및/또는 플라즈마 강화된 펄스형 증착을 포함하는, 반응기 화학물질 누출 방지 방법.
  20. 제 15 항에 있어서,
    상기 비활성 시일 가스를 상기 좁은 갭 내로 약 100 cc/분 내지 약 5.0 slm (standard liters per minute) 로 공급하는 단계를 포함하는, 반응기 화학물질 누출 방지 방법.
  21. 제 15 항에 있어서,
    상기 복수의 유입구들을 둘러싸는 상기 배출구들에 의해서 생성되는 압력에 기초하여서 상기 비활성 시일 가스의 상기 좁은 갭 내로의 유동 레이트를 조절하는 단계를 포함하는, 반응기 화학물질 누출 방지 방법.
  22. 제 15 항에 있어서,
    상기 캐비티 외측에 위치한, 상기 화학적 증착 장치의 격리 챔버의 내측 부분 내의 압력을 조절하는 단계를 포함하며,
    상기 압력을 조절하는 단계는 상기 캐비티 내로의 상기 비활성 시일 가스의 최소화된 확산으로 실링이 가능하게 되도록 캐비티 압력 변화 및 프로세스 가스 유동 레이트 변화와 병행하여 (in tandem with) 이루어지는, 반응기 화학물질 누출 방지 방법.
  23. 제 15 항에 있어서,
    상기 캐비티 내로의 상기 비활성 가스의 낮은 확산으로 실링이 가능하게 되도록 상기 비활성 시일 가스의 유동 레이트를 조절하는 단계를 포함하는, 반응기 화학물질 누출 방지 방법.
KR1020140080669A 2013-06-28 2014-06-30 가스 시일을 갖는 화학적 증착 챔버 KR102263328B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/930,289 US20150004798A1 (en) 2013-06-28 2013-06-28 Chemical deposition chamber having gas seal
US13/930,289 2013-06-28

Publications (2)

Publication Number Publication Date
KR20150002543A KR20150002543A (ko) 2015-01-07
KR102263328B1 true KR102263328B1 (ko) 2021-06-10

Family

ID=52116002

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140080669A KR102263328B1 (ko) 2013-06-28 2014-06-30 가스 시일을 갖는 화학적 증착 챔버

Country Status (5)

Country Link
US (1) US20150004798A1 (ko)
JP (1) JP2015010281A (ko)
KR (1) KR102263328B1 (ko)
CN (1) CN104250728B (ko)
TW (1) TW201514337A (ko)

Families Citing this family (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
JP2015069987A (ja) * 2013-09-26 2015-04-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
US9290843B2 (en) * 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10177024B2 (en) * 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWI725067B (zh) * 2015-10-28 2021-04-21 美商應用材料股份有限公司 可旋轉靜電夾盤
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI677593B (zh) * 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10087523B2 (en) 2016-05-20 2018-10-02 Lam Research Corporation Vapor delivery method and apparatus for solid and liquid precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
CN107552258B (zh) * 2016-07-01 2019-06-07 江苏鲁汶仪器有限公司 气体喷射装置
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10128116B2 (en) 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20180071960A (ko) * 2016-12-20 2018-06-28 램 리써치 코포레이션 가스 시일링을 갖는 화학적 증착 챔버
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) * 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872804B2 (en) * 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) * 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
KR20230148393A (ko) 2018-07-27 2023-10-24 어플라이드 머티어리얼스, 인코포레이티드 열 증착을 위한 가스 분배 판
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN112703576A (zh) * 2018-09-12 2021-04-23 朗姆研究公司 用于测量颗粒的方法和设备
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20220004974A (ko) * 2019-03-22 2022-01-12 데스크탑 메탈, 인크. 적층 제조를 위한 제어형 환경
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN111501025B (zh) * 2020-04-23 2022-05-27 北京北方华创微电子装备有限公司 沉积设备
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2021257773A1 (en) * 2020-06-17 2021-12-23 Applied Materials, Inc. High temperature chemical vapor deposition lid
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4078292A4 (en) 2020-07-07 2023-11-22 Lam Research Corporation INTEGRATED DRY PROCESSES FOR PHOTORESIN PATTERNING BY RADIATION
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111876752A (zh) * 2020-08-03 2020-11-03 中国科学院长春光学精密机械与物理研究所 一种mocvd装置及半导体材料生产设备
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114855146A (zh) * 2022-04-26 2022-08-05 江苏微导纳米科技股份有限公司 半导体设备及反应腔
CN114937632A (zh) * 2022-07-25 2022-08-23 华海清科股份有限公司 一种应用于晶圆处理的双向气封结构和晶圆处理装置
CN116875961A (zh) * 2023-09-01 2023-10-13 上海陛通半导体能源科技股份有限公司 原子层沉积设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060137608A1 (en) 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
US20070031600A1 (en) 2005-08-02 2007-02-08 Devitt Andrew J Method and a device for depositing a film of material or otherwise processing or inspecting, a substrate as it passes through a vacuum environment guided by a plurality of opposing and balanced air bearing lands and sealed by differentially pumped groves and sealing lands in a non-contact manner

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
KR101272321B1 (ko) * 2005-05-09 2013-06-07 한국에이에스엠지니텍 주식회사 복수의 기체 유입구를 가지는 원자층 증착 장치의 반응기
SG10201501824XA (en) * 2010-03-12 2015-05-28 Applied Materials Inc Atomic layer deposition chamber with multi inject

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060137608A1 (en) 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
US20070031600A1 (en) 2005-08-02 2007-02-08 Devitt Andrew J Method and a device for depositing a film of material or otherwise processing or inspecting, a substrate as it passes through a vacuum environment guided by a plurality of opposing and balanced air bearing lands and sealed by differentially pumped groves and sealing lands in a non-contact manner

Also Published As

Publication number Publication date
TW201514337A (zh) 2015-04-16
US20150004798A1 (en) 2015-01-01
CN104250728A (zh) 2014-12-31
JP2015010281A (ja) 2015-01-19
CN104250728B (zh) 2020-10-02
KR20150002543A (ko) 2015-01-07

Similar Documents

Publication Publication Date Title
KR102263328B1 (ko) 가스 시일을 갖는 화학적 증착 챔버
KR102358027B1 (ko) 컨덕턴스 제어를 갖는 화학적 증착 장치
US10781516B2 (en) Chemical deposition chamber having gas seal
KR102653444B1 (ko) 고온 기판 페데스탈 모듈 및 이의 컴포넌트들
KR102640272B1 (ko) 원자 층 증착 챔버들을 위한 덮개들 및 덮개 키트들
KR102641003B1 (ko) 백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법
KR20230151975A (ko) 가스 시일링을 갖는 화학적 증착 챔버
US9783889B2 (en) Apparatus for variable substrate temperature control
US20220228263A1 (en) Independently adjustable flowpath conductance in multi-station semiconductor processing
JP2009503875A (ja) ガスマニホルドバルブクラスタ
US11236424B2 (en) Process kit for improving edge film thickness uniformity on a substrate
US20190338420A1 (en) Pressure skew system for controlling center-to-edge pressure change
KR20210128017A (ko) 독립적으로 조정 가능한 페데스탈들을 사용한 멀티-스테이션 반도체 프로세싱
JP2020132942A (ja) 成膜装置および成膜方法
US11955333B2 (en) Methods and apparatus for processing a substrate
KR20230159859A (ko) 기판을 프로세싱하기 위한 방법들 및 장치
WO2024076479A1 (en) Adjustable pedestal

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant