JP2009503875A - ガスマニホルドバルブクラスタ - Google Patents

ガスマニホルドバルブクラスタ Download PDF

Info

Publication number
JP2009503875A
JP2009503875A JP2008524283A JP2008524283A JP2009503875A JP 2009503875 A JP2009503875 A JP 2009503875A JP 2008524283 A JP2008524283 A JP 2008524283A JP 2008524283 A JP2008524283 A JP 2008524283A JP 2009503875 A JP2009503875 A JP 2009503875A
Authority
JP
Japan
Prior art keywords
gas
baffle ring
wafer
deposition apparatus
manifold valve
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008524283A
Other languages
English (en)
Inventor
クレイグ バーコー
ダン コセンタイン
ジャック チーチーエ ヤオ
トミー ロー
ジェイ ブライアン ディドントニー
ローレンス ディー バーソロミュー
ザ サード ロバート フッド チャタム
Original Assignee
アヴィザ テクノロジー インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アヴィザ テクノロジー インコーポレイテッド filed Critical アヴィザ テクノロジー インコーポレイテッド
Publication of JP2009503875A publication Critical patent/JP2009503875A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本発明は、総括的には半導体処理用の堆積装置に関する。より具体的には、本発明の実施形態は、ガスマニホルドバルブクラスタ及び堆積装置に関する。本発明の一部の実施形態では、洗浄中に大気に曝露されることになるガスラインの長さ及び容積の縮小を可能にし、プロセスチャンバの保守を行うのに必要な時間を最少にすることでプロセスチャンバの生産性を高める、ガスマニホルドバルブクラスタ及びシステムが提供される。他の実施形態では、ガスマニホルドバルブクラスタ及びALD堆積装置が提供される。
【選択図】図1

Description

(関連出願に対する相互参照)
本出願は、2005年7月29日に出願された米国仮特許出願第60/703,711号、2005年7月29日に出願された第60/703,717号、及び2005年7月29日に出願された第60/703,723号の利益及びこれらに対する優先権を主張し、これらの全ての開示事項全体は引用により本明細書に組み込まれる。本出願は、本明細書の同時出願の代理人整理番号186440/US/2/MSSに対応する同時係属の米国特許出願番号に関連し、その全ての開示事項全体は引用により本明細書に組み込まれる。
本発明は、総括的には半導体処理用の堆積装置に関する。より具体的には、本発明は、半導体基板上に薄膜を形成する種々のプロセス法を実施するのに有用なガスマニホルドバルブクラスタ及び堆積装置に関する。
半導体デバイスの製造には、半導体ウエーハを作業装置の総体に変換するために多くのステップを必要とする。プロセスステップの多くは、一度に1つの基板上で実施されるように適合された方法を含む。これらは、単一ウエーハプロセスとして公知である。これらの方法を実施するのに使用されるプロセスチャンバは、単一ウエーハチャンバとして公知であり、複数の基板を同時に処理することができるバッチプロセスチャンバとは区別されなければならない。単一ウエーハプロセスチャンバは、クラスタツールに共にグループ化されることが多く、これにより幾つかの基板上で同じプロセス法を並行して同時に実施するか、又は同じクラスタツール内で幾つかのプロセス法を順次実施することのいずれかの可能性が許容される。
幾つかのプロセス法は、単一ウエーハプロセスチャンバ内で実施されるのに特に好適である。これらのプロセス法の実施例は、限定ではないが、化学蒸着法(CVD)、原子層堆積法(ALD)、物理蒸着法(PVD)、Epi法、エッチング法、灰化法、急速加熱処理(RTP)、スパイクアニールなどの短時間熱プロセス、及び同様のものを含む。これらの方法は、多くの場合、処理、特に熱処理を容易にするためのエネルギー源を含む。これらのエネルギー源の実施例は、熱、プラズマ、光子、及び同様のものを含む。これらの種々のタイプのプロセスチャンバの詳細な構成は、プロセス方法の要件及びプロセスステップの望ましい結果によって決定されることになる。
ドル/ウエーハ単位の維持費(COO)は、半導体処理装置を選定する上での主な検討事項である。COOの計算は極めて複雑である。入力変数の1つは装置の稼働時間である。稼働時間は、システム信頼性、手動洗浄間の時間、手動洗浄時間、再適格時間、及び同様のものなどの要因に依存する。上に述べたプロセス法の大部分は、高温及び低圧で実施され、種々の方法ステップ間で幾つかのガス状化学種の交換を必要とする。従って、プロセスチャンバ容積、プロセスチャンバ材料、エネルギー源の統合、ガス導入手段、排気手段、及び同様のものなどの詳細は、そのプロセス法の成功を決定付ける上で重要なことである。
原子層堆積法(ALD)により薄膜を堆積させるためのプロセスチャンバ設計を例として用いる。基板又はウエーハは通常、基板支持装置上に支持され、100℃〜600℃の範囲の温度まで加熱される。シャワーヘッド注入器のようなガス分配装置が基板の上方に置かれる。シャワーヘッド注入器は、ウエーハの表面全体にわたってガスを分配するための複数の孔を含む。水平プレート又はリングが基板支持装置の周りに配置され、反応容積の底部を大まかに定める。このような従来技術のシステムでは、この反応容積は比較的大きい。プレートは、通常は基板平面よりも下にあるプロセスチャンバの下側部分に見られる単一の排出ポートを通じてプロセスチャンバからガスを排気可能にする複数の孔を含むことができる。更に当該技術分野では、プレートをウエーハ搬送平面の下に位置付けるのが一般的である。この構成の1つの重大な欠点は、ウエーハが搬送されるスロットバルブ及びウエーハ搬送領域も反応ゾーンに曝露されることである。これは、材料、粒子、及び汚染物質のスロットバルブ領域での堆積を生じさせることになる。またこの欠点により、プラズマエネルギー源を使用するプロセス法におけるプラズマ場の非対称性が生じることになる。更に、このウエーハ搬送領域は、処理中に温度の不均一性を引き起こす。この領域は、黒体キャビティ作用を有する傾向があり、この領域に隣接するヒータ区域は、低温領域を生じさせ、従って、ウエーハの一様ではない加熱及び処理を引き起こす。
従って、公知のプロセスチャンバ設計には、幾つかの欠点がある。反応容積は、基板支持装置の直径で定義される円筒容積に対して過度に大きくなる傾向がある。このようなプロセスチャンバの壁は、付加的なポート、基板搬送開口、及び同様のものなどの要件に起因して対称ではないことが多い。熱、プラズマ、及び光子発生源などのエネルギー源からのパワーは、プロセスチャンバの壁に到達し、基板のすぐ上にある区域の外側でのプロセス法の動作を容易にする。これは、長い真空排気時間、過度の化学物質の使用、長いパージ時間、ADLプロセス法の長いサイクル時間、非対称なガス流、粒子の生成、プラズマプロセス法における非対称なプラズマ密度、プロセスチャンバ壁への材料堆積、プロセスチャンバ洗浄間の時間短縮及び同様のことのうちの1つ又はそれ以上を含む、望ましくない作用をもたらす。
プロセスチャンバは、定期的な洗浄及び保守のために開放する必要がある。保守期間の間はプロセスチャンバは生産性が無いので、この時間は高コストである。チャンバの開放に備える作業の1つは、プロセスチャンバが開いている間に外気に曝されることになるガスラインの一部から反応性ガスを除去しパージすることである。この作業は、「サイクル/パージ」手順を含み、該手順は、真空ポンプでガスラインを真空排気すること、次いで窒素などの不活性ガスをガスラインに流すことを交互に行う段階を含む。この手順は、各ガスラインについて何回も(通常20回又はそれ以上)繰り返す必要がある。
プロセスチャンバの保守期間の間にガスラインが大気に曝露されると、ガスラインの内面が大気中の水分から水の薄膜を吸収することになる。これは、窒素などの不活性ガスがメンテナンス手順全体にわたってガスラインに流される場合でも当てはまる。この水の薄膜は、保守手順が完了した後でガスラインに反応性ガスが再導入される前にガスラインの内面から除去しなければならない。水の薄膜は通常、上述の同じ「サイクル/パージ」手順によって除去される。サイクル/パージ手順の各部分の時間長、及びこれら手順の両方についてのサイクル/パージステップの数は、ガスラインの長さ及び容積に強く影響を受けることになる。
現在では、半導体デバイスの製造で使用される単一ウエーハプロセスチャンバに関して数多くの設計がある。これらの設計には幾つかの欠点がある。欠点の例には、ガスライン長が長いこと、ガスライン容積が大きいこと、反応ゾーン容積が大きいこと、ガス交換時間が遅いこと、プラズマ密度が非対称であること、プロセスチャンバのオーバーヘッド時間が長いこと、及び同様のもののいずれか1つ又はそれ以上が含まれる。
公知の堆積装置設計の多くの制限を考えると、半導体処理に好適な堆積装置及び構成要素の設計が更に進展することに対する必要性がある。
米国特許出願シリアル番号11/ (代理人整理番号186440/US/2/MSS)公報 米国特許第6,921,437号公報
本発明は、総括的には半導体処理用の堆積装置に関する。より具体的には、本発明の実施形態は、ガスマニホルドバルブクラスタ及び堆積装置に関する。本発明の一部の実施形態では、洗浄中に大気に曝露されることになるガスラインの長さ及び容積の縮小を可能にし、プロセスチャンバの保守を行うのに必要な時間を最少にすることでプロセスチャンバの生産性を高める、ガスマニホルドバルブクラスタ及びシステムが提供される。他の実施形態では、半導体処理中、特に原子層堆積(ALD)処理中にガスの迅速な作動を促進するガスマニホルドバルブクラスタ及びシステムが提供される。本発明の一部の実施形態では、ALDサイクルにおける化学前駆体のパルス時間が、従来技術のパルス時間と比較して最大50%まで短縮される。
本発明の実施形態は、限定ではないが、CVD、PVD、ALDなどのような薄膜堆積技法、エッチング、灰化法、洗浄、及び同様のものなど、半導体基板に対するプロセス法を実施するのに使用することができる。一部の実施形態では、ガスマニホルドバルブクラスタ及びシステムは、ガスのより効率的な使用、供給源における汚染の低減、均一なガス流パターンの促進、高速ガス交換の促進、及びより簡単なチャンバ洗浄のうちの1つ又はそれ以上を可能にする。
例示的な実施形態では、プロセスチャンバ内で基板を処理するための堆積装置が提供され、1つ又はそれ以上のガス供給源と、プロセスチャンバに近接し各ガス用のガスバルブを含むガスマニホルドバルブクラスタと、該ガスマニホルドバルブクラスタからガス分配装置に延びるチャンバリッドガス供給ラインとを備える。
一部の実施形態では、ウエーハを処理するための堆積装置が提供され、該堆積装置は、ウエーハを保持するためのウエーハ支持装置と、ロボット式搬送装置によってプロセスチャンバの壁の開口を通ってウエーハ支持装置上にウエーハが送られるウエーハ搬送領域と、該ウエーハの上に位置付けられたガス分配装置と、該装置内に形成されてウエーハ支持装置を囲み、複数の開口が形成され且つ排出領域から反応ゾーンを分離するように構成されたバッフルリングと、装置に近接し且つ外部に位置付けられたガスマニホルドバルブクラスタと、を備え、該ガスマニホルドバルブクラスタが、各ガスに対するガスバルブと、ガスマニホルドバルブクラスタから注入器に延びるチャンバリッドガス供給ラインとを含み、ウエーハ支持装置が、基板をウエーハ搬送領域及びプロセスチャンバ壁の開口の水平位置の上に上昇させるようにガス分配装置に向かって垂直方向に移動可能であり、ウエーハ支持装置が、バッフルリングと協働して縮小容積を有する反応ゾーンを定める。一部の実施形態では、ガスマニホルドバルブクラスタは、装置又はプロセスチャンバの外部に取り付けられる。一部の実施形態では、堆積装置は、チャンバリッド組立体を更に備え、ガスマニホルドバルブクラスタが、シールを含み且つリッドの開放を可能にするために該リッドから取り外し可能な接続ポイントによって、チャンバリッドガス供給ラインに結合される。
別の実施形態では、ウエーハを処理するためのALD堆積装置が提供され、該堆積装置は、ウエーハ支持装置を収容するためのプロセスチャンバと、ウエーハにガスを搬送するための注入器と、ウエーハ支持装置を囲むバッフルリングと、を備え、ウエーハ支持装置、注入器、及びバッフルリングは、ウエーハが処理される反応ゾーンを定め、該反応ゾーンは、ウエーハがプロセスチャンバの内外に移動される領域から分離されており、堆積装置は更に、該堆積装置に近接して外部に位置付けられたガスマニホルドバルブクラスタであって、各ガスに対してのガスバルブとガスマニホルドバルブクラスタから注入器に延びるチャンバリッドガス供給ラインとを含むガスマニホルドバルブクラスタと、バッフルリングを囲み且つバッフルリングに形成された開口と流体連通し、実質的に360度にわたって反応ゾーンからガスを排出するように構成されるガス排出プレナムと、を更に備える。
本発明のこれら及び他の種々の特徴並びに利点は、添付図面を参照しながら以下で詳述される説明及び以下で提供される添付の請求項を読めば理解されるであろう。
本発明は一般に、半導体処理用の堆積装置に関する。より具体的には、本発明の実施形態は、ガスマニホルドバルブクラスタ及び堆積装置に関する。図1は、本発明のガスマニホルドバルブクラスタ及び堆積装置の一実施形態の簡易断面図である。図2は、本発明のガスマニホルドバルブクラスタ及び堆積装置の実施形態の三次元組立分解図である。図6は、ガスマニホルドバルブクラスタ及び堆積装置の実施形態の平面図である。本発明の実施形態は、化学蒸着法(CVD)、原子層堆積法(ALD)、物理蒸着法(PVD)、Epi法、エッチング法、灰化法、急速加熱処理(RTP)、スパイクアニールなどの短時間熱プロセス、及び同様のものといった、広範なプロセス法に適用可能であることは当業者には理解されるであろう。
図1及び2を参照すると、基板(図示せず)は、プロセスチャンバ100内に支持される。プロセスチャンバ又はチャンバ本体100は一般に、ウエーハを支持するためのウエーハ支持装置(図示せず)と、処理のために基板にガスを送給する注入器などのガス分配装置102とを含む。プロセスチャンバ100は更に、チャンバリッドガスライン106が形成された、取り外し可能なチャンバリッド組立体101を含む。チャンバリッド組立体101は加熱することができる。プロセスチャンバに近接してガスマニホルドバルブクラスタ400が配置される。ガスマニホルドバルブクラスタ400は、1つ又はそれ以上の供給ライン及び関連するガス供給バルブ104を介して遠隔ガス供給源103に結合される。
特に有利には、ガスマニホルドバルブクラスタ400は、プロセスチャンバ100の近傍で且つ外部に位置付けられる。一部の実施形態では、ガスマニホルドバルブクラスタ400は、プロセスチャンバの裏面上など、プロセスチャンバ100に取り付けられる。
ガスは、プロセスチャンバ100に、及びガス分配装置102を通じて基板に導入される。ガス分配装置102は、単一の注入口、注入器、シャワーヘッド注入器、ガスリング、又は同様のものから構成することができる。ガス分配装置102には、実施される特定プロセス法の要件に応じて給電することができる。
1つ又はそれ以上のガスが遠隔のガス供給源103からプロセスチャンバ100に供給される。通常、ガス供給装置103の近傍又は内部に位置してガス供給バルブ104がある。簡単にするために、ガス供給装置103と関連のガス供給バルブ104の単一のペアだけが示されている。しかしながら、図3に示すガス概略図において例示されるように、プロセスチャンバに供給される各ガスについて同様の構成がある。ガスは、ガス供給バルブ104からガス供給ライン107を通じてガスマニホルドバルブクラスタ400に送られる。ガスマニホルドバルブクラスタ400は、複数のバルブ402(図2)を含み、その各々がガス供給バルブに専用のものである。ガスマニホルドバルブクラスタ400は、チャンバ100に密接に近接して位置し、ガス分配装置102からバルブまでの配管長さが実質的に短縮される。
一部の実施形態では、ガスマニホルドバルブクラスタ400は、接続ポイント108でチャンバリッドガスライン106と連通する。接続ポイント108は、O−リングシール又は他の適切なシール手段を含み、チャンバリッド組立体101の開放を可能にするように構成される。これにより保守の容易さがより促進される。
一部の実施形態では、不相溶性のガス混合物が利用される場合がある。このような事例では、図6に示すように2つの分離されたバルブクラスタ400a及び400bを使用することができる。この実施形態では、チャンバガスライン106は、ガス送給装置102内の2つの別個のガス経路供給網に独立してガスを送給する2つの別個のガス送給ラインを含む。分離されたバルブクラスタ400a及び400bは、別個の関連接続ポイントを介してチャンバガスライン106に結合される。
処理中、ガスマニホルドバルブクラスタ400が作動され、ポイント108及びチャンバリッドガスライン106を介して注入器102に所望のガスを送給する。有利には、処理中に循環/パージされなければならないガスラインの長さが最小にされる。更に、保守については、チャンバリッドガスライン106は、ほとんどは堆積及び蓄積によって影響を受けるので、本発明に従って容易に洗浄及びサービスすることができる。一部の実施形態では、チャンバリッドガスライン106は、ガス送給システムにおいて「低温スポット」を防ぐために極めて均一な加熱を生じる材料で製造される。これは、蒸気圧が低いガスに対して特に有利である。加えて、保守が完了するのを待機する間に、ガス供給ライン107内部に新鮮な反応ガスを供給することができる。これは、プロセスチャンバに対し保守を行うのに必要な時間を更に短縮し、全体のシステムの生産性を向上させる。
図4及び図5を参照すると、本発明の別の実施形態が示されている。一般に、堆積装置は、容積を密閉するプロセスチャンバ100を含み、更に、プロセスチャンバにガスを送るためにチャンバリッドガスライン106及び接続ポイント108を介してガスマニホルドバルブクラスタ400に結合されたガス分配装置102と、処理のためにウエーハ又は基板114を支持するように適合されたウエーハ支持装置113と、ウエーハ支持装置103を囲むバッフルリング200とを含み、これらは共に反応ゾーン又は容積208を形成する。一部の実施形態では、堆積装置は、本出願と同時に出願された米国特許出願シリアル番号11/ (代理人整理番号186440/US/2/MSS)においてより詳細に説明されており、その開示事項全体は引用により本明細書に組み込まれる。
通常、ロボット式搬送装置(図示せず)は、プロセスチャンバ本体100の壁を貫通するスロットバルブ112を通ってウエーハ搬送領域110中にウエーハを移動させる。ウエーハは、ウエーハ支持装置114又はウエーハ支持装置113を通って突出するピン上に配置される。プロセスチャンバ100は、排出ポート220を介して真空ポンプ(図示せず)によって排出される。
ガスは、ガス分配装置102を介してプロセスチャンバ100に導入される。ガス分配装置102は、あらゆる好適なガス送給装置から構成することができ、例えば、単一の注入口、1つ又はそれ以上の注入器、シャワーヘッド注入器、ガスリング、又は同様のものから構成することができる。ガス分配装置102には、実施される特定のプロセス法の要件に応じて給電することができる。例示的な実施形態では、ガス分配装置102は、シャワーヘッド形注入器から構成され、注入器のガス送給面にわたって離間して配置された複数の注入器ポート又はオリフィス116を含む。別の実施形態では、ガス分配組立体102は、本明細書に引用によりその全体が組み込まれる米国特許第6,921,437号に記載された注入器から構成され、該注入器により、独立したガス分配網を介して反応ゾーン208に2つのガスの送給が可能になる。
ガスは、ガスを迅速に送給し作動させるためにガスマニホルドバルブクラスタ400に結合されたチャンバリッドガスライン106によってガス分配装置102に送られる。
ウエーハ支持装置113は、処理中にウエーハ114を支持するように構成される。ウエーハ支持装置113は一般に、ウエーハ114を受けて固定するポケットが形成された上面を含む。リフトピンを受けるためにウエーハ支持装置113にリフトピンガイドを形成することができる。リフトピンは通常、ウエーハ搬送ロボット(図示せず)からウエーハを受けるようにウエーハ支持装置の表面の上方に延びて、次いで後退させて、ウエーハが処理のためにウエーハ支持装置113の表面に形成されたポケット内に着座されるようになる。リフトピンは、独立した動きをするように構成することができる。或いは、リフトピンは固定することができ、ウエーハ支持装置113が垂直方向に移動することによって延伸及び後退される。
ウエーハ支持装置113は、支持装置の本体内に形成されたヒータ要素及び/又は冷却通路(図示せず)を介して加熱及び/又は冷却することができる。一部の実施形態では、ウエーハ支持装置113は、ステージヒータから構成することができる。他の実施形態では、ウエーハ支持装置113は、静電チャックから構成することができ、実施される特定のプロセス法の要件に応じて接地又は給電することができる。プラズマソース、放射熱ランプ、UV源、及び同様のものなどの他のエネルギー源を設けることができ、このような他のエネルギー源は、プロセスチャンバ100内の好適な位置に配置することができる。
一部の実施形態では、ウエーハ支持装置113は、z−軸方向に移動するように適合されたシャフト組立体によって支持される。シャフト組立体はまた、必要に応じて基板支持装置113に回転を加えることができる。例示的な実施形態では、シャフト組立体は一般に、ウエーハ支持装置113に結合されたシャフト115から構成され、シールされた可撓性べローズ120及び垂直移動カプラ121によって作動される。シャフト組立体の1つの特定の実施形態が示されているが、本発明の範囲内でz−軸方向の移動を可能にする多くの他のタイプの組立体を使用することができる。
シャフトのz−軸方向の移動によりウエーハ支持装置113が昇降する。図4は、シャフト115及びウエーハ支持装置113が下方又は下降位置にあるときの堆積装置100を示している。図5は、シャフト115及びウェーハ支持装置113が上方又は上昇位置にある時の堆積装置100を示している。例示的な実施形態では、可撓性べローズ120は、プロセスチャンバの底部と垂直移動カプラ121との間を結合する。この配置により、プロセスチャンバ内でのウエーハ支持装置113の高さ位置が変化することにより反応ゾーンの容積208を変化させることができるが、それでも外側大気とプロセスチャンバ内部との間の離隔シールが維持されたままである。本発明の実施形態によれば、プロセスチャンバ100は、ウエーハ支持装置113及びシャフト115が上昇位置にあるときに処理を行うように構成される。図5に示すように上昇位置にあるときには、基板支持装置113は、バッフルリング200及びガス分配装置102と協働して縮小容積を有する反応ゾーン208を定める。明らかに、ウエーハ搬送区域110及びスロットバルブ112は、縮小反応ゾーン208内には存在しない。ウエーハ搬送区域110及びスロットバルブ112は、ウエーハ支持装置113の下方にあり、従って、処理中にウエーハ114には影響を及ぼさない。
処理中、ALDパルス処理ステップの間に遙かに少ない容積が排出される必要があるので、反応ゾーン208の容積のこの実質的な縮小によって更に高速な処理中間が促進される。更に、この縮小反応ゾーンは、ガスのより均一な分布を促進する。加えて、搬送区域110及びスロットバルブ112がウエーハ支持装置103の下方にあるので、ウエーハ104は、、黒体作用を受けず、従来技術のシステムでは共通の問題であった加熱及び温度の均一性が乱されることもない。
特に有利には、本発明の堆積装置の実施形態は、バッフルリング200を用いる。排出ポート220は一般に装置100の底部の単一の場所にあるので、反応ゾーン208において非対称のガス流が発生する可能性がある。このような非対称ガス流は、処理中にウエーハ表面上の膜の加熱及び堆積の不均一性を招く可能性がある。本発明の実施形態は、この問題に対処する。図4及び図5に示すように、バッフルリング200は、一般にウエーハ支持装置103を囲み、例示的な実施形態では上側部分204及び下側部分206から構成される。複数のバッフル孔又はオリフィス202が、バッフルリング200の上側部分204に形成される。バッフル孔202は、未反応又は副生成ガスが反応ゾーン208から排出プレナム216に流れるのを可能にする。バッフル孔202は、好ましくはバッフルリング204の実質的に周辺に離間して配置され、ウエーハの周縁全体に対する実質部分の周りにガスの排出経路が形成されるようになる。これにより、ウエーハからのガスの実質的に対称な流れが促進され、360度にわたるガスの排出が可能になる。
バッフル孔202は、反応容積208中の流れの非対称性を補償し、及び/又は特定用途及びプロセスに合わせるように異なるサイズに構成することができる。一部の実施形態では、バッフル孔202は、局部的な圧力低下をもたらす流量制限を引き起こし、これによりウエーハ全体にわたるより均一なガス分配が促進される。バッフル孔202は、バッフルリング200の全周に対する実質部分の周りに等間隔で配置することができる。或いは、バッフル孔202は、ガスを選択的に分布させるために、バッフルリング200の全周に対する実質部分の周りに非等間隔で配置することができる。バッフル孔202の好ましい数、幾何形状、サイズ、及び分布は、特定用途又はプロセス要件に基づいて選択することができ、通常の実験によって決定することができる。好適な幾何形状の実施例は、スリット、スロット、矩形、円、三角形、台形、及び同様のものを含む。
処理中に、ウエーハ支持装置113が上方又は上昇位置にあるときには、ウエーハ114の上面は、好ましくはバッフル孔202に隣接して位置付けられ、未反応ガス及び副生成物の実質的に対称な排出が促進されるようになる。バッフル孔がスロットから構成される一実施形態では、ウエーハの上面は、スロットの底部半径の中心線に隣接して位置付けられる。勿論、他の配向が可能であり、これは本発明の範囲内にある。
バッフルリング200の上側部分は、上側バッフルリング204とも呼ばれ、金属、金属合金、セラミック、ガラス、ポリマー、複合材料、又はこれらの組合せを含む材料で作られる。材料の選択は、一般に、材料のプロセス要件及びコストによって決定されることになる。好ましくは、上側バッフルリング204はセラミックから構成される。一部の実施形態では、上側バッフルリング204の上面は、通常は同等の材料で作られる上側チャンバシールド210と結合し、堆積装置100のリッド106上への材料の堆積を低減する働きをする。更に、プラズマプロセスが使用される場合には、この構成は、プラズマベースプロセス法におけるプラズマ密度の閉じこめに有用である。上側バッフルリング204は、下側バッフルリング206とも呼ばれるバッフルリング200の下側部分によって支持される。
下側バッフルリング206は、スロット又は開口(図示せず)を有し、該スロット又は開口は、基板搬送領域110と協働して堆積装置に基板を搬送し、基板支持装置103上に配置することを可能にする。この構成は、上側バッフルリング204が特殊な高価な材料から構成される場合に下側バッフルリング206をより安価な材料で製造するのを可能にする。下側バッフルリング206は、金属、金属合金、セラミック、ガラス、ポリマー、複合材料、又はこれらの組合せを含む材料から作ることができる。好ましくは、下側バッフルリング206は、アルミニウムなどの単純な材料から構成される。例示的な実施形態では、上側バッフルリング204は単純な円筒形として示されているが、上側バッフルリング204の形状は、円筒、円錐、多角形、又はこれらの組合せを含むことができる。
本発明の一実施形態では、バッフルリング組立体は、上側バッフルリング204及び下側バッフルリング206の2つの部品から作られる。上側バッフルリング204及び下側バッフルリング206は、同じの材料から作ってもよく、又は異なる材料から作ってもよい。材料の実施例は、金属、金属合金、セラミック、ガラス、ポリマー、複合材料、又はこれらの組合せを含む。
本発明の別の実施形態では、バッフルリング200は、上側バッフルリング204と下側バッフルリング206との融合によって形成された単一部品で作られる。単一部品のバッフルは、様々な材料から作ることができる。材料の実施例は、金属、金属合金、セラミック、ガラス、ポリマー、複合材料、又はこれらの組合せを含む。
本発明の更に別の実施形態では、バッフルリング200は、上側バッフルリング204と下側バッフルリング206との融合によって形成された単一部品で作られ、ここでは上部シールド210を上側バッフルリング204と組み合わせて単一の要素にされる。単一部品のバッフルリング組立体は、様々な材料で作ることができる。材料の実施例は、金属、金属合金、セラミック、ガラス、ポリマー、複合材料、又はこれらの組合せを含む。
更に、図に示された例示的な実施形態は、2つの部品204及び206から構成され、結合又は融合されたバッフルリング200を示しているが、代替的に、バッフルリング200は単一リングで形成してもよいことは理解されたい。
本発明の実施形態は、堆積装置からの実質的に対称なガス排出を可能にする。堆積装置100は更に、ガス排出プレナム216を含む。排出プレナム216は、反応ゾーン208の実質的に円周の周りで延びて反応ゾーンからの対称なガス排出を促進する環状空間又はチャンネルから構成されるのが好ましい。例示的な実施形態では、排出プレナム216は、バッフルリング200と、複数のチャンバシールド、すなわち具体的には上側チャンバシールド210、下側チャンバシールド212、及びフロアチャンバシールド214によって形成され、これらのチャンバシールドは、バッフルリング200から離間して配置され、且つバッフルリング200の全体的な輪郭をほぼ辿って間に環状空間を形成する。ガスは、バッフル孔202を介して反応ゾーンから出て排出プレナム216に流入し、次いで、ガスは、真空ポンプポート220を通じて堆積装置100から排出される。
上側チャンバシールド210は、排出プレナム216の頂部を形成し、一部の実施形態では、上側チャンバシールド210は、チャンバリッド101と当接して、部分的にガス分配装置102と共に反応ゾーン208の頂部を形成することができる。上述のような上側バッフルリング204と同様に、上側チャンバシールド210は、特に上側チャンバシールド210が反応ゾーン208に曝露される場合には、特殊な材料で形成することができる。
一般に、下側チャンバシールド212が排出プレナム216の外壁を形成するのに対し、バッフルリング200は、排出プレナム216の内壁を形成する。一実施形態では、下側チャンバシールド212は、スロット又は開口(図示せず)を有し、該スロット又は開口は、基板搬送区域110と協働して堆積装置に基板を搬送し、基板支持装置113上に配置することを可能にする。下側チャンバシールド212の開口は、下側バッフルリング206の開口と同様の輪郭及び形状を有することができる。更に、上述の下側バッフルリング206と同様に、下側チャンバシールド212は、上側チャンバシールド210とは異なるより安価な材料で形成することができる。
下側バッフルリング206の開口及び下側チャンバシールド212の開口は、ガス排出プレナム216の隔離を維持しながら、ウエーハ搬送区域114を通じて堆積装置100の内外にウエーハ114を搬送可能にするスロットバルブシールド119を受けるように適合される。一部の実施形態では、上側バッフルリング204及び上側チャンバシールド210の各々はまた、下側バッフルリング206及び下側チャンバシールド212の開口と協働してスロットバルブシールド114を収容する開口(図示せず)を含む。特に有利には、従来技術の装置とは対照的に、上記のことによって、ウエーハ搬送領域から反応ゾーン208を隔離しながら絶縁ガスの360度にわたる完全で且つ対称的な排出が可能になる。
チャンバフロアシールド214は一般に、排出プレナム216のフロアを形成し、例示的な実施形態では全360度に延びる。フロアシールド214は、いずれかの好適な材料から構成することができ、反応ゾーンには曝露されないので、フロアシールド214は、上側チャンバシールド210とは異なる材料から構成することができる。
例示的な実施形態において示されるように、チャンバシールド210、212、及び214は、別個の部品から形成される。これは柔軟な材料選択を可能にし、更に、プロセスチャンバ100全体の稼働を停止する必要もなしにシールドの各々を取り外して洗浄し、及び/又は個別にサービスすることができるので、堆積装置の洗浄をより迅速にすることが可能になる。しかしながら、他の実施形態も本発明の範囲内である点を理解されたい。例えば、一部の実施形態では、3つのシールド全てを単一部品で形成することができる。更に、別の代替実施形態では、下側チャンバシールド及びチャンバフロアシールドは、単一部品で形成することができる。
本発明の堆積装置は、原子層堆積(ALD)プロセスを実施するのに特に好適である。一般に、ALDは、前駆体の第1パルスを反応ゾーンに送る段階を含み、ここで前駆体のパルスが基板表面上に単分子層を形成する。次に、パージ、真空排気、又はこれらの組み合わせなどの技術によって、第1の前駆体の超過量が除去される。次いで、反応物の次のパルスを導入し、第1の前駆体の単分子層と反応させて所要の材料を形成する。次に、反応物の超過量が、パージ、真空排気、又はこれらの組み合わせなどの技術によって除去される。結果として、所要の材料の単一の単分子層の堆積が得られる。このシーケンスは、ターゲット材料の所要の厚さが堆積されるまで繰り返される。
上述のように、バッフルリング200、ガス分配装置102、及び基板支持装置113は全て、図5に示す上昇位置にあるときには、極めて小さな反応容積208を定める。チャンバリッドガスライン106、接続ポイント108、及びガスマニホルドバルブクラスタ400は全て、明確にするためにこの図では除外されている点に留意されたい。この縮小反応ゾーンは、化学物質の使用がより少ないこと、化学効率がより大きいこと、ガスパージ及び排気時間がより高速であること、ガス交換時間がより高速であること、及び同様のことの1つ又はそれ以上を促進する。本発明の実施形態は更に、半導体処理装置におけるより高いスループット及びより低い維持費を促進する。更にバッフルリング200は、熱エネルギー又はプラズマエネルギー等のエネルギー源の反応容積208内への閉じ込めを促進する。これにより、堆積物蓄積がより少なくなり、ウェーハ上の粒子汚染がより少なくなり、洗浄のためにプロセスチャンバを開放しなければならない合間の時間間隔がより長くなる。本発明の実施形態はまた、ウェーハ移送区域110が縮小反応ゾーン208内に存在しないので、かかる区域における材料、副生成物、又は粒子の堆積を最小限にする。
本発明の実施形態を用いて実施される実験では、化学物質の使用量がより少なく均一性を示す。一例では、酸化アルミニウム膜Al23の堆積をALDによってトリメチルアルミニウム(TMA)及び水から行った。堆積速度が維持されると共に、時間が短縮され、本発明の堆積装置の実施形態において行われた方法を実施するために使用された前駆体の量が減少した。更に、堆積された膜の均一性が従来技術のシステムと比べて改善された。本発明の一部の実施形態では、ALDサイクルにおける化学前駆体のパルス時間が、従来技術のパルス時間と比較して最大50%短縮された。
本発明の特定の実施形態の上記の説明は、例証及び説明の目的で提示されている。これらは、網羅的であること又は開示された厳密な形態に本発明を限定することを意図するものではなく、上記の教示に照らして多くの修正、実施形態、及び変形形態が可能であることは明らかである。本発明の範囲は、本明細書に添付される請求項及びこれらの均等物によっ定義されるものとする。
ガスマニホルドバルブクラスタ及び堆積装置の一実施形態の概略部分断面図である。 本発明の実施形態によるガスマニホルドバルブクラスタ及び堆積装置の三次元組立分解図である。 本発明の実施形態によるガス結線図の例示的な実施形態である。 下降位置のウエーハ支持装置を示す、本発明の堆積装置の一実施形態の概略断面図である。 上昇位置のウエーハ支持装置を示す、本発明の堆積装置の一実施形態の概略断面図である。 本発明のガスマニホルドバルブクラスタ及び堆積装置の実施形態を示す平面図である。
符号の説明
100 プロセスチャンバ
101 リッド組立体
102 ガス分配装置
103 遠隔ガス供給源
104 ガス供給バルブ
106 チャンバリッドガスライン
107 延長された長さの供給ライン
108 Oリングシール付き接続ポイント
400 チャンバにおけるバルブクラスタ

Claims (10)

  1. プロセスチャンバ内で基板を処理するための装置であって、
    1つ又はそれ以上のガス供給源と、
    前記プロセスチャンバに近接し、各ガス用のガスバルブを含むガスマニホルドバルブクラスタと、
    前記ガスマニホルドバルブクラスタからガス分配装置に延びるチャンバリッドガス供給ラインと、
    を備え、
    前記チャンバリッドガス供給ラインの長さ及び容積が最小にされている、
    ことを特徴とする装置。
  2. 前記ガスマニホルドバルブクラスタが、前記装置の外部に取り付けられる、ことを特徴とする請求項1に記載の装置。
  3. 前記ガスマニホルドバルブクラスタが、シールを含み且つ前記装置のリッドを取り外し可能に係合するように適合されている接続ポイントによって、前記チャンバリッドガス供給ラインに結合される、
    ことを特徴とする請求項1に記載の装置。
  4. 基板を処理するための堆積装置であって、
    基板を保持するための基板支持装置を収容するプロセスチャンバと、
    搬送装置によって前記プロセスチャンバの壁の開口を通ってウエーハ支持装置上に前記基板が送られるウエーハ搬送領域と、
    前記基板の上に位置付けられたガス分配装置と、
    前記装置内に形成されて前記ウエーハ支持装置を囲み、複数の開口が形成され且つ排出領域から反応ゾーンを分離するように構成されたバッフルリングと、
    前記プロセスチャンバに近接し且つ外部に位置付けられたガスマニホルドバルブクラスタと、
    を備え、
    前記ガスマニホルドバルブクラスタが、各ガスに対するガスバルブと、前記ガスマニホルドバルブクラスタから前記ガス分配装置に延びるチャンバリッドガス供給ラインとを含み、
    前記ウエーハ支持装置が、前記基板を前記ウエーハ搬送領域及び前記プロセスチャンバ壁の開口の水平位置よりも上に上昇させるように前記ガス分配装置に向かって垂直方向に移動可能であり、前記ウエーハ支持装置が、前記バッフルリングと協働して縮小容積を有する前記反応ゾーンを定める、
    ことを特徴とする装置。
  5. 前記ガスマニホルドバルブクラスタが、前記装置の外部に取り付けられる、ことを特徴とする請求項4に記載の堆積装置。
  6. 前記装置がチャンバリッド組立体を更に備え、前記ガスマニホルドバルブクラスタが、シールを含み且つ前記リッドの開放を可能にするために前記チャンバリッド組立体から取り外し可能な接続ポイントによって、前記チャンバリッドガス供給ラインに結合される、
    ことを特徴とする請求項4に記載の堆積装置。
  7. 前記バッフルリングが、上側バッフルリング及び下側バッフルリングから構成され、前記複数の開口が、前記上側バッフルリングに形成されている、
    ことを特徴とする請求項4に記載の堆積装置。
  8. 前記バッフルリング内の開口と連通して前記反応ゾーンからガスを排出させるガス排出プレナムを更に備える、
    ことを特徴とする請求項4に記載の堆積装置。
  9. 前記ガス排出プレナムが、前記バッフルリングの実質的に円周を囲み、実質的に360℃にわたって前記反応ゾーンからガスを排出するように構成されている、
    ことを特徴とする請求項8に記載の堆積装置。
  10. ウエーハを処理するためのALD堆積装置であって、
    ウエーハ支持装置を収容するプロセスチャンバと、
    前記ウエーハにガスを搬送するための注入器と、
    前記ウエーハ支持装置を囲むバッフルリングと、
    を備え、
    前記ウエーハ支持装置、注入器、及びバッフルリングは、前記ウエーハが処理される反応ゾーンを定め、前記反応ゾーンは、前記ウエーハが前記プロセスチャンバの内外に移動される領域から分離されており、
    前記堆積装置が更に、
    前記堆積装置に近接して外部に位置付けられたガスマニホルドバルブクラスタであって、各ガスに対してのガスバルブと、前記ガスマニホルドバルブクラスタから前記注入器に延びるチャンバリッドガス供給ラインとを含むガスマニホルドバルブクラスタと、
    前記バッフルリングを囲み且つ前記バッフルリングに形成された開口と流体連通し、実質的に360度にわたって前記反応ゾーンからガスを排出するように構成されているガス排出プレナムと、
    を備えるALD堆積装置。
JP2008524283A 2005-07-29 2006-07-31 ガスマニホルドバルブクラスタ Pending JP2009503875A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US70371105P 2005-07-29 2005-07-29
US70372305P 2005-07-29 2005-07-29
US70371705P 2005-07-29 2005-07-29
PCT/US2006/030000 WO2007016592A2 (en) 2005-07-29 2006-07-31 Gas manifold valve cluster

Publications (1)

Publication Number Publication Date
JP2009503875A true JP2009503875A (ja) 2009-01-29

Family

ID=37709329

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008524287A Pending JP2009503876A (ja) 2005-07-29 2006-07-31 半導体処理用堆積装置
JP2008524283A Pending JP2009503875A (ja) 2005-07-29 2006-07-31 ガスマニホルドバルブクラスタ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2008524287A Pending JP2009503876A (ja) 2005-07-29 2006-07-31 半導体処理用堆積装置

Country Status (6)

Country Link
US (2) US20070022959A1 (ja)
EP (2) EP1913172A2 (ja)
JP (2) JP2009503876A (ja)
KR (2) KR20080034157A (ja)
TW (2) TW200721269A (ja)
WO (2) WO2007016701A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017212546A1 (ja) * 2016-06-07 2017-12-14 株式会社日立国際電気 基板処理装置、炉口部および半導体装置の製造方法並びにプログラム
JP2020025131A (ja) * 2019-11-08 2020-02-13 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法並びにプログラム

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008210980A (ja) * 2007-02-26 2008-09-11 Toshiba Corp パターン形成方法
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2009088346A (ja) * 2007-10-01 2009-04-23 Hitachi Kokusai Electric Inc 基板処理装置
CN102047407B (zh) * 2008-03-25 2012-10-10 Oc欧瑞康巴尔斯公司 加工腔
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US8832916B2 (en) * 2011-07-12 2014-09-16 Lam Research Corporation Methods of dechucking and system thereof
JP5513544B2 (ja) * 2012-04-23 2014-06-04 東京エレクトロン株式会社 基板処理装置
US9490152B2 (en) * 2012-05-29 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetrical chamber configuration
JP5772736B2 (ja) * 2012-06-18 2015-09-02 株式会社デンソー 原子層蒸着装置
US10669625B2 (en) * 2013-03-15 2020-06-02 Taiwan Semiconductor Manufacturing Company Limited Pumping liner for chemical vapor deposition
US20150211114A1 (en) * 2014-01-30 2015-07-30 Applied Materials, Inc. Bottom pump and purge and bottom ozone clean hardware to reduce fall-on particle defects
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
DE102016101003A1 (de) 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse
TWI727024B (zh) * 2016-04-15 2021-05-11 美商應用材料股份有限公司 微體積沉積腔室
JP6890085B2 (ja) * 2017-11-30 2021-06-18 東京エレクトロン株式会社 基板処理装置
JP7186032B2 (ja) * 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
WO2021257773A1 (en) * 2020-06-17 2021-12-23 Applied Materials, Inc. High temperature chemical vapor deposition lid
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2638020B1 (fr) * 1988-10-14 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a collecteur de gaz ameliore
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
CH687258A5 (de) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
TW293983B (ja) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5441568A (en) * 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JPH09149921A (ja) * 1995-09-26 1997-06-10 Shimadzu Corp 救護支援装置
US5568406A (en) * 1995-12-01 1996-10-22 Gerber; Eliot S. Stolen car detection system and method
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5938333A (en) * 1996-10-04 1999-08-17 Amalgamated Research, Inc. Fractal cascade as an alternative to inter-fluid turbulence
FR2755443B1 (fr) * 1996-11-05 1999-01-15 Centre Nat Etd Spatiales Pigments revetus d'un agent absorbant le rayonnement ultraviolet, procede pour leur preparation et peintures les contenant
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US5955952A (en) * 1997-10-24 1999-09-21 Sunset Advertising Enterprises, Inc. Method and system for locating a lost person or lost personal property
US5983238A (en) * 1997-12-26 1999-11-09 Diamond Id Gemstons identification tracking and recovery system
DE19802572A1 (de) * 1998-01-23 1999-08-05 Siemens Health Service Gmbh & Medizinische Systemarchitektur
JP4217299B2 (ja) * 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US5955953A (en) * 1998-07-02 1999-09-21 Hanson; Michael C. Pet identifier
US6034605A (en) * 1998-12-08 2000-03-07 March; Anthony W. System/method for secure storage of personal information and for broadcast of the personal information at a time of emergency
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6333019B1 (en) * 1999-04-29 2001-12-25 Marc-Olivier Coppens Method for operating a chemical and/or physical process by means of a hierarchical fluid injection system
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
US6530992B1 (en) * 1999-07-09 2003-03-11 Applied Materials, Inc. Method of forming a film in a chamber and positioning a substitute in a chamber
US6449611B1 (en) * 1999-09-30 2002-09-10 Fred Frankel Business model for recovery of missing goods, persons, or fugitive or disbursements of unclaimed goods using the internet
JP2001167054A (ja) * 1999-12-09 2001-06-22 Casio Comput Co Ltd 携帯情報機器、認証装置及び認証システム
WO2001042930A1 (en) * 1999-12-09 2001-06-14 Zephyr Media, Inc. System and method for integration of a universally publicly accessible global network
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
US6572706B1 (en) * 2000-06-19 2003-06-03 Simplus Systems Corporation Integrated precursor delivery system
WO2002015138A1 (en) * 2000-08-14 2002-02-21 Adbeep, L.C.C. Method and apparatus for displaying advertising indicia on a wireless device
US6896737B1 (en) * 2000-08-28 2005-05-24 Micron Technology, Inc. Gas delivery device for improved deposition of dielectric material
US20020039067A1 (en) * 2000-10-03 2002-04-04 Timothy Eubanks Personnel location system
KR100434487B1 (ko) * 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
JP3500359B2 (ja) * 2001-01-30 2004-02-23 東京エレクトロン株式会社 熱処理装置および熱処理方法ならびに基板処理装置および基板処理方法
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US20030159653A1 (en) * 2002-02-28 2003-08-28 Dando Ross S. Manifold assembly for feeding reactive precursors to substrate processing chambers
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
US20040118519A1 (en) * 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017212546A1 (ja) * 2016-06-07 2017-12-14 株式会社日立国際電気 基板処理装置、炉口部および半導体装置の製造方法並びにプログラム
JPWO2017212546A1 (ja) * 2016-06-07 2019-02-28 株式会社Kokusai Electric 基板処理装置、炉口部および半導体装置の製造方法並びにプログラム
US10640872B2 (en) 2016-06-07 2020-05-05 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US11365482B2 (en) 2016-06-07 2022-06-21 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
JP2020025131A (ja) * 2019-11-08 2020-02-13 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法並びにプログラム

Also Published As

Publication number Publication date
TW200721269A (en) 2007-06-01
EP1913172A2 (en) 2008-04-23
EP1915470A2 (en) 2008-04-30
US20070028838A1 (en) 2007-02-08
WO2007016592A9 (en) 2007-04-19
EP1915470A4 (en) 2012-04-04
JP2009503876A (ja) 2009-01-29
KR20080034157A (ko) 2008-04-18
US20070022959A1 (en) 2007-02-01
WO2007016592A2 (en) 2007-02-08
WO2007016592A3 (en) 2007-10-04
KR20080033406A (ko) 2008-04-16
WO2007016701A3 (en) 2007-12-21
TW200745367A (en) 2007-12-16
WO2007016701A2 (en) 2007-02-08

Similar Documents

Publication Publication Date Title
JP2009503875A (ja) ガスマニホルドバルブクラスタ
TWI643976B (zh) 沉積裝置及具有該沉積裝置的沉積系統
US11742189B2 (en) Multi-zone reactor, system including the reactor, and method of using the same
US10415137B2 (en) Non-metallic thermal CVD/ALD Gas Injector and Purge Systems
JP6432507B2 (ja) 成膜装置
US20090017637A1 (en) Method and apparatus for batch processing in a vertical reactor
KR101852233B1 (ko) 성막 방법
TWI727024B (zh) 微體積沉積腔室
JP2015010281A (ja) ガスシールを有する化学蒸着チャンバ
JP2016510946A (ja) 噴射器から基板までの間隙の制御のための装置および方法
WO2005041285A1 (ja) シャワーヘッド及びこれを用いた成膜装置
TWI741093B (zh) 時間性原子層沉積處理腔室
TWI795570B (zh) 半導體多站處理腔體
US11236424B2 (en) Process kit for improving edge film thickness uniformity on a substrate
JP2012023073A (ja) 基板処理装置および基板の製造方法
KR101966049B1 (ko) 기판처리장치 및 그 세정방법
JP2003158081A (ja) 基板処理装置
JP2010161276A (ja) 半導体ウエハに被膜を形成する装置