JPWO2017212546A1 - 基板処理装置、炉口部および半導体装置の製造方法並びにプログラム - Google Patents

基板処理装置、炉口部および半導体装置の製造方法並びにプログラム Download PDF

Info

Publication number
JPWO2017212546A1
JPWO2017212546A1 JP2018522204A JP2018522204A JPWO2017212546A1 JP WO2017212546 A1 JPWO2017212546 A1 JP WO2017212546A1 JP 2018522204 A JP2018522204 A JP 2018522204A JP 2018522204 A JP2018522204 A JP 2018522204A JP WO2017212546 A1 JPWO2017212546 A1 JP WO2017212546A1
Authority
JP
Japan
Prior art keywords
gas
nozzle
gas supply
reaction tube
supply system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018522204A
Other languages
English (en)
Other versions
JP6616895B2 (ja
Inventor
大野 幹雄
幹雄 大野
純史 梅川
純史 梅川
花島 建夫
建夫 花島
宏朗 平松
宏朗 平松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of JPWO2017212546A1 publication Critical patent/JPWO2017212546A1/ja
Application granted granted Critical
Publication of JP6616895B2 publication Critical patent/JP6616895B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

反応管と該反応管の下部に設けられる炉口部で少なくとも構成される処理室と、前記炉口部に設けられ、前記炉口部から前記反応管内まで立上ったノズルと、前記ノズルの上流側に設けられるガス供給系と、前記ガス供給系と前記ノズルの境界に設けられるよう構成されている遮断部と、前記遮断部を前記ガス供給系と連動させて前記ノズルから前記処理室内にガスを供給するよう、前記ガス供給系及び前記遮断部をそれぞれ制御する制御部と、を備えた構成が提供される。

Description

本発明は、基板処理装置、炉口部および半導体装置の製造方法並びにプログラムに関する。
基板処理装置の一例としての半導体製造装置には、縦型装置があることが知られている。昨今、この種の半導体製造装置は、多様な成膜を可能にする為に開閉弁(バルブ)の個数も多く、ガス供給系も2系統以上の場合が多い。従来、図4に示すように、炉口部に最も近い開閉弁から炉口部までの配管は、フレキシブル配管を含む配管となっていた。装置のレイアウトにもよるが、この配管の長さは、500〜3000mm程度であった。
この開閉弁までのフレキシブル配管を含む配管内に付着した副生成物が起因とされるパーティクルが反応室内に放出され基板上に付着することでデバイス特性に影響を与えることが知られている。そこで、この問題を防止する手段として、成膜ガスを供給していない配管に、N2ガスを成膜ガスの供給と同時に流す方法が、実施されている。ところが、このN2ガス(以後、カウンターN2ガスという場合がある)を供給することにより成膜ガス濃度が、反応室内で不均一となり、基板処理において膜厚均一性が悪化してしまう。
ここで、カウンターN2ガスを不要にする構成として、ガス供給系内の処理炉に近い配管に開閉弁を設置することが考えられるが、弁設置スペース制限、弁耐熱温度制限等の原因で実現できていない。一方、特許文献1及び特許文献2のように、開閉弁が炉口部の近くの配管に設けられる構成が図示されている。但し、これら先行技術文献には、カウンターN2ガスを不要にする構成については言及されていない。
特開2011−187485号公報 特開2005−285922号公報
本発明の目的は、上記問題点を解決するために、炉口部の近傍に開閉弁を設ける構成を提供することである。
本発明の一態様によれば、反応管と該反応管の下部に設けられる炉口部で少なくとも構成される処理室と、前記炉口部に設けられ、前記炉口部から前記反応管内まで立上ったノズルと、前記ノズルの上流側に設けられるガス供給系と、前記ガス供給系と前記ノズルの境界に設けられるよう構成されている遮断部と、前記遮断部を前記ガス供給系と連動させて前記ノズルから前記処理室内にガスを供給するよう、前記ガス供給系及び前記遮断部をそれぞれ制御する制御部と、を備えた構成が提供される。
本発明によれば、炉口部の近傍に開閉弁が取り付けられた構成を提供することができる。
本発明の実施形態で好適に用いられる基板処理装置の概略構成図であり、処理炉部分の縦断面図である。 本発明の実施形態で好適に用いられる基板処理装置の一部の概略構成図であり、反応管の横断面図である。 本発明の実施形態で好適に用いられる基板処理装置のコントローラの概略構成図である。 従来の炉口部近傍の配管構成図である。 本発明の実施形態に好適に用いられる遮断部とガス供給管とノズルとの関係を示す模式図である。 本発明の実施形態に好適に用いられる遮断部とガス供給管とノズルとの関係を示す模式図である。 本発明の実施形態に好適に用いられる炉口部の外観図である。 本発明の実施形態に好適に用いられる炉口部の外観図である。 本発明の実施形態に好適に用いられる遮断弁の図示例である。 本発明の実施形態に好適に用いられる遮断弁の構成を示す図示例である。 本発明の実施形態に好適に用いられる遮断弁を動作させて処理ガスを供給する基板処理フローを示す図である。 本発明の実施形態に好適に用いられる遮断弁を動作させて処理ガスを供給して基板処理した結果を示す図である。 本発明の実施形態に好適に用いられる成膜シーケンスにおける遮断弁の有無比較を示す図示例である。
<本発明の一実施形態>
本発明の一実施形態における基板処理装置は、半導体装置の製造に使用される半導体製造装置の一例として構成されているものである。具体的には、反応管と該反応管の下部に設けられる炉口部で少なくとも構成される処理室と、炉口部に設けられ、炉口部から反応管内まで立上ったノズルと、ノズルの上流側に設けられる処理ガス供給系と、該処理ガス供給系とノズルの境界に設けられるよう構成されている遮断部と、遮断部を処理ガス供給系と連動させてノズルから処理室内にガスを供給するよう、処理ガス供給系及び遮断部をそれぞれ制御するコントローラと、を少なくとも有する構成である。
また、炉口部は、炉口部の内壁から反応管内まで立上ったノズルに接続される遮断部が、炉口部の外壁との間に配管を設けないよう取付けられるよう構成されている。このように、処理炉のほぼ真下(炉口部近傍)に遮断部が取り付けられるので、冷却機構を備え、遮断弁を冷却できるようにするのが好ましい。また、炉口部の熱こもり対策として、特に局所的な排気が可能な炉口ユニットを設けるのが好ましい。尚、これら冷却機構及び炉口ユニットについては後述する。
ここで、本実施形態において、炉口部と遮断弁とを一体とした(例えば、炉口部と遮断弁との間にフレキシブル配管を含む配管を設けない)構造のことを単に炉口部と呼ぶ場合がある。
以下、本発明の実施形態について、図1、図2等を用いて説明する。先ず、図1に示すように、処理炉202は加熱部(加熱機構)としてのヒータ207を有する。ヒータ207は円筒形状であり、図示しないがヒータ素線と断熱材を含むような構成である。ヒータ207の下部は、保持板としてのヒータベース(図示せず)に支持されることにより垂直に据え付けられる。また、ヒータ207は、処理ガスを熱で活性化(励起)させる活性化機構(励起部)としても機能する。
ヒータ207の内側には、ヒータ207と同心円状に反応容器(処理容器)を構成する単管構造の反応管203が配設されている。反応管203は、例えば石英(SiO)または炭化シリコン(SiC)等の耐熱性材料から形成されている。反応管203は、下端部が開放され、上端部が平坦状の壁体で閉塞された有天井の形状で形成されている。反応管の上端部(以後、天井部ともいう)は、強度の確保という観点から厚く構成されている。反応管203の側壁は、円筒形状に形成された円筒部と、円筒部の外壁に設けられたガス供給エリア222とガス排気エリア224とを備えている。ガス供給エリア222とガス排気エリア224を含む反応管203の内部には、処理室201が形成されている。処理室201は、基板としてのウエハ200を処理可能に構成されている。また、処理室201は、ウエハ200を水平姿勢で垂直方向に多段に整列した状態で保持可能なボート217を収容可能に構成されている。そして、ヒータ207は反応管203を囲繞するように配置されており、反応管203(若しくは処理室201)内のボート217に載置された複数枚のウエハ200を所定の温度に加熱することができる。
ガス供給エリア222は、凸部が円筒部の一側壁の外側に突出するように形成されている。ガス供給エリア222の外壁は、反応管203の円筒部の外壁の一部としての一側壁の外側に円筒部の外径よりも大きく、円筒部と同心円状に形成されている。ガス供給エリア222は、下端部が開放され、上端部が平坦状の壁体で閉塞された有天井の形状で構成されている。ガス供給エリア222には、その長さ方向(上下方向)に沿って後述するノズル部340a〜340cが収容され、ガス供給エリア222と円筒部との間の境界を構成する境界壁254にはガス供給スリット235が形成されている。境界壁254は円筒部の一側壁であって、その外側面は、ガス供給エリア222に面する側面部分を構成する。以後、例えば、ノズル部340a〜340cの総称を、ノズル部340と記載する場合があり、また、他の番号についても総称は同様に記載する場合がある。
ガス排気エリア224は、凸部が円筒部のガス供給エリア222が形成された一側壁に対向する他側壁の外側に突出するように形成されている。ガス排気エリア224は、ガス供給エリア222との間に処理室201のウエハ200が収容される領域を挟むように配置されている。ガス排気エリア224の外壁は、円筒部の外壁の一部としての他側壁の外側に円筒部の外径よりも大きく、円筒部と同心円状に形成されている。ガス排気エリア224は、下端部と上端部が平坦状の壁体で閉塞された有天井の形状で構成されている。ガス排気エリア224と円筒部との間の境界を構成する壁体である境界壁252にはガス排気スリット236が形成されている。境界壁252は円筒部の一部であって、その外側面は、ガス排気エリア224に面する側面部分を構成する。
反応管203の下端側は、炉口部としての円筒体状のマニホールド226によって支持されている。マニホールド226は、例えばニッケル合金やステンレス等の金属で形成されるか、若しくは石英(SiO)または炭化シリコン(SiC)等の耐熱性材料で形成されている。マニホールド226の上端部にはフランジが形成されており、このフランジ上に反応管203の下端部を設置して支持する。このフランジと反応管203の下端部との間にはOリング等の気密部材220を介在させて反応管203内を気密状態にしている。
マニホールド226の下端の開口部には、シールキャップ219がOリング等の気密部材220を介して気密に取り付けられており、反応管203の下端の開口部側、すなわちマニホールド226の開口部を気密に塞ぐようになっている。シールキャップ219は、例えばニッケル合金やステンレス等の金属で形成され、円盤状に形成されている。
シールキャップ219上にはボート217を支持するボート支持台218が設けられている。ボート支持台218は、例えば石英や炭化珪素等の耐熱性材料で構成され断熱部として機能すると共にボート217を支持する支持体となっている。ボート217はボート支持台218に固定された底板とその上方に配置された天板とを有しており、底板と天板との間に複数本の支柱が架設された構成を有している。ボート217は例えば石英や炭化珪素等の耐熱性材料で構成されている。
シールキャップ219の処理室201と反対側にはボート217を回転させるボート回転機構267が設けられている。ボート回転機構267の回転軸はシールキャップを貫通してボート支持台218に接続されており、ボート回転機構267によって、ボート支持台218を介してボート217を回転させることでウエハ200を回転させる。シールキャップ219は反応管203の外部に設けられた昇降機構としてのボートエレベータ115によって垂直方向に昇降され、これによりボート217を処理室201内に対し搬入搬出することが可能となっている。
マニホールド226には、ノズル部340を支持するノズル支持部350が、L字状に屈曲されてマニホールド226を貫通するようにして設置されている。ここでは、3本のノズル支持部350a〜350cが設置されている。ノズル支持部350は、例えばニッケル合金やステンレス等の材料から形成される。ノズル支持部350の反応管203側の一端には反応管203内へガスを供給するガス供給管310が遮断弁としての遮断部101を介してそれぞれ接続されている。
また、ノズル支持部350a〜350cの他端にはノズル部340a〜340cがそれぞれ接続されている。ノズル部340は、例えば石英またはSiC等の耐熱性材料から形成される。また、ノズル支持部350とノズル部340でノズルが構成され、このノズルとガス供給管310の境界に設けられている遮断弁101がマニホールド226の近傍に固定されている。更に、ノズル形状は、ノズル部340とノズル支持部350が一体化した構成であっても構わない。
ノズル部340はガス供給エリア222内の下部より上部に、その長さ方向(上下方向)に沿って設けられている。ノズル部340a、340cは、I字型のロングノズルとしてそれぞれ構成されている。ノズル部340a、340cの側面には、ガスを供給するガス供給孔234a、234cがそれぞれ設けられている。ガス供給孔234a、234cは、それぞれ反応管203の中心を向くように開口している。ノズル部340bは、I字型の短管ノズル(ショートノズル)として構成されている。ノズル部340bは開口部234bを有し、ノズル部340bの先端は開放されている。ガス供給エリア222には、3本のノズル部340a〜340cが設けられており、処理室201内へ複数種類のガスを供給することができるように構成されている。また、ノズル部340の形状は、例えば、I字型ではなくL字型であってもよく、形状に限定されない。
以上の処理炉202では、バッチ処理される複数枚のウエハ200がボート217に対し多段に積載された状態において、ボート217がボート支持台218で支持されながら処理室201に挿入され、ヒータ207が処理室201に挿入されたウエハ200を所定の温度に加熱するようになっている。
ガス供給管310aには、上流方向から順に、第1処理ガスを供給する第1処理ガス供給源、流量制御器(流量制御部)であるマスフローコントローラ(MFC)320aおよび開閉弁であるバルブ330aがそれぞれ設けられている。また、遮断弁101aは、ガス供給管310aとノズル支持部350aとの間の境界に設けられており、マニホールド226の外側に近接した状態で設けられている。例えば、マニホールド226と遮断弁101aの間にフレキシブル配管を設けることなく、マニホールド226と遮断弁101aが一体的に取り付けられる。更に、後述する排気部102aが遮断弁101aと隣接するように取り付けられてもよい。
ガス供給管310bには、上流方向から順に、第2処理ガスを供給する第2処理ガス供給源、流量制御器(流量制御部)であるマスフローコントローラ(MFC)320bおよび開閉弁であるバルブ330bがそれぞれ設けられている。また、遮断弁101bは、ガス供給管310bとノズル支持部350bとの間の境界に設けられており、マニホールド226の外側に近接した状態で設けられている。例えば、マニホールド226と遮断弁101bの間にフレキシブル配管を設けることなく、マニホールド226と遮断弁101bが一体的に取り付けられる。更に、後述する排気部102bが遮断弁101bと隣接するように取り付けられてもよい。
ガス供給管310cには、上流方向から順に、第3処理ガスを供給する第3処理ガス供給源、流量制御器(流量制御部)であるマスフローコントローラ(MFC)320cおよび開閉弁であるバルブ330cがそれぞれ設けられている。また、遮断弁101cは、ガス供給管310cとノズル支持部350cとの間の境界に設けられており、マニホールド226の外側に近接した状態で設けられている。例えば、マニホールド226と遮断弁101cの間にフレキシブル配管を設けることなく、マニホールド226と遮断弁101cが一体的に取り付けられる。更に、後述する排気部102cが遮断弁101cと隣接するように取り付けられてもよい。
ガス供給管310a〜310cのバルブ330a〜330cよりも下流側に、不活性ガスを供給するガス供給管310d〜310fがそれぞれ接続されている。ガス供給管310d〜310fには、上流方向から順に、流量制御器(流量制御部)であるMFC320d〜320fおよび開閉弁であるバルブ330d〜330fがそれぞれ設けられている。
主に、ガス供給管310a、MFC320a、バルブ330aにより第1処理ガス供給系が構成される。また、第1処理ガス供給源、ノズル支持部350a、ノズル部340a、遮断弁101aを第1処理ガス供給系に含めて考え、第1処理ガス供給系は、ガス供給管310a、MFC320a、バルブ330aにより構成される第1配管部と、少なくとも第1遮断部101aを含む第1境界部と、ノズル支持部350aとノズル部340aで少なくとも構成される第1ノズルとを含む構成としても良い。例えば、本実施形態において、第1処理ガス供給系は第1処理ガスとして反応ガスが供給されるよう構成されている。
主に、ガス供給管310b、MFC320b、バルブ330bにより第2処理ガス供給系が構成される。また、第2処理ガス供給源、ノズル支持部350b、ノズル部340b、遮断弁101bを第2処理ガス供給系に含めて考え、第2処理ガス供給系は、ガス供給管310b、MFC320b、バルブ330bにより構成される第2配管部と、少なくとも第2遮断部101bを含む第2境界部と、ノズル支持部350bとノズル部340bで少なくとも構成される第2ノズルとを含む構成としても良い。但し、ノズル部340bは先端が開放されたショートノズルであるため、ノズル支持部350bとノズル部340bが一体となった形状が好ましい。例えば、本実施形態において、第2処理ガス供給系は第2処理ガスとして原料ガスが供給されるよう構成されている。
主に、ガス供給管310c、MFC320c、バルブ330cにより第3処理ガス供給系が構成される。また、第3処理ガス供給源、ノズル支持部350c、ノズル部340c、遮断弁101cを第3処理ガス供給系に含めて考え、第3処理ガス供給系は、ガス供給管310c、MFC320c、バルブ330cにより構成される第3配管部と、少なくとも第3遮断部101cを含む第3境界部と、ノズル支持部350cとノズル部340cで少なくとも構成される第3ノズルとを含む構成としても良い。例えば、本実施形態において、第3処理ガス供給系は第3処理ガスとして反応ガス若しくは基板処理に寄与しない不活性ガスが供給されるよう構成されている。尚、これら処理ガス供給系の構成、及び遮断弁101の詳細は後述する。
なお、本明細書において、処理ガスという言葉を用いた場合は、第1処理ガスのみを含む場合、第2処理ガスのみを含む場合、第3処理ガスのみを含む場合、もしくはそれら全てを含む場合がある。また、処理ガス供給系という言葉を用いた場合は、第1処理ガス供給系のみを含む場合、第2処理ガス供給系のみを含む場合、第3処理ガス供給系のみを含む場合、もしくはそれら全てを含む場合がある。
ガス排気エリア224の下部には排気口230が設けられている。排気口230は排気管232に接続されている。排気管232には処理室201内の圧力を検出する圧力検出器(圧力検出部)としての圧力センサ245および圧力調整器(圧力調整部)としてのAPC(Auto PressureController)バルブ244を介して真空排気装置としての真空ポンプ246が接続されており、処理室201内の圧力が所定の圧力(真空度)となるよう排気するように構成されている。なお、APCバルブ244は、弁を開閉して処理室201内の真空排気・真空排気停止ができ、更に弁開度を調節してコンダクタンスを調整して処理室201内の圧力調整をできるようになっている開閉弁である。主に、排気管232、APCバルブ244、圧力センサ245により排気系が構成される。なお、真空ポンプ246も排気系に含めてもよい。
このように、後述するコントローラ280は、後述するプロセスレシピを実行して、上述のように(A)搬送系(ボートエレベータ115、ボート回転機構267等)、(B)温度制御系(ヒータ207等)、(C)処理ガス供給系(遮断部101、MFC320、バルブ330等)、(D)ガス排気系(APCバルブ244、圧力センサ245等)を制御するように構成されている。
また、図2に示すように、反応管203の外側に温度検出器としての温度センサ1(以後、熱電対ともいう)が設置されている。温度センサ1により検出された温度情報に基づきヒータ207への供給電力が調整され、処理室201の温度が所望の温度分布となるように構成されている。
また、図2に示すように熱電対1が、反応管203の外側に保護部材としてのカバー2より取り付けられている。カバー2は、石英部材で構成されている。本実施形態において、熱電対1が、処理室201の外側に取り付けられ、加熱部としてのヒータ207と対向するよう設けられる。例えば、熱電対1は、反応管203とカバー2により固定されている。
図2では、熱電対1が1本しか図示されていないが、熱電対1は複数本設けてもよい。また、熱電対1と反応管203の間に緩衝部材を設けることが可能である。更に、図2の熱電対1は反応管の側壁に設けられているが、熱電対1は反応管203の天井部に設けてもよい。
次に、図5及び図6は、本実施形態における処理ガス供給系を説明するための模式図である。この図5及び図6は、ガス供給管310と境界部(遮断弁101)とノズルとの間の関係の説明をより分かり易くするため、図1における処理ガス供給系を2系統にして表したものである。そして、コントローラ280は、後述するプロセスレシピを実行して、(C)処理ガス供給系(遮断部101、排気部102、切替部103等)を制御するように構成されている。また、この切替部(切替弁)の上流側は、処理ガス供給源、MFC320、バルブ330等を含む処理ガス供給系が設けられているが、図5及び図6には省略されている。
尚、ガスボックス内で最も炉口部に近いバルブ(切替弁)は、基板処理に寄与するガスとクリーニングガスとを切り替えるバルブである。この切替部(切替弁)の上流側は、図示しない処理ガス供給系及び図示しないクリーニングガス供給系が設けられている。
本実施形態におけるガス供給システムは、炉口部226から反応管203内まで立上ったノズルと、少なくとも遮断部101を含む境界部と、基板処理に寄与するガスとクリーニングガスとを切り替える切替用のバルブ(切替弁)103で構成される切替部が設けられるガス供給管310と、を含み、更に、境界部は、ガス供給管310に接続され、切替部と遮断部101との間のガス供給管310を含む供給配管を排気する後述する排気部102を設けるのが好ましい。
好適には、炉口部226から反応管内まで立上ったノズル(第1ノズル及び第2ノズル)と、ノズル(第1ノズル)の上流側に設けられるガス供給管310aを有する処理ガス供給系(第1ガス供給系)と、ノズル(第2ノズル)の上流側に設けられるガス供給管310bを有する処理ガス供給系(第2ガス供給系)と、第1ノズルと第1ガス供給系の境界に設けられるよう構成されている遮断部101a(第1遮断部)と、第2ノズルと第2ガス供給系の境界に設けられるよう構成されている101b(第2遮断部)と、第1遮断部を第1ガス供給系と連動させて反応管内に第1のガスとして反応ガスを供給し、及び第2遮断部を第2ガス供給系と連動させて反応管内に第2のガスとして原料ガスを供給するよう構成されている。これら第1ガス供給系、第1遮断部、第2ガス供給系、第2遮断部は、図5及び図6でコントローラ280により制御するよう構成されている。
このような構成であるので、遮断弁101(境界部)を設け、遮断弁101aは開、遮断弁101bは閉とすることで、ガス供給管310aより第1ノズルを介して第1処理ガス供給時、ガス供給管310bと反応管203内を遮断し、第1処理ガスのガス供給管310bへの逆拡散を抑制することができる一方、遮断弁101bは開、遮断弁101aは閉とすることで、ガス供給管310bより第2ノズルを介して第2処理ガス供給時、ガス供給管310aと反応管203内を遮断し、第1処理ガスのガス供給管310aへの逆拡散を抑制することができる。
特に、本実施形態のように第2処理ガスとして原料ガスを使用する場合、遮断弁101bを開放させて第2ノズルから原料ガスを反応管203内に供給している間、遮断弁101aは閉とすることでガス供給管310aと反応管203内を遮断し、原料ガスのガス供給管310aへの逆拡散を完全に抑制することができるので、ガス供給配管310で発生する副生成物に起因するパーティクルを低減することができる。
また、図5に長鎖線で示されているように、この炉口部226を囲むように、炉口部226の局所排気を実施するための炉口ボックスを設けてもよい。炉口ボックスは、炉口部226のガスリーク及び熱こもり対策に利用される。炉口ボックス内は、50℃〜200℃の高温雰囲気となる。一般的に、弁の耐熱温度が150℃程度のため、耐熱仕様の弁(耐熱温度250〜300℃)を用いることが考えられる。但し、耐熱仕様の弁の動作寿命が著しく低下し、交換頻度が短くなることが考えられる。この対策として、遮断部101への冷却機構を追加することで、弁の耐熱温度を超える場合においても炉口ボックス内へ弁を配置可能である。
また、冷却の方法としては、後述する図9に示すように、冷却水を用いた放熱方法(例えば、冷却ブロックで遮断弁101覆った形態)が考えられる。尚、弁の耐熱温度以下にすることができればよいため、その冷却方法は何でも構わない。
更に、反応管203内のガスを排出する排気系を備え、制御部280は、反応管203内の基板に対する反応ガス又は原料ガスの供給が終了すると、第1遮断部及び第2遮断部を閉塞させて、反応管203内から未反応の原料ガス又は反応ガスを排出するよう排気系を制御するよう構成されている。反対に、制御部280は、第1遮断部及び第2遮断部を開放させた状態で、反応管203内に供給する不活性ガスの流量を変化させてサイクルパージするよう、第1ガス供給系、第1遮断部、第2ガス供給系、第2遮断部、排気系を制御するよう構成されている。
また、図5に示すように、切替部と境界部との間のガス供給管310は、形状を曲げることが可能なフレキシブル配管を含む構成となっている。ここで、フレキシブル配管は、ガス供給管310に設けられ、例えば、蛇腹状であってもよい。そして、遮断部101は、炉口部226の側壁に一体型(若しくは直結)にて設置されている。
また、図5では炉口ボックス内にフレキシブル配管が設けられている。但し、フレキシブル配管は、この形態によらず、切替部が設けられるガスボックスと遮断部101が設けられる炉口ボックスの間の配管に設けられる。ガスボックスと炉口ボックスとの間の配管の引き回しでは、現地(例えば、半導体工場)にて接続されるため、装置レイアウト、工場内の設備、装置の設置環境等により大きく左右され、配管(例えば、金属製)と配管(例えば、金属製)との間を調整する必要がある。この調整は、金属製の配管では不可能であり、配管形状を変形することが可能なフレキシブル配管が必須である。
従来、図4に示すように炉口部226と切替部との間に設置された配管は、フレキシブル配管を含む構成であったが、本実施形態において、炉口部226と遮断部101との間に設置された配管は、フレキシブル配管を含まない。尚、図6でも遮断部101の上流側にガス供給管310が設けられているが、ガス供給管310内に設けられているフレキシブル配管は省略されている。
図6は、図5に示すガス供給システムの遮断部101に隣接するように排気部102を更に設けた構成を示す模式図である。言い換えると、排気部102を除く構成は、図5と同じ構成であるため、排気部102について説明する。図6では、遮断部101の上流側で供給配管を分岐する排気部102が設置され、該排気部102により排気管232へベント配管が接続されるように構成されている。このような構成であるため、反応管203を介することなく、切替部から遮断部101間のフレキシブル配管を含むガス供給管310をサイクルパージすることができる。
例えば、後述する成膜シーケンス内において、ガス供給管310bより、反応管203内に原料ガスを供給している際にガス供給管310aをサイクルパージ可能とし、よりガス供給管310a内の清浄度を向上させることができる。また、後述する成膜シーケンス終了後の基板搬送工程において反応管203内が大気圧開放状態になっても、ガス供給管310内を個別にサイクルパージすることができ、よりガス供給管310内の清浄度を向上させることができる。
また、装置構成上マニホールド226部周辺の空間が小さく、遮断部101及び排気部102の設置が困難であるが、境界部と炉口部226を一体型とすることで、省スペースでの配置を実現でき、且つ、メンテナンス性の向上を達成できる。
次に、図7〜図10を主に用いて、炉口部226の外側に近接して設けられる遮断弁101の構成について詳述する。
本実施の形態における炉口部226と遮断部101との間の構成は、図7のように炉口部226と遮断弁101が直結した構成(外観で配管が含まれることが分かる構成)であるか、図8のように炉口部226と遮断弁101a(101b)が一体型の構成(外観では配管を設けていない構成)であるか、どちらでも構わない。また、図7及び図8は、遮断部101が付加された炉口部226を示す図でもある。
図示されていないが、排気部102が遮断部101に隣接された状態で炉口部226に一体的に構成することができる。また、図9に示すように、遮断部101が冷却機構付で構成されていても構わない。
また、図4の炉口部226と切替部との間に設置された配管の長さ(配管長)と、本実施の形態における炉口部226と遮断部101との間に設置された配管の長さ(配管長)を比較する。図7の実施例の配管長を100mmと仮定して、その配管長の長さ比が1/5〜1/30程度、更に、図8の実施例においては、図示されていないが接続部を配管に含めると大凡50mmと仮定され、その配管長の長さ比が1/10〜1/60程度である。また、理想の配管長はゼロ(配管を設けない構成)である。
図8において炉口部226に遮断部101が一体的に取り付けられた構成、つまり、炉口部226の側壁に配管を設けないように取り付けられている遮断部101の詳細を図10に示す。尚、図10において遮断部101が、図示していないが同様の構成で複数炉口部226に設けられる。
遮断部101は、一端では炉口部226の内側に配置されるノズル(又は、ノズル支持部350)と接続され、他端では炉口部226の外側で配管(本実施形態ではガス供給管と接続される。図10は、遮断部101が開の状態のときの図である。図10に、ガスの流路がガス供給管310から遮断部101内を介してノズル部340まで連通するように構成されている。
また、ガス供給管310への処理ガスの逆拡散の影響を最低限にするには、ノズル支持部350と遮断部101の間に配管を設けないのが理想だが、遮断部101の構成上無理であるため、図10のように遮断部101と炉口部226との間を一体構造にするのが好ましい。
図3に示すように、制御部(制御手段)であるコントローラ280は、CPU(Central Processing Unit)121a、RAM(Random Access Memory)121b、記憶装置121c、I/Oポート121dを備えたコンピュータとして構成されている。RAM121b、記憶装置121c、I/Oポート121dは、内部バス121eを介して、CPU121aとデータ交換可能なように構成されている。コントローラ280には、例えばタッチパネル等として構成された入出力装置122が接続されている。
記憶装置121cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)等で構成されている。記憶装置121c内には、基板処理装置の動作を制御する制御プログラムや、基板処理の手順や条件等が記載されたプロセスレシピとしての後述する成膜シーケンス等が、読み出し可能に格納されている。プロセスレシピは、後述する基板処理工程における各手順をコントローラ280に実行させ、所定の結果を得ることが出来るように組み合わされたものであり、プログラムとして機能する。以下、このプロセスレシピや制御プログラム等を総称して、単に、プログラムともいう。本明細書においてプログラムという言葉を用いた場合は、プロセスレシピ単体のみを含む場合、制御プログラム単体のみを含む場合、または、その両方を含む場合がある。RAM121bは、CPU121aによって読み出されたプログラムやデータ等が一時的に保持されるメモリ領域(ワークエリア)として構成されている。
I/Oポート121dは、上述のMFC320a〜320f、バルブ330a〜330f、遮断弁101a〜101c、排気弁102a〜102c、圧力センサ245、APCバルブ244、真空ポンプ246、ヒータ207、温度センサ(熱電対)1、ボート回転機構267、ボートエレベータ115等に接続されている。
CPU121aは、記憶装置121cから制御プログラムを読み出して実行すると共に、入出力装置122からの操作コマンドの入力等に応じて記憶装置121cからプロセスレシピを読み出すように構成されている。CPU121aは、読み出した制御プログラムやプロセスレシピの内容に沿うように、MFC320a〜320fによる各種ガスの流量調整動作、バルブ330a〜330fの開閉動作、遮断弁101a〜101cの開閉動作、APCバルブ244の開閉動作および圧力センサ245に基づくAPCバルブ244による圧力調整動作、真空ポンプ246の起動および停止、温度センサ1に基づくヒータ207の温度調整動作、ボート回転機構267によるボート217の回転および回転速度調節動作、ボートエレベータ115によるボート217の昇降動作等を制御するように構成されている。
コントローラ280は、外部記憶装置(例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリやメモリカード等の半導体メモリ)123に格納された上述のプログラムを、実行することができる。一方、記憶装置121cや外部記憶装置123は、コンピュータ読み取り可能な記録媒体として構成することができる。以下、これらを総称して、単に、記録媒体ともいう。本明細書において記録媒体という言葉を用いた場合は、記憶装置121c単体のみを含む場合、外部記憶装置123単体のみを含む場合、または、その両方を含む場合がある。なお、コントローラ280へのプログラムの提供は、外部記憶装置123を用いず、インターネットや専用回線等の通信手段を用いて行ってもよい。
次に、本発明に関わる基板処理装置の動作概要について説明する。なお、基板処理装置は、コントローラ280により制御されるものである。
所定枚数のウエハ200が載置されたボート217が反応管203内に挿入され、シールキャップ219により、反応管203が気密に閉塞される。気密に閉塞された反応管203内では、ウエハ200が加熱されて所定の温度に維持されると共に、処理ガスが反応管203内に供給され、ウエハ200に加熱等の熱処理がなされる。
熱処理として、例えば、本実施形態における成膜処理では、プロセスレシピとして図11に示す成膜シーケンスを、処理室201内のウエハ200に対してHCDSガスを供給する工程と、処理室201内からHCDSガス(残留ガス)を除去する工程と、処理室201内のウエハ200に対してNHガスを供給する工程と、処理室201内からNHガス(残留ガス)を除去する工程と、を非同時に行うサイクルを所定回数(1回以上)行うことで、ウエハ200上にSiN膜を形成する。
また、本明細書において「基板」という言葉を用いた場合、「ウエハ」という言葉を用いた場合と同義である。
(ウエハチャージおよびボートロード)
複数枚のウエハ200がボート217に装填(ウエハチャージ)されると、ボート217は、ボートエレベータによって処理室201内に搬入(ボートロード)される。このとき、シールキャップ219は、Oリングを介して反応管203の下端を気密に閉塞(シール)した状態となる。
(圧力調整および温度調整)
処理室201内、すなわち、ウエハ200が存在する空間が所定の圧力(真空度)となるように、真空ポンプ246によって真空に排気される。この際、処理室201内の圧力は、圧力センサ245で測定され、この測定された圧力情報に基づきAPCバルブ244が、フィードバック制御される。真空ポンプ246は、少なくともウエハ200に対する処理が終了するまでの間は常時作動させた状態を維持する。
また、処理室201内のウエハ200が所定の温度となるように、ヒータ207によって加熱される。この際、処理室201が所定の温度分布となるように、温度センサが検出した温度情報に基づきヒータ207への通電具合がフィードバック制御される。ヒータ207による処理室201内の加熱は、少なくともウエハ200に対する処理が終了するまでの間は継続して行われる。
また、回転機構267によるボート217およびウエハ200の回転を開始する。回転機構267により、ボート217が回転されることで、ウエハ200が回転される。回転機構267によるボート217およびウエハ200の回転は、少なくとも、ウエハ200に対する処理が終了するまでの間は継続して行われる。
(成膜処理)
処理室201の温度が予め設定された処理温度に安定すると、以下、次の2つのステップ、すなわち、ステップ1〜2を順次実行する。
[ステップ1]
このステップでは、処理室201内のウエハ200に対し、原料ガス(HCDSガス)を供給する。このステップ1は、プリパージ工程と、原料ガス供給工程と、原料ガス排気工程と、パージ工程と、を少なくとも含む。以下、それぞれの工程について説明する。
(プリパージ工程)
先ず、バルブ330b、330eを開き、ガス供給管310b内へHCDSガスを流す。但し、この工程では、遮断弁101bを閉じ、処理室201へは供給しない。このとき、同時にバルブ330dおよび330fを開き、ガス供給管310aおよび310c内へNガスを流す。更に、遮断弁101aおよび101cを開き、Nガスを、MFCにより流量調整された所定の流量で処理室201内へ供給し、排気管232から排気してもよい。ここで、排気弁102bを遮断弁101bに隣接して設け、排気弁102bを開き、HCDSガスを、ガス供給管310bから排気弁102bを介して排気管232へ排気することができるよう構成するのが好ましい。
(原料ガス供給工程)
引き続きバルブ330b、330eを開いた状態で、遮断弁101bを開き、処理室201内へHCDSガスを流す。このとき、HCDSガスは、MFCにより流量調整され、ノズル部340bを介して処理室201内へ供給され、排気管232から排気される。一方、遮断弁101a、遮断弁101cは閉じられる。これにより、ガス供給管310a、310cにHCDSガスが逆拡散することを抑制できる。
(原料ガス排気工程)
次に、引き続き遮断弁101a及び101cを閉じた状態で遮断弁101bを閉じる。このとき、APCバルブ244は開いたままとして、真空ポンプ246により処理室201内を真空排気し、処理室201内に残留する未反応もしくは第1の層としてのシリコン(Si)含有層の形成に寄与した後のHCDSガスを処理室201内から排出する。
そして、この原料ガス供給工程と原料ガス排気工程を順次(本実施例では3回)実行させる。このとき、ウエハ200の最表面上に、第1の層が形成される。尚、原料供給工程と原料排気工程を一サイクルとして複数サイクル実行するのが好ましい。本実施例では、HCDSガスを処理室201内に供給するノズルの先端が開放された短管ノズルで構成されており、ガス濃度分布の均一化を図るため、このようなサイクリックな供給(サイクルフロー)としている。但し、ガスの供給の仕方はノズル形状に応じて適宜設定される。
(アフターパージ工程)
第1の層が形成された後、バルブ330bを閉じ、HCDSガスの供給を停止する。このとき、バルブ330d〜330f、及び遮断弁101a〜101cを開き、Nガスの処理室201内への供給を再開する。Nガスはパージガスとして作用し、これにより、処理室201内に残留するガスを処理室201内から排出する効果を高めることができる。
(ガスパージ工程)
アフターパージ工程より引き続き、バルブ330d〜330f及び遮断弁101a〜101cを開いたまま、Nガスの処理室201内への供給を継続し、所定周期でガス流量を異ならせる。例えば、流量Aと流量B(流量A>流量B)の切替を、予め決められた回数行う。本実施形態では2回行うように制御される。
本実施形態では、反応ガスを供給する前に、確実に処理室201内に残留するガスを処理室201内から排出するガスパージ工程をステップ1に含めるが、成膜シーケンスを図13に示すようステップ1からステップ4に分割してもよい。図13については後述する。
[ステップ2]
ステップ1が終了した後、処理室201内のウエハ200、すなわち、ウエハ200上に形成された第1の層に対して反応ガスとしてNHガスを供給する。NHガスは熱で活性化されてウエハ200に対して供給されることとなる。
このステップでは、バルブ330a、330d、101aの開閉制御を、ステップ1におけるバルブ330b、330e、101bの開閉制御と同様の手順で行う。NHガスは、MFCにより流量調整され、ノズル部340aを介して処理室201内へ供給され、排気管232から排気される。このとき、ウエハ200に対してNHガスが供給されることとなる。ウエハ200に対して供給されたNHガスは、ステップ1でウエハ200上に形成された第1の層、すなわちSi含有層の少なくとも一部と反応する。これにより第1の層は、ノンプラズマで熱的に窒化され、SiおよびNを含む第2の層、すなわち、シリコン窒化層(SiN層)へと変化させられる(改質される)。なお、このとき、プラズマ励起させたNHガスをウエハ200に対して供給し、第1の層をプラズマ窒化することで、第1の層を第2の層(SiN層)へ変化させるようにしてもよい。
第2の層が形成された後、バルブ330a、330dを閉じ、NHガスの供給を停止する。そして、ステップ1と同様の処理手順により、処理室201内に残留する未反応もしくは第2の層の形成に寄与した後のNHガスや反応副生成物を処理室201内から排出する。
(ガスパージ工程)
反応ガスを供給後、確実に処理室201内に残留するガスを処理室201内から排出する工程をステップ2に含める場合がある。
手順はステップ1の時と同様に、バルブ330d〜330f、及び遮断弁101a〜101cを開き、Nガスの処理室201内への供給を継続し、所定周期で流量を異ならせる。例えば、流量Aと流量B(流量A>流量B)に切替を、予め決められた回数行う。本実施形態では4回行うよう制御される。
(アフターパージ工程)
所定回数終了後、バルブ330d〜330f、及び遮断弁101a〜101cを開いた状態で、所定流量に調整したNガスを、所定時間処理室201内へ供給してパージ工程を終了する。これで成膜シーケンスを終了する。
(所定回数実施)
上述した2つのステップ(図11に示す成膜シーケンス)を非同時に、すなわち、同期させることなく行うサイクルを所定回数(n回)行うことにより、ウエハ200上に、所定組成および所定膜厚のSiN膜を形成することができる。なお、上述のサイクルは複数回繰り返すのが好ましい。すなわち、上述のサイクルを1回行う際に形成される第2の層(SiN層)の厚さを所定の膜厚よりも小さくし、第2の層(SiN層)を積層することで形成されるSiN膜の膜厚が所定の膜厚になるまで、上述のサイクルを複数回繰り返すのが好ましい。
(パージおよび大気圧復帰)
成膜処理が完了した後、バルブ310eおよび310fを開き、ガス供給管310bおよび310cからNガスを処理室201内へ供給し、排気管232から排気する。これにより、処理室201内がパージされ、処理室201内に残留するガスや反応副生成物が処理室201内から除去される(パージ)。その後、処理室201内の雰囲気が不活性ガスに置換され(不活性ガス置換)、処理室201内の圧力が常圧に復帰される(大気圧復帰)。
(ボートアンロードおよびウエハディスチャージ)
ボートエレベータ115によりシールキャップ219が下降され、反応管203の下端が開口される。そして、処理済のウエハ200が、ボート217に支持された状態で、反応管203の下端から反応管203の外部に搬出される(ボートアンロード)。処理済のウエハ200は、ボート217より取出される(ウエハディスチャージ)。
本実施の形態によれば、ノズルとガス供給系の境界に設けられている遮断弁101を開閉させながらHCDSガスを反応管203内に供給することができるので、HCDSガスを供給する処理ガス供給系以外の処理ガス供給系に接続される遮断弁101を閉じることにより、HCDSガスが他の処理ガス供給系に拡散されることが無い。従い、ガス供給管310等の配管内の副生成物が起因とされるパーティクルが低減できる。
本実施の形態によれば、HCDSガス以外の処理ガス供給系の遮断弁101を閉じることにより、HCDSガスの逆拡散を抑制できるので、HCDSガスを供給する処理ガス供給系を構成する配管を加熱する範囲を、大幅に縮小させることができる。
更に、HCDSガスを供給する処理ガス供給系以外の処理ガス供給系も同様にHCDSガスが拡散される配管を加熱していたが、ガスによっては配管を加熱する必要が無く、また、配管加熱が必要なガスであっても適切な温度に加熱すればよく、これまでHCDSの液化防止のため高温加熱していた範囲を縮小することができ、ヒータコストの削減につながる。
図12は、図1に示す処理ガス供給系(3系統)にて、成膜ガス供給系以外の2系統よりカウンターN2の流量を変化させたN2ガス流量依存性を示す図である。
このときの処理条件は、例えば下記のとおりである。
ウエハ200の温度:100〜800℃(好ましくは、400〜750℃、本実施形態では、630℃)
処理室内圧力:5〜4000Pa(好ましくは、10〜1332Pa)
HCDSガス供給流量:1〜2000sccm(好ましくは、50〜500sccm)
NHガス供給流量:100〜30000sccm
ガス供給流量:1〜50000sccm
SiN膜の膜厚:0.2〜100nm
図12は、カウンターN2の有無及び流量に対して、基板処理領域のTOP、CNT、BTMのそれぞれの位置に配置されたウエハ200の膜厚平均値と面内均一性を比較した表であり、また、カウンターN2の有無及び流量によって面間平均値を比較した表である。
図12に示すカウンターN2無しが、本実施の形態に相当する。つまり、本実施の形態によれば、HCDSガスやNH3ガスが供給されている間、これまでカウンターN2を処理室201内に供給していたガス供給系に設けられた遮断弁101を閉としておくことにより、ガス供給管310側にこれらHCDSガスやNH3ガスが逆拡散を防止することができるため、カウンターN2の必要が無い。
また、カウンターN2が無い場合に、基板処理領域のTOP、CNT、BTMのそれぞれの位置に配置されたウエハ200の膜厚平均値が一番高くなっている。これは、カウンターN2により希釈されなくて済むので処理室内201内のHCDSガスやNHガスの濃度が高くなっているためである。
また、カウンターN2が無い場合に、基板処理領域のTOP、CNT、BTMのそれぞれの位置に配置されたウエハ200の面内均一性が一番低い値となっている。これは、カウンターN2の影響をうけることなく、処理室内201内のHCDSガスやNHガスがウエハ200表面に均等(若しくは全面)に接触することができるためである。
ここで、TOPは、基板処理領域に配置されたウエハ200のうち最上部に配置されたウエハ200であり、BTMは、基板処理領域に配置されたウエハ200のうち最下部に配置されたウエハ200であり、CNTは、基板処理領域に配置されたウエハ200のうち中心部に配置されたウエハ200である。例えば、ミニバッチ炉(全33Slot)において、Slot1〜Slot4及びSlot30〜33にダミーウエハ(サイドダミーウエハ)が配置されると、TOP:29Slot、CNT:17Slot、BTM:5Slotとなる。
また、面内均一性は、ウエハ200面内の所定箇所の膜厚を計測して平均している。そして、面間均一性は、この面内均一性を更にTOP〜BTMまでのSlotに載置されたウエハ200の枚数分の平均値を求めることで算出している。上記ミニバッチ炉によれば、25Slot分の面内平均値より面間平均値を算出することになる。
本実施例によれば、カウンターN2の供給を無くすことにより、面内均一性及び面間均一性のいずれにおいても改善することができた。特に、面間均一性は、格段に向上することが分かった。
次に、図13に遮断弁が無い現行の成膜シーケンスと遮断弁を有する実施形態における成膜シーケンスとの比較を示す。明らかに処理ガス供給後のパージ工程(ガス置換工程)において、反応管内のガス置換に要する時間が大幅に向上されている。
図4に示すように炉口部226に一番直近の開閉弁まで配管があり、従来のパージ工程ではこの開閉弁までの配管を排気するようになっていた。よって、この配管まで真空ポンプ246で排気していたため、排気効率が悪くなっており、ガス置換工程に時間を費やす必要があった。一方、本実施形態によれば、遮断部101を閉塞することにより、ノズル部340まで真空ポンプ246で排気するため、現行のシーケンスと比較して格段と排気効率が向上している。特に、図13に示すように処理ガス供給後のサイクルパージ工程の時間を大幅に短縮することができる。
例えば、図13に示すシーケンス比較では、現行の成膜シーケンスの一サイクルにかかる時間が51secに対して、遮断弁101を有する本実施形態における成膜シーケンスの一サイクルにかかる時間が41secとなっており、一つのサイクルで約20%(10sec)短縮することができる。
このように本実施の形態によれば、処理ガス供給後のパージ工程において、遮断弁を閉じることにより反応管内のガス置換効率を大幅に向上させることができる。従い、成膜シーケンスにおけるパージ工程に係る時間を短縮することができる。更に、成膜シーケンスの短縮によりスループット向上が期待できる。
また、本実施の形態によれば、以下に示す一つ又は複数の効果が得られる。
(a)本実施の形態によれば、マニホールドの外側に遮断弁を設けることで一体化することにより、遮断弁よりも供給ガス配管上流側へのガス逆拡散を抑制できる。
(b)本実施の形態によれば、遮断弁が炉口部の側壁に近接して設けられるよう構成されているので、他のガス供給管から反応管内に処理ガスが供給されている間、遮断弁を閉塞することによりガス供給管内へ処理ガスの逆拡散を抑制することができる。
(c)本実施の形態によれば、ガス供給管上流側への処理ガスの逆拡散を抑制することにより、例えば、塩化アンモニウム等の副生成物が配管内部に付着することを抑制し、それらに起因するパーティクルを低減できる。
(d)本実施の形態によれば、ガス供給管内への処理ガスの逆拡散を抑制できるので、他のガス供給管から反応管内に成膜ガスが供給される際に、逆拡散を抑制するための不活性ガス(本実施形態におけるカウンターN2ガス)を反応管内に供給する必要が無く、不活性ガスの無駄な浪費を抑えられる。
(e)本実施の形態によれば、反応室と各ガス供給管の雰囲気を遮断することにより、配管加熱範囲縮小と各々の配管加熱温度の適正化ができる。
(f)本実施の形態によれば、遮断弁を設けているので、あるガス供給管から供給される気化ガスによる他のガス供給管内への逆拡散を抑制することができる。よって、他のガス供給管に供給されるガスによるものの他のガス供給管自体は加熱の必要が無い場合は、配管の加熱範囲を縮小することができる。
(g)本実施の形態によれば、ガス供給管自体も加熱の必要がある場合、ガス供給管ほど高温で温度均一性の要求値が高くない場合であっても、ガス供給管の温度に設定する必要があったが、遮断弁を追加することにより、ガス供給管ほど高温で温度均一性を良くする必要が無く、安価な比較的低温なヒータや、断熱構造も簡単なヒータを選定することができる。
(h)本実施の形態によれば、カウンターN2の代わりに遮断弁を閉じることにより、結果として膜厚均一性を向上することが出来る。
(i) 本実施の形態によれば、遮断弁を閉じ、供給ガス配管上流側へのガス逆拡散を抑制することにより、処理室内のガス置換効率を向上し、成膜シーケンス時間を短縮できる。
本実施形態では、基板処理装置の一種である縦型の半導体製造装置に関して詳述したが、これに限定されることは無く、例えば、横型の半導体製造装置についても本発明は適用できる。
例えば、上述の実施形態では、原料ガスとしてHCDSガスを用いる例について説明した。しかしながら、本発明は、このような態様に限定されない。例えば、原料ガスとしては、HCDSガスの他、モノクロロシラン(SiHCl、略称:MCS)ガス、ジクロロシラン(SiHCl、略称:DCS)ガス、トリクロロシラン(SiHCl、略称:TCS)ガス、テトラクロロシランすなわちシリコンテトラクロライド(SiCl、略称:STC)ガス、オクタクロロトリシラン(SiCl、略称:OCTS)ガス等の無機系ハロシラン原料ガスや、トリスジメチルアミノシラン(Si[N(CHH、略称:3DMAS)ガス、テトラキスジメチルアミノシラン(Si[N(CH、略称:4DMAS)ガス、ビスジエチルアミノシラン(Si[N(C、略称:BDEAS)ガス、ビスターシャリブチルアミノシラン(SiH[NH(C)]、略称:BTBAS)ガス等のハロゲン基非含有のアミノ系(アミン系)シラン原料ガスを用いることができる。また、原料ガスとしては、モノシラン(SiH、略称:MS)ガス、ジシラン(Si、略称:DS)ガス、トリシラン(Si、略称:TS)ガス等のハロゲン基非含有の無機系シラン原料ガスを用いることができる。
また、例えば、上述の実施形態では、反応ガスとしてNHガスを用いる例について説明した。しかしながら、本発明は、このような態様に限定されない。例えば、反応ガスとしては、NHガスの他、ジアゼン(N)ガス、ヒドラジン(N)ガス、Nガス等の窒化水素系ガスや、これらの化合物を含むガス等を用いることができる。また、反応ガスとしては、トリエチルアミン((CN、略称:TEA)ガス、ジエチルアミン((CNH、略称:DEA)ガス、モノエチルアミン(CNH、略称:MEA)ガス等のエチルアミン系ガスや、トリメチルアミン((CHN、略称:TMA)ガス、ジメチルアミン((CHNH、略称:DMA)ガス、モノメチルアミン(CHNH、略称:MMA)ガス等のメチルアミン系ガス等を用いることができる。また、反応ガスとしては、トリメチルヒドラジン((CH(CH)H、略称:TMH)ガス等の有機ヒドラジン系ガス等を用いることができる。
また、例えば、上述の実施形態では、原料ガスとしてHCDSガスを用い、反応ガスとしてNHガスのような窒素(N)含有ガス(窒化ガス)を用い、SiN膜を形成する例について説明した。しかしながら、本発明は、このような態様に限定されない。例えば、これらの他、もしくは、これらに加え、酸素(O)ガス等の酸素(O)含有ガス(酸化ガス)、プロピレン(C)ガス等の炭素(C)含有ガス、三塩化硼素(BCl)ガス等の硼素(B)含有ガス等を用い、SiO膜、SiON膜、SiOCN膜、SiOC膜、SiCN膜、SiBN膜、SiBCN膜等を形成することができる。なお、各ガスを流す順番は適宜変更することができる。これらの成膜を行う場合においても、上述の実施形態と同様な処理条件にて成膜を行うことができ、上述の実施形態と同様の効果が得られる。
なお、各ガスを流す順番は適宜変更することができる。これらの成膜を行う場合においても、上述の実施形態と同様な処理条件にて成膜を行うことができ、上述の実施形態と同様の効果が得られる。すなわち、本発明は、半導体元素や金属元素等の所定元素を含む膜を形成する場合に好適に適用することができる。
また、上述の実施形態では、基板上に膜を堆積させる例について説明した。しかしながら、本発明は、このような態様に限定されない。例えば、基板や基板上に形成された膜等に対して、酸化処理、拡散処理、アニール処理、エッチング処理等の処理を行う場合にも、好適に適用可能である。また、上述の実施形態や変形例は、適宜組み合わせて用いることができる。このときの処理条件は、例えば上述の実施形態や変形例と同様な処理条件とすることができる。
以上、本発明の実施の形態を具体的に説明したが、本発明は上述の実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
本発明は、基板上に膜を形成させる基板処理装置に適用可能である。
101 遮断弁(遮断部)
102 排気弁(排気部)
200 ウエハ(基板)
203 反応管
226 マニホールド(炉口部)
310 ガス供給管
340 ノズル部
350 ノズル支持部

Claims (13)

  1. 反応管と該反応管の下部に設けられる炉口部で少なくとも構成される処理室と、前記炉口部に設けられ、前記炉口部から前記反応管内まで立上ったノズルと、前記ノズルの上流側に設けられるガス供給系と、前記ガス供給系と前記ノズルの境界に設けられるよう構成されている遮断部と、前記遮断部を前記ガス供給系と連動させて前記ノズルから前記処理室内にガスを供給するよう、前記ガス供給系及び前記遮断部をそれぞれ制御する制御部と、を備えた基板処理装置。
  2. 前記遮断部は、前記遮断部と前記炉口部の側壁との間に配管を設けないよう前記炉口部の側壁に近接して取付けられるよう構成されている請求項1記載の基板処理装置。
  3. 更に、前記配管部は、前記遮断部より上流側に設けられるガス切替部を有し、
    前記ガス供給系は、前記ガス切替部と前記遮断部との間の配管内の排気を実施する排気部を備えた請求項1記載の基板処理装置。
  4. 更に、前記遮断部の冷却を実施する冷却部を備え、
    前記冷却部は、前記遮断部に冷却流体を供給するよう構成されている請求項1乃至請求項3のいずれか一つに記載の基板処理装置。
  5. 更に、前記炉口部の局所排気を実施する炉口ボックス部を備え、
    前記遮断部は、前記炉口ボックス部内に設けられるよう構成されている請求項1乃至請求項4のいずれか一つに記載の基板処理装置。
  6. 炉口部から反応管内まで立上った第1ノズル及び第2ノズルと、
    前記第1ノズルの上流側に設けられる第1ガス供給系と、
    前記第2ノズルの上流側に設けられる第2ガス供給系と、
    前記第1ノズルと前記第1ガス供給系の境界に設けられるよう構成されている第1遮断部と、
    前記第2ノズルと前記第2ガス供給系の境界に設けられるよう構成されている第2遮断部と、
    前記第1遮断部を前記第1ガス供給系と連動させて前記反応管内に第1のガスを供給し、及び前記第2遮断部を前記第2ガス供給系と連動させて前記反応管内に第2のガスを供給するよう、前記第1ガス供給系、前記第1遮断部、前記第2ガス供給系、前記第2遮断部を制御する制御部と、
    を備えた基板処理装置。
  7. 前記制御部は、前記第1遮断部を開放させて前記反応管内の基板に対して前記第1のガスを供給させつつ、前記第2のガスを供給しないよう前記第2遮断部を閉塞させる、および/または、前記第2遮断部を開放させて前記反応管内の基板に対して前記第2のガスを供給させつつ、前記第1のガスを供給しないよう前記第1遮断部を閉塞させる請求項6記載の基板処理装置。
  8. 更に、前記反応管内のガスを排出する排気系を備え、
    前記制御部は、前記反応管内の基板に対する前記第1のガス又は前記第2のガスの供給が終了すると、前記第1遮断部及び前記第2遮断部を閉塞させて、前記反応管内から前記第1のガス又は前記第2のガスを排出するよう前記排気系を制御する請求項6記載の基板処理装置。
  9. 更に、前記反応管内のガスを排出する排気系を備え、
    前記制御部は、前記第1遮断部及び前記第2遮断部をそれぞれ開放させた状態で、前記反応管内をサイクルパージするよう、前記第1ガス供給系、前記第1遮断部、前記第2ガス供給系、前記第2遮断部、前記排気系を制御する請求項6記載の基板処理装置。
  10. 前記制御部は、前記第1遮断部を前記第1ガス供給系と連動させると共に前記第2遮断部を前記第2ガス供給系と連動させて前記反応管内に不活性ガスを供給するよう、前記第1ガス供給系、前記第1遮断部、前記第2ガス供給系、前記第2遮断部を制御する請求項6記載の基板処理装置。
  11. 反応管の下部に設けられる炉口部であって、
    前記炉口部の内壁から前記反応管内まで立上ったノズルに接続される遮断部が、前記炉口部の外壁との間に配管を設けないよう取付けられるよう構成されている炉口部。
  12. 複数の基板を基板保持部材に載置した状態で前記基板保持部材を反応管内に搬入する工程と、
    炉口部の内壁から前記反応管内まで立上った第1ノズル及び第2ノズルにそれぞれ接続される第1遮断部及び第2遮断部を、前記第1ノズル及び前記第2ノズルの上流側にそれぞれ設けられる第1ガス供給系及び第1ガス供給系とそれぞれ連動させて、前記第1ノズルを介して前記反応管内に第1のガス、前記第2ノズルを介して前記反応管内に第2のガスをそれぞれ供給し、前記反応管内の前記基板を処理する工程と、を少なくとも有する半導体装置の製造方法。
  13. 複数の基板を基板保持部材に載置した状態で前記基板保持部材を反応管内に搬入する手順と、
    炉口部の内壁から前記反応管内まで立上った第1ノズル及び第2ノズルにそれぞれ接続される第1遮断部及び第2遮断部を、前記第1ノズル及び前記第2ノズルの上流側にそれぞれ設けられる第1ガス供給系及び第1ガス供給系とそれぞれ連動させて、前記第1ノズルを介して前記反応管内に第1のガス、及び前記第2ノズルを介して前記反応管内に第2のガスをそれぞれ供給し、前記反応管内の前記基板を処理する手順と、
    をコントローラに実行させて基板処理装置として機能させるプログラム。
JP2018522204A 2016-06-07 2016-06-07 基板処理装置および半導体装置の製造方法並びにプログラム Active JP6616895B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2016/066915 WO2017212546A1 (ja) 2016-06-07 2016-06-07 基板処理装置、炉口部および半導体装置の製造方法並びにプログラム

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019202852A Division JP6768134B2 (ja) 2019-11-08 2019-11-08 基板処理装置および半導体装置の製造方法並びにプログラム

Publications (2)

Publication Number Publication Date
JPWO2017212546A1 true JPWO2017212546A1 (ja) 2019-02-28
JP6616895B2 JP6616895B2 (ja) 2019-12-04

Family

ID=60577743

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018522204A Active JP6616895B2 (ja) 2016-06-07 2016-06-07 基板処理装置および半導体装置の製造方法並びにプログラム

Country Status (5)

Country Link
US (3) US10640872B2 (ja)
JP (1) JP6616895B2 (ja)
KR (2) KR102326377B1 (ja)
CN (1) CN109075070A (ja)
WO (1) WO2017212546A1 (ja)

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
KR102326377B1 (ko) * 2016-06-07 2021-11-15 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
JP7134020B2 (ja) * 2018-08-17 2022-09-09 東京エレクトロン株式会社 バルブ装置、処理装置、および制御方法
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11703229B2 (en) * 2018-12-05 2023-07-18 Yi-Ming Hung Temperature adjustment apparatus for high temperature oven
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP6966499B2 (ja) * 2019-03-06 2021-11-17 Ckd株式会社 ガス供給ユニット及びガス供給方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
CN111834247B (zh) * 2019-04-23 2023-09-08 北京北方华创微电子装备有限公司 冷却装置和半导体处理设备
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
KR20210043810A (ko) * 2019-10-14 2021-04-22 삼성전자주식회사 반도체 제조 장비
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7446189B2 (ja) * 2020-09-17 2024-03-08 東京エレクトロン株式会社 処理装置及び処理方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
JP7507065B2 (ja) * 2020-11-09 2024-06-27 東京エレクトロン株式会社 処理装置及び処理方法
KR102418948B1 (ko) * 2020-11-24 2022-07-11 주식회사 유진테크 기판 처리 시스템
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022124138A (ja) * 2021-02-15 2022-08-25 東京エレクトロン株式会社 処理装置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03142823A (ja) * 1989-10-27 1991-06-18 Fujitsu Ltd 気相成長装置
JPH07283164A (ja) * 1994-04-14 1995-10-27 Tokyo Electron Ltd 熱処理装置及び熱処理方法
JP2000204472A (ja) * 1999-01-12 2000-07-25 Tokyo Electron Ltd ガス処理装置およびそれに用いられる原料供給系のパ―ジ機構
JP2004023043A (ja) * 2002-06-20 2004-01-22 Toshiba Corp 成膜方法、成膜装置、および半導体装置の製造方法
JP2009503875A (ja) * 2005-07-29 2009-01-29 アヴィザ テクノロジー インコーポレイテッド ガスマニホルドバルブクラスタ
JP2011187485A (ja) * 2010-03-04 2011-09-22 Hitachi Kokusai Electric Inc 基板処理装置
JP2014075451A (ja) * 2012-10-03 2014-04-24 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2015138913A (ja) * 2014-01-23 2015-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2015198185A (ja) * 2014-04-02 2015-11-09 東京エレクトロン株式会社 成膜方法及び成膜装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2564592B1 (fr) * 1984-05-16 1986-09-12 Francais Ciments Dispositif automatique pour la determination de certaines caracteristiques du ciment telles que finesse des grains, teneur en gypse et en filler calcaire
JP3003016B2 (ja) * 1992-12-25 2000-01-24 東京エレクトロン株式会社 処理装置及び処理方法
JPH11186248A (ja) * 1997-12-22 1999-07-09 Sony Corp シリコン酸化膜の形成方法及びシリコン酸化膜形成装置
US7033446B2 (en) * 2001-07-27 2006-04-25 Surface Combustion, Inc. Vacuum carburizing with unsaturated aromatic hydrocarbons
JP4342895B2 (ja) * 2003-10-06 2009-10-14 東京エレクトロン株式会社 熱処理方法及び熱処理装置
JP4434807B2 (ja) 2004-03-29 2010-03-17 株式会社日立国際電気 半導体装置の製造方法
KR100980126B1 (ko) * 2005-08-02 2010-09-03 도쿄엘렉트론가부시키가이샤 성막 방법, 성막 장치 및 기억매체
JP4718274B2 (ja) * 2005-08-25 2011-07-06 東京エレクトロン株式会社 半導体製造装置,半導体製造装置の流量補正方法,プログラム
US8677680B2 (en) * 2005-09-13 2014-03-25 Bruce Schuster Fire ant suppression system
JP4464949B2 (ja) * 2006-11-10 2010-05-19 株式会社日立国際電気 基板処理装置及び選択エピタキシャル膜成長方法
JP5233562B2 (ja) * 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2010171388A (ja) * 2008-12-25 2010-08-05 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法及び基板処理用反応管
JP5774822B2 (ja) * 2009-05-25 2015-09-09 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
JP5573666B2 (ja) * 2010-12-28 2014-08-20 東京エレクトロン株式会社 原料供給装置及び成膜装置
US8496020B1 (en) * 2011-01-20 2013-07-30 Layne T. Westerberg Tap and draining device for a filter cartridge
JP6042656B2 (ja) * 2011-09-30 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
JP2013197207A (ja) * 2012-03-16 2013-09-30 Hitachi Kokusai Electric Inc 基板処理装置
US9478934B1 (en) * 2015-07-24 2016-10-25 Lightmachinery Inc. Excimer gas purification
US20170252762A1 (en) * 2016-03-01 2017-09-07 Jay S. Derman No Pump Sprayer
KR102326377B1 (ko) * 2016-06-07 2021-11-15 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03142823A (ja) * 1989-10-27 1991-06-18 Fujitsu Ltd 気相成長装置
JPH07283164A (ja) * 1994-04-14 1995-10-27 Tokyo Electron Ltd 熱処理装置及び熱処理方法
JP2000204472A (ja) * 1999-01-12 2000-07-25 Tokyo Electron Ltd ガス処理装置およびそれに用いられる原料供給系のパ―ジ機構
JP2004023043A (ja) * 2002-06-20 2004-01-22 Toshiba Corp 成膜方法、成膜装置、および半導体装置の製造方法
JP2009503875A (ja) * 2005-07-29 2009-01-29 アヴィザ テクノロジー インコーポレイテッド ガスマニホルドバルブクラスタ
JP2011187485A (ja) * 2010-03-04 2011-09-22 Hitachi Kokusai Electric Inc 基板処理装置
JP2014075451A (ja) * 2012-10-03 2014-04-24 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2015138913A (ja) * 2014-01-23 2015-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2015198185A (ja) * 2014-04-02 2015-11-09 東京エレクトロン株式会社 成膜方法及び成膜装置

Also Published As

Publication number Publication date
CN109075070A (zh) 2018-12-21
WO2017212546A1 (ja) 2017-12-14
JP6616895B2 (ja) 2019-12-04
KR20210074422A (ko) 2021-06-21
US20220275515A1 (en) 2022-09-01
KR20190002659A (ko) 2019-01-08
US10640872B2 (en) 2020-05-05
US20190106787A1 (en) 2019-04-11
US20200232097A1 (en) 2020-07-23
US11365482B2 (en) 2022-06-21
KR102326377B1 (ko) 2021-11-15

Similar Documents

Publication Publication Date Title
JP6616895B2 (ja) 基板処理装置および半導体装置の製造方法並びにプログラム
JP7213859B2 (ja) 温度センサ、基板処理装置、および半導体装置の製造方法
WO2017037937A1 (ja) 反応管、基板処理装置および半導体装置の製造方法
US11591694B2 (en) Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
JP2020155452A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
US11170995B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
TW202011479A (zh) 基板處理裝置、基板處理裝置之電極及半導體裝置之製造方法
WO2019163295A1 (ja) クリーニング方法、半導体装置の製造方法、基板処理装置、及びプログラム
WO2018088003A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2020136301A (ja) 基板処理装置、半導体装置の製造方法、およびプログラム
JP2018157095A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6613213B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP2018101687A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
US20200365388A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20220262632A1 (en) Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
WO2022201242A1 (ja) 電極、基板処理装置、半導体装置の製造方法およびプログラム
JP6768134B2 (ja) 基板処理装置および半導体装置の製造方法並びにプログラム
JP7186909B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11823946B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
US20240240308A1 (en) Method of forming film, method of manufacturing semiconductor device, film formation apparatus, and recording medium
JP2020077890A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180914

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190515

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190711

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190731

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190925

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20191010

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191108

R150 Certificate of patent or registration of utility model

Ref document number: 6616895

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250