US10640872B2 - Substrate processing apparatus and method of manufacturing semiconductor device - Google Patents

Substrate processing apparatus and method of manufacturing semiconductor device Download PDF

Info

Publication number
US10640872B2
US10640872B2 US16/213,568 US201816213568A US10640872B2 US 10640872 B2 US10640872 B2 US 10640872B2 US 201816213568 A US201816213568 A US 201816213568A US 10640872 B2 US10640872 B2 US 10640872B2
Authority
US
United States
Prior art keywords
gas
gas supply
blocking part
supply system
nozzle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US16/213,568
Other languages
English (en)
Other versions
US20190106787A1 (en
Inventor
Mikio Ohno
Atsushi UMEKAWA
Takeo Hanashima
Hiroaki Hiramatsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Assigned to Kokusai Electric Corporation reassignment Kokusai Electric Corporation ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HANASHIMA, TAKEO, HIRAMATSU, HIROAKI, OHNO, MIKIO, UMEKAWA, ATSUSHI
Publication of US20190106787A1 publication Critical patent/US20190106787A1/en
Priority to US16/833,014 priority Critical patent/US11365482B2/en
Application granted granted Critical
Publication of US10640872B2 publication Critical patent/US10640872B2/en
Priority to US17/745,496 priority patent/US20220275515A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl

Definitions

  • the present disclosure relates to a substrate processing apparatus and a method of manufacturing a semiconductor device.
  • a semiconductor manufacturing apparatus is an example of a substrate processing apparatus.
  • a vertical type apparatus also referred to as a “vertical type semiconductor manufacturing apparatus”
  • the semiconductor manufacturing apparatus of the vertical type may include a plurality of opening/closing valves and a gas supply system including two or more gas supply mechanisms.
  • the semiconductor manufacturing apparatus includes a piping including a flexible pipe.
  • the flexible pipe is used for the piping provided from a furnace opening part to an opening/closing valve closest thereto.
  • the length of the flexible pipe varies depending on the layout of the substrate processing apparatus, the length of the flexible pipe was about 500 mm to 3,000 mm.
  • N 2 gas is simultaneously supplied into another piping where the film-forming gas is not supplied.
  • counter N 2 gas the concentration of the film-forming gas becomes non-uniform in the reaction tube. Therefore, the uniformity of film thickness may deteriorate in a substrate processing.
  • an opening/closing valve may be installed at a pipe of the gas supply system close to a process furnace of the substrate processing apparatus.
  • a valve may be installed at a pipe close to the furnace opening part.
  • a configuration for eliminating the need for the counter N 2 gas is not disclosed in the related arts.
  • Described herein is a technique capable of providing an opening/closing valve in the vicinity of a furnace opening part.
  • a substrate processing apparatus including:
  • a process chamber defined at least by a reaction tube and a furnace opening part provided at a lower portion of the reaction tube;
  • a nozzle provided at the furnace opening part and extending from the furnace opening part to an inside of the reaction tube;
  • a gas supply system provided at an upstream side of the nozzle
  • controller configured to control the gas supply system and the blocking part such that the blocking part co-operates with the gas supply system to supply gases into the process chamber through the nozzle.
  • FIG. 1 schematically illustrates a vertical cross-section of a process furnace of a substrate processing apparatus preferably used in one or more embodiments described herein.
  • FIG. 2 schematically illustrates a horizontal cross-section of a reaction tube of the substrate processing apparatus preferably used in the embodiments.
  • FIG. 3 is a block diagram schematically illustrating a configuration of a controller and components controlled by the controller of the substrate processing apparatus preferably used in the embodiments.
  • FIG. 4 schematically illustrates a piping structure in the vicinity of a conventional furnace opening part.
  • FIG. 5 schematically illustrates an exemplary configuration of blocking parts, gas supply pipes and nozzles preferably used in the embodiments.
  • FIG. 6 schematically illustrates another exemplary configuration of blocking parts, gas supply pipes and nozzles preferably used in the embodiments.
  • FIG. 7 schematically illustrates an exemplary configuration of a furnace opening part preferably used in the embodiments.
  • FIG. 8 schematically illustrates another exemplary configuration of the furnace opening part of the substrate processing apparatus preferably used in the embodiments.
  • FIG. 9 schematically illustrates an exemplary configuration of a shut off valve e preferably used in the embodiments.
  • FIG. 10 schematically illustrates another exemplary configuration of the shut off valve preferably used in the embodiments.
  • FIG. 11 is a diagram showing an exemplary flow of a substrate processing for supplying process gases by operating the shut off valve preferably used in the embodiments.
  • FIG. 12 is a diagram showing results of the substrate processing obtained by supplying the process gases by operating the shut off valve preferably used in the embodiments.
  • FIG. 13 is a diagram showing a comparison result between the substrate processing with and without the shut off valve using a film-forming sequence preferably used in the embodiments.
  • a substrate processing apparatus is configured as an example of a semiconductor manufacturing apparatus used for manufacturing a semiconductor device.
  • the substrate processing apparatus includes a process chamber defined at least by a reaction tube and a furnace opening part provided at a lower portion of the reaction tube; a nozzle provided at the furnace opening part and extending from the furnace opening part to an inside of the reaction tube; a process gas supply system provided at an upstream side of the nozzle; a blocking part provided at a boundary between the process gas supply system and the nozzle; and a controller configured to control the process gas supply system and the blocking part such that the blocking part co-operates with the process gas supply system to supply gases into the process chamber through the nozzle.
  • the blocking part connected to the nozzle extending from an inner wall of the furnace opening part to the inside of the reaction tube is provided at the furnace opening part without providing a pipe between the blocking part and an outer wall of the furnace opening part.
  • the blocking part is installed almost directly under a process furnace (in the vicinity of the furnace opening part).
  • a furnace opening exhaust mechanism capable of performing local exhaust of the furnace opening part.
  • the cooling mechanism may be also referred to as a “cooling part”. The cooling mechanism and the furnace opening exhaust mechanism will be described later.
  • a structure in which the furnace opening part and the shut off valve are integrated as a united body may also be simply referred to as a “furnace opening part”.
  • a process furnace 202 includes a heater 207 serving as a heating apparatus (heating mechanism).
  • the heater 207 is cylindrical, and includes a heater wire (not shown) and a heat insulating material (not shown).
  • a lower portion of the heater 207 is supported by a heater base (not shown) serving as a support plate, so that the heater 207 is installed in a vertical orientation.
  • the heater 207 also functions as an activation mechanism (excitation mechanism) for activating (exciting) process gases by heat.
  • a reaction tube 203 having a single tube structure and constituting a reaction vessel (process vessel) is provided in the heater 207 so as to be concentric with the heater 207 .
  • the reaction tube 203 is made of a heat-resistant material such as quartz (SiO 2 ) and silicon carbide (SiC).
  • a lower end of the reaction tube 203 is open, and an upper end of the reaction tube 203 is constituted by a ceiling closed by a flat wall.
  • the upper end of the reaction tube 203 (hereinafter, also referred to as a “ceiling portion”) is formed thick to secure the strength of the reaction tube 203 .
  • a side wall of the reaction tube 203 is constituted by a cylindrical portion formed in a cylindrical shape, and a gas supply region 222 and a gas exhaust region 224 are provided on an outer wall of the cylindrical portion.
  • a process chamber 201 is provided in the reaction tube 203 including the gas supply region 222 and the gas exhaust region 224 .
  • the process chamber 201 is defined by the reaction tube 203 and a furnace opening part which will be described later.
  • the process chamber 201 is configured such that wafers 200 serving as substrates are processed therein, and accommodates a boat 217 capable of supporting vertically arranged wafers 200 in a horizontal orientation in a multistage manner.
  • the heater 207 is provided so as to surround the reaction tube 203 .
  • the heater 207 can heat the wafers 200 accommodated in the boat 217 in the reaction tube 203 (or in the process chamber 201 ) to a predetermined temperature.
  • the boat 217 serves as a substrate retainer.
  • the gas supply region 222 is constituted by a protruding portion protruding outward from a sidewall of the cylindrical portion of the reaction tube 203 .
  • An outer wall of the gas supply region 222 is located outer than a part of the cylindrical portion of the reaction tube 203 , and is concentrical with the cylindrical portion with a diameter larger than an outer diameter of the cylindrical portion.
  • a lower end of the gas supply region 222 is open, and an upper end of the gas supply region 222 is constituted by a ceiling closed by a flat wall.
  • Nozzle parts 340 a , 340 b and 340 c which will be described later are accommodated in the gas supply region 222 along the longitudinal direction (that is, vertical direction).
  • Gas supply slits 235 are provided at a partition wall 254 which is arranged along a boundary between the gas supply region 222 and the cylindrical portion.
  • the partition wall 254 may be referred to a side wall of the cylindrical portion.
  • An outer side surface of the partition wall 254 constitutes a side portion facing the gas supply region 222 .
  • the nozzle parts 340 a through 340 c may be collectively referred to as a nozzle part 340 .
  • the same also applies to other components described herein such as a blocking part 101 . That is, blocking parts 101 a , 101 b and 101 c may be collectively referred to as the blocking part 101 .
  • the gas exhaust region 224 is constituted by a protruding portion protruding outward from a sidewall of the cylindrical portion at a region other than where the gas supply region 222 is provided.
  • the wafers 200 of the process chamber 201 are accommodated in a region between the gas supply region 222 and the gas exhaust region 224 .
  • An outer wall of the gas exhaust region 224 is provided concentrically with the cylindrical portion, and is located outer than the cylindrical portion with a diameter larger than the outer diameter of the cylindrical portion.
  • a lower end of the gas exhaust region 224 and an upper end of the gas exhaust region 224 are constituted by ceilings closed by flat walls.
  • Gas exhaust slits 236 are provided at a partition wall 252 arranged along a boundary between the gas exhaust region 224 and the cylindrical portion.
  • the partition wall 252 may be referred to a side wall of the cylindrical portion.
  • An outer side surface of the partition wall 252 constitutes a side portion facing the gas exhaust region 224 .
  • the lower end of the reaction tube 203 is supported by a cylindrical manifold 226 serving as the furnace opening part.
  • the manifold 209 is made of a metal such as a nickel alloy and stainless steel (SUS), or is made of a heat resistant material such as quartz (SiO 2 ) and silicon carbide (SiC).
  • a flange (not shown) is provided at an upper end of the manifold 226 .
  • the lower end of the reaction tube 203 is provided on the flange and supported by the flange.
  • a sealing member 220 such as an O-ring is provided between the flange and the lower end of the reaction tube 203 to airtightly seal the inside of the reaction tube 203 .
  • a seal cap 219 is airtightly attached to a lower end opening of the manifold 226 via a sealing member 220 such as an O-ring.
  • the seal cap 219 is configured to airtightly seal a lower end opening of the reaction tube 203 , that is, the lower end opening of the manifold 226 .
  • the seal cap 219 is made of a metal such as a nickel alloy or stainless steel, and is disc-shaped.
  • a boat support 218 configured to support the boat 217 is provided on the seal cap 219 .
  • the boat support 218 is made of a heat-resistant material such as quartz and silicon carbide.
  • the boat support 218 functions as a heat insulating part.
  • the boat support 218 also serves as a support body for supporting the boat 217 .
  • the boat 217 includes a bottom plate (not shown) fixed to the boat support 218 and a top plate (not shown) provided above the bottom plate.
  • a plurality of support columns (not shown) are provided between the bottom plate and the top plate.
  • the boat 217 is made of a heat resistant material such as quartz or silicon carbide.
  • a boat rotating mechanism 267 to rotate the boat 217 is provided under the seal cap 219 opposite to the process chamber 201 .
  • a rotating shaft (not shown) of the boat rotating mechanism 267 is connected to the boat 217 through the seal cap 219 .
  • the seal cap 219 may be moved upward/downward in the vertical direction by a boat elevator 115 provided outside the reaction tube 203 .
  • the boat elevator 115 serves as an elevating mechanism. As the seal cap 219 is moved upward/downward by the boat elevator 115 , the boat 217 is loaded into the process chamber 201 or unloaded out of the process chamber 201 .
  • a nozzle support part 350 for supporting the nozzle part 340 is provided in the manifold 226 .
  • the nozzle support part 350 is L-shaped and provided through a side wall of the manifold 226 .
  • three nozzle support parts 350 a , 350 b and 350 c serving as the nozzle support part 350 are provided.
  • the nozzle support part 350 is made of a material such as a nickel alloy and stainless steel.
  • a gas supply pipe 310 for supplying a gas into the reaction tube 203 is connected to an end of the nozzle support part 350 on the side of the reaction tube 203 via the blocking part 101 serving as a shut off valve.
  • the blocking part 101 may also be referred to as a shut off valve 101 .
  • the nozzle parts 340 a , 340 b and 340 c are connected to the other ends of the nozzle support parts 350 a , 350 b and 350 c , respectively.
  • the nozzle part 340 is made of a heat resistant material such as quartz and SiC.
  • a nozzle is constituted by the nozzle support part 350 and the nozzle part 340 .
  • a shut off valve 101 provided at the boundary between the nozzle and the gas supply pipe 310 is fixed in the vicinity of the manifold 226 .
  • the nozzle may be configured such that the nozzle support part 350 and the nozzle part 340 are integrated.
  • the nozzle part 340 is provided in the gas supply region 222 .
  • the nozzle part 340 extends from a lower portion to an upper portion of the gas supply region 222 along the longitudinal direction of the gas supply region 222 (that is, vertical direction).
  • the nozzle parts 340 a and 340 c are I-shaped long nozzle, respectively.
  • a plurality of gas supply holes 234 a and a plurality of gas supply holes 234 c for supplying gases are provided at side surfaces of the nozzle parts 340 a and 340 c , respectively.
  • the plurality of gas supply holes 234 a and the plurality of gas supply holes 234 c are open toward the center of the reaction tube 203 .
  • the nozzle part 340 b is I-shaped short pipe nozzle (that is, I-shaped short nozzle).
  • the nozzle part 340 b is provided with an opening portion 234 b , and a front end of the nozzle part 340 b is open.
  • three nozzle portions 340 a through 340 c are provided in the gas supply region 222 and are configured to supply various types of gases into the process chamber 201 .
  • the nozzle part 340 may be, for example, I-shaped or L-shaped, but the shape of the nozzle part 340 is not limited thereto.
  • the boat 217 accommodating the wafers 200 to be batch-processed is loaded into the process chamber 201 of the above-described process furnace 202 while being supported by the boat support 218 .
  • the wafers 200 are accommodated in the boat 217 in a multistage manner.
  • the heater 207 is configured to heat the wafers 200 loaded in the process chamber 201 to a predetermined temperature.
  • a first gas supply source for supplying a first process gas (also referred to as a “first gas”), a mass flow controller (MFC) 320 a serving as a flow rate controller (flow rate control mechanism) and a valve 330 a serving as an opening/closing valve are sequentially provided at a gas supply pipe 310 a from the upstream side toward the downstream side of the gas supply pipe 310 a .
  • a shut off valve 101 a is provided at a boundary between the gas supply pipe 310 a and the nozzle support part 350 a .
  • the shut off valve 101 a is installed in the vicinity of the outside of the manifold 226 .
  • the manifold 226 and the shut off valve 101 a are integrally provided without providing a flexible pipe between the manifold 226 and the shut off valve 101 a .
  • an exhaust part 102 a which will be described later may be provided so as to be adjacent to the shut off valve 101 a.
  • a second gas supply source for supplying a second process gas (also referred to as a “second gas”), a mass flow controller (MFC) 320 b serving as a flow rate controller (flow rate control mechanism) and a valve 330 b serving as an opening/closing valve are sequentially provided at a gas supply pipe 310 b from the upstream side toward the downstream side of the gas supply pipe 310 b .
  • a shut off valve 101 b is provided at a boundary between the gas supply pipe 310 b and the nozzle support part 350 b . The shut off valve 101 b is installed in the vicinity of the outside of the manifold 226 .
  • the manifold 226 and the shut off valve 101 b are integrally provided without providing a flexible pipe between the manifold 226 and the shut off valve 101 b .
  • an exhaust part 102 b which will be described later may be provided so as to be adjacent to the shut off valve 101 b.
  • a third gas supply source for supplying a third process gas (also referred to as a “third gas”), a mass flow controller (MFC) 320 c serving as a flow rate controller (flow rate control mechanism) and a valve 330 c serving as an opening/closing valve are sequentially provided at a gas supply pipe 310 c from the upstream side toward the downstream side of the gas supply pipe 310 c .
  • a shut off valve 101 c is provided at a boundary between the gas supply pipe 310 c and the nozzle support part 350 c . The shut off valve 101 c is installed in the vicinity of the outside of the manifold 226 .
  • the manifold 226 and the shut off valve 101 c are integrally provided without providing a flexible pipe between the manifold 226 and the shut off valve 101 c .
  • an exhaust part 102 c which will be described later may be provided so as to be adjacent to the shut off valve 101 c.
  • Gas supply pipes 310 d , 310 e and 310 f are connected to the downstream sides of the valves 330 a , 330 b and 330 c provided at the gas supply pipes 310 a , 310 b and 310 c , respectively.
  • Mass flow controllers (MFCs) 320 d , 320 e and 320 f serving as flow rate controllers (flow rate control mechanisms) and valves 330 d , 330 e and 330 f serving as opening/closing valves are sequentially provided at the gas supply pipes 310 d , 310 e and 310 f from the upstream sides toward the downstream sides of the gas supply pipes 310 d , 310 e and 310 f , respectively.
  • a first process gas supply system is constituted mainly by the gas supply pipe 310 a , the MFC 320 a and the valve 330 a .
  • the first process gas supply system may be also referred to as a first process gas supply mechanism.
  • the first process gas supply system may further include the first gas supply source, the nozzle support part 350 a , the nozzle part 340 a and the shut off valve 101 a .
  • the first process gas supply system may be constituted by a first piping part including the gas supply pipe 310 a , the MFC 320 a and the valve 330 a ; a first boundary part including at least the first blocking part 101 a ; and a first nozzle constituted by at least the nozzle support part 350 a and the nozzle part 340 a .
  • the first process gas serving as a reactive gas is supplied through the first process gas supply system.
  • a second process gas supply system is constituted mainly by the gas supply pipe 310 b , the MFC 320 b and the valve 330 b .
  • the second process gas supply system may be also referred to as a second process gas supply mechanism.
  • the second process gas supply system may further include the second gas supply source, the nozzle support part 350 b , the nozzle part 340 b and the shut off valve 101 b .
  • the second process gas supply system may be constituted by a second piping part including the gas supply pipe 310 b , the MFC 32 b and the valve 330 b ; a second boundary part including at least the second blocking part 101 b ; and a second nozzle constituted by at least the nozzle support part 350 b and the nozzle part 340 b .
  • the second process gas serving as a source gas is supplied through the second process gas supply system.
  • a third process gas supply system is constituted mainly by the gas supply pipe 310 c , the MFC 320 c and the valve 330 c .
  • the third process gas supply system may be also referred to as a third process gas supply mechanism.
  • the third process gas supply system may further include the third gas supply source, the nozzle support part 350 c , the nozzle part 340 c and the shut off valve 101 c .
  • the third process gas supply system may be constituted by a third piping part including the gas supply pipe 310 c , the MFC 320 c and the valve 330 c ; a third boundary part including at least the third blocking part 101 c ; and a third nozzle constituted by at least the nozzle support part 350 c and the nozzle part 340 c .
  • the third process gas is supplied through the third process gas supply system.
  • the third process gas serves as a reactive gas or an inert gas that does not contribute to a substrate processing.
  • the configurations of the process gas supply systems and the shut off valve 101 will be described later.
  • process gas may indicate only the first process gas, indicate only the second process gas, indicate only the third process gas, or indicate all of the first process gas, the second process gas and the third process gas.
  • process gas supply system may indicate only the first process gas supply system (first process gas supply mechanism), indicate only the second process gas supply system (second process gas supply mechanism), indicate only the third process gas supply system (third process gas supply system), or indicate all of the first process gas supply system, the second process gas supply system and the third process gas supply system.
  • the process gas supply system may be simply referred to as a “gas supply system”.
  • An exhaust port 230 is provided under the gas exhaust region 224 .
  • the exhaust port 230 is connected to an exhaust pipe 232 .
  • a vacuum pump 246 serving as a vacuum exhauster is connected to the exhaust pipe 232 through a pressure sensor 245 and an APC (Automatic Pressure Controller) valve 244 .
  • the pressure sensor 245 serves as a pressure detector (pressure detection mechanism) to detect an inner pressure of the process chamber 201
  • the APC valve 244 serves as an pressure controller (pressure adjusting mechanism).
  • the vacuum pump 246 is configured to vacuum-exhaust the inside of the process chamber 201 such that the inner pressure of the process chamber 201 reaches a predetermined pressure (vacuum degree).
  • the APC valve 244 includes an opening/closing valve.
  • the APC valve 244 may be opened/closed to vacuum-exhaust the process chamber 201 or stop the vacuum exhaust.
  • the APC valve 244 is configured to adjust the inner pressure of the process chamber 201 by adjusting the conductance.
  • An exhaust system is constituted mainly by the exhaust pipe 232 , the APC valve 244 and the pressure sensor 245 .
  • the exhaust system may further include the vacuum pump 246 .
  • a controller 280 which will be described later is configured to control: (A) a transfer system including components such as the boat elevator 115 and the boat rotating mechanism 267 ; (B) a temperature control system including components such as the heater 207 ; (C) the process gas supply system including components such as the MFC 320 , the valves 330 and the blocking part 101 ; and (D) a gas exhaust system including components such as the APC valve 244 and the pressure sensor 245 .
  • a temperature sensor 1 (hereinafter, also referred to as a “thermocouple”) serving as a temperature detector is provided at the outside the reaction tube 203 .
  • the power supplied to the heater 207 is adjusted based on the temperature detected by the temperature sensor 1 such that the inner temperature of the process chamber 201 has a desired temperature distribution.
  • the thermocouple 1 is attached to the outside of the reaction tube 203 via a cover 2 serving as a protective part.
  • the cover 2 is made of quartz.
  • the thermocouple 1 is attached to the outside of the process chamber 201 and provided so as to face the heater 207 serving as a heating apparatus.
  • the thermocouple 1 is fixed by the reaction tube 203 and the cover 2 .
  • thermocouple 1 In FIG. 2 , only one thermocouple 1 is shown. However, a plurality of thermocouples 1 may be provided. In addition, it is possible to provide a buffer part (not shown) between the thermocouple 1 and the reaction tube 203 . Further, although the thermocouple 1 shown FIG. 2 is provided on the side wall of the reaction tube 203 , the thermocouple 1 may be provided on the ceiling portion of the reaction tube 203 .
  • FIGS. 5 and 6 are schematic diagrams for describing the process gas supply system according to the embodiments.
  • the controller 280 which will be described later is configured to control: (C) the process gas supply system, the blocking part 101 , the exhaust part 102 and the switching part 103 .
  • the components of the process gas supply system such as the process gas supply sources, the MFC 320 and the valve 330 are provided on the upstream side of the switching part (switching valve) 103 . However, the components are omitted in FIGS. 5 and 6 for simplification.
  • the exhaust part 102 may also be referred to as an exhaust valve 102 .
  • a valve (switching valve) closest to the furnace opening part 226 in a gas box is a valve that switches between a gas contributing to the substrate processing (such as process gas) and a cleaning gas.
  • the components of the process gas supply system and a cleaning gas supply system are provided on the upstream side of the switching part (switching valve) 103 .
  • the gas supply system includes the gas supply pipe 310 .
  • the nozzles extend from the furnace opening part 226 to the inside of the reaction tube 203 , and the boundary part includes at least the blocking part 101 .
  • the switching part (switching valve) 103 is configured to switch between the gas contributing to the substrate processing (such as the process gas) and the cleaning gas, and is provided at the gas supply pipe 310 .
  • the boundary part is connected to the gas supply pipe 310 . It is preferable to provide the exhaust part 102 for exhausting a piping including the gas supply pipe 310 between the switching part 103 and the blocking part 101 .
  • the substrate processing apparatus may include: the nozzles (the first nozzle and the second nozzle) extending from the furnace opening part 226 to the inside of the reaction tube 203 ; the first process gas supply system including at least the gas supply pipe 310 a provided at the upstream side of the nozzle (the first nozzle); a second process gas supply system including at least the gas supply pipe 310 b provided at the upstream side of the nozzle (the second nozzle); the first blocking part 101 a provided at a boundary between the first nozzle and the first process gas supply system; and the second blocking part 101 b provided at a boundary between the second nozzle and the second process gas supply system.
  • the reactive gas serving as the first process gas is supplied into the reaction tube 203 by controlling the first blocking part 101 a to co-operate with the first process gas supply system, and the source gas serving as the second process gas is supplied into the reaction tube 203 by controlling the second blocking part 101 b to co-operate with the second process gas supply system.
  • the above-described components such as the first process gas supply system, the first blocking part 101 a , the second process gas supply system and the second blocking part 101 b are controlled by the controller 280 shown in FIGS. 5 and 6 .
  • the boundary part including the shut off valve (blocking part) 101 is provided.
  • the shut off valve 101 a and closing the shut off valve 101 b By opening the shut off valve 101 a and closing the shut off valve 101 b , the gas supply pipe 310 b and the inside of the reaction tube 203 can be blocked when the first process gas is supplied through the gas supply pipe 310 a and the first nozzle. Thus, it is possible to suppress the back diffusion of the first process gas into the gas supply pipe 310 b .
  • the shut off valve 101 b and closing the shut off valve 101 a the gas supply pipe 310 a and the inside of the reaction tube 203 can be blocked when the second process gas is supplied through the gas supply pipe 310 b and the second nozzle.
  • the shut off valve 101 a is closed while the shut off valve 101 b is opened to supply the source gas into the reaction tube 203 through the second nozzle.
  • the shut off valve 101 a By closing the shut off valve 101 a , the gas supply pipe 310 a and the inside of the reaction tube 203 are blocked.
  • a furnace opening box capable of performing local exhaust of the furnace opening part 226 may be provided so as to surround the furnace opening part 226 .
  • the furnace opening box can be used for preventing the gas leaks and the heat buildup in the furnace opening part 226 .
  • An inner atmosphere of the furnace opening box is a high temperature atmosphere of 50° C. to 200° C.
  • the heat resistant temperature of a valve is about 150° C.
  • the operating lifetime of the heat resistant valve may be remarkably lowered and the replacement frequency may be shortened if the inner atmosphere of the furnace opening box is the high temperature atmosphere.
  • a heat radiation method using coolant may be used as a cooling method.
  • a cooling block covers the shut off valve 101 .
  • the cooling part may be configured to supply the coolant to the blocking part 101 .
  • the exhaust system for exhausting the gas in the reaction tube 203 is provided.
  • the controller 280 is configured to close the first blocking part and the second blocking part and to control the exhaust system to exhaust the unreacted source gas or the unreacted reactive gas from the reaction tube 203 when the supply of the reactive gas or the source gas to the substrates in the reaction tube 203 is completed.
  • the controller 280 is configured to control the first process gas supply system, the first blocking part, the second process gas supply system, the second blocking part and the exhaust system such that the inside of the process chamber 201 is purged cyclically by adjusting the flow rate of the inert gas supplied into the reaction tube 203 while the first blocking part and the second blocking part are opened.
  • the gas supply pipe 310 provided between the switching part 103 and the boundary part includes a flexible pipe whose shape is bendable.
  • the flexible pipe is provided in the gas supply pipe 310 , and may be, for example, bellows-shaped.
  • the blocking part 101 is installed integrally (or directly) on the side wall of the furnace opening part 226 .
  • the flexible pipe is provided in the furnace opening box.
  • the flexible pipe is not limited thereto.
  • the flexible pipe may be provided in a piping between the gas box whereat the switching part 103 is provided and the furnace opening box whereat the blocking part 101 is provided. Since the piping between the gas box and the furnace opening box is installed on site (for example, at a semiconductor manufacturing factory), the installation of the piping is greatly influenced by conditions such as the layout of the apparatus to be connected, the facilities in the semiconductor manufacturing factory and the installation environment of the apparatus. Thus, it is necessary to adjust the layout or geometrical relationships between individual pipes which may be made of, for example, metal. However, it is impossible to adjust the layout of the piping when all of the pipes are made of metal. Therefore, the flexible pipe whose shape is bendable is indispensable.
  • a piping provided between the furnace opening part 226 and a switching part includes a flexible pipe.
  • no flexible pipe is provided between the furnace opening part 226 and the blocking part 101 .
  • the gas supply pipe 310 is provided on the upstream side of the blocking part 101 , but the flexible pipe provided in the gas supply pipe 310 is omitted.
  • FIG. 6 schematically illustrates a configuration that the exhaust part 102 is further provided so as to be adjacent to the blocking part 101 of the gas supply system shown in FIG. 5 . Since the configuration excluding the exhaust part 102 is the same as the configuration shown in FIG. 5 , only the exhaust part 102 will be described in detail.
  • the supply piping at the upstream side of the blocking part 101 is branched off at the exhaust part 102 .
  • a vent pipe is connected to the exhaust pipe 232 by the exhaust part 102 .
  • the gas supply pipe 310 a can be purged cyclically while supplying the source gas into the reaction tube 203 through the gas supply pipe 310 b . Therefore, it is possible to improve the degree of cleanliness inside the gas supply pipe 310 a .
  • the inside of the gas supply pipe 310 can be purged cyclically individually. Therefore, it is possible to further improve the degree of cleanliness inside the gas supply pipe 310 .
  • shut off valve 101 provided close to and outside of the furnace opening part 226 will be described in detail.
  • the configuration between the furnace opening part 226 and the blocking part 101 in the embodiments may be a configuration as shown in FIG. 7 in which the furnace opening part 226 and the shut off valve 101 are directly connected (that is, the configuration where the piping is recognizable from outside), or may be a configuration as shown in FIG. 8 in which the furnace opening part 226 and the shut off valve 101 are integrated (that is, the configuration where the piping is not recognizable from outside).
  • FIGS. 7 and 8 the furnace opening part 226 with the blocking part 101 are illustrated.
  • the exhaust part 102 may be integrally formed as a united body with the furnace opening part 226 while being adjacent to the blocking part 101 .
  • the blocking part 101 may be attached to the cooling mechanism.
  • the length (pipe length) of the piping installed between the furnace opening part 226 and the switching part in FIG. 4 will be compared with the length (pipe length) of the piping installed between the furnace opening part 226 and the blocking part 101 according to the embodiments.
  • the length ratio of the pipe length is about 1 ⁇ 5 to 1/30
  • the pipe length including a connection part (not shown) of the embodiments shown in FIG. 8 is about 50 mm
  • the length ratio of its pipe length would be about 1/10 to 1/60.
  • the ideal pipe length is zero (that is, when no piping is provided).
  • FIG. 10 illustrates a configuration in which the blocking part 101 is integrated with the furnace opening part 226 in FIG. 8 , that is, the blocking part 101 is attached on the side wall of the furnace opening part 226 without providing any piping therebetween. While the blocking part 101 is not illustrated in FIG. 10 , a plurality of the blocking part 101 is provided at the furnace opening part 226 .
  • FIG. 10 illustrates the blocking part 101 when it is open. Referring to FIG. 10 , a flow path of the gas extends from the gas supply pipe 310 to the nozzle part 340 via the blocking part 101 .
  • the blocking part 101 and the furnace opening part 226 are integrally structured as shown in FIG. 10 .
  • the controller 280 serving as a control device is constituted by a computer including a CPU (Central Processing Unit) 121 a , a RAM (Random Access Memory) 121 b , a memory device 121 c and an I/O port 121 d .
  • the RAM 121 b , the memory device 121 c and the I/O port 121 d may exchange data with the CPU 121 a through an internal bus 121 e .
  • an input/output device 122 such as a touch panel is connected to the controller 280 .
  • the memory device 121 c is configured by components such as a flash memory and HDD (Hard Disk Drive).
  • a control program for controlling the operation of the substrate processing apparatus or a process recipe containing information on the sequences and conditions of a substrate processing described later is readably stored in the memory device 121 c .
  • the process recipe is obtained by combining steps of the substrate processing described later such that the controller 280 can execute the steps to acquire a predetermine result, and functions as a program.
  • the process recipe and the control program are collectively referred to as a “program”.
  • “program” may indicate only the recipe, indicate only the control program, or indicate both of them.
  • the RAM 121 b is a work area where a program or data read by the CPU 121 a is temporarily stored.
  • the I/O port 121 d is connected to the above-described components such as the MFCs 320 a through 320 f , the valves 330 a through 330 f , the shut off valves 101 a through 101 c , the exhaust part (exhaust valve) 102 a through 102 c , the pressure sensor 245 , the APC valve 244 , the vacuum pump 246 , the heater 207 , the temperature sensor (thermocouple) 1 , the boat rotating mechanism 267 and the boat elevator 115 .
  • the CPU 121 a is configured to read a control program from the memory device 121 c and execute the read control program. Furthermore, the CPU 121 a is configured to read a recipe from the memory device 121 c according to an operation command inputted from the input/output device 122 .
  • the CPU 121 a may be configured to control various operations such as flow rate adjusting operations for various gases by the MFCs 320 a through 320 f , opening/closing operations of the valves 330 a through 330 f , opening/closing operations of the shut off valves 101 a through 101 c , an opening/closing operation of the APC valve 244 , a pressure adjusting operation by the APC valve 244 based on the pressure sensor 245 , a start and stop of the vacuum pump 246 , a temperature adjusting operation of the heater 207 based on the temperature sensor 1 , an operation of adjusting rotation and rotation speed of the boat 217 by the boat rotating mechanism 267 and an elevating and lowering operation of the boat 217 by the boat elevator 115 .
  • various operations such as flow rate adjusting operations for various gases by the MFCs 320 a through 320 f , opening/closing operations of the valves 330 a through 330 f , opening/closing operations of the
  • the controller 280 may be embodied by installing the above-described program stored in an external memory device 123 into a computer.
  • the external memory device 123 may include a magnetic tape, a magnetic disk such as a flexible disk and a hard disk, an optical disk such as a CD and a DVD, a magneto-optical disk such as MO, a semiconductor memory such as a USB memory and a memory card.
  • the memory device 121 c or the external memory device 123 may be embodied by a non-transitory computer readable recording medium.
  • the memory device 121 c and the external memory device 123 are collectively referred to as recording media.
  • the term “recording media” may indicate only the memory device 121 c , indicate only the external memory device 123 , and indicate both of the memory device 121 c and the external memory device 123 .
  • a communication means such as the Internet and a dedicated line may be used for providing the program to the computer.
  • the substrate processing apparatus is controlled by the controller 280 .
  • the seal cap 219 airtightly seals the reaction tube 203 .
  • the process gas By supplying the process gas into the reaction tube 203 while the wafers 200 are heated and maintained at a predetermined temperature in the airtightly sealed reaction tube 203 , the wafers 200 are subject to a substrate processing such as a film-forming process.
  • a silicon nitride film (SiN film) is formed on the wafers 200 . That is, the SiN film is formed on the wafers 200 by performing a cycle of the film-forming sequence a predetermined number of times (once or more).
  • the cycle may include a step of supplying HCDS gas onto the wafers 200 in the process chamber 201 , a step of removing the HCDS gas (residual gas) from the process chamber 201 , a step of supplying NH 3 gas onto the wafers 200 in the process chamber 201 and a step of removing the NH 3 gas (residual gas) from the process chamber 201 .
  • the steps in the cycle are performed non-simultaneously.
  • substrate and “wafer” may be used as substantially the same meaning.
  • the boat 217 After the boat 217 is charged with the wafers 200 (wafer charging), the boat 217 is elevated by the boat elevator 115 and loaded into the process chamber 201 (boat loading). With the boat 217 loaded, the seal cap 219 seals the lower end opening of the reaction tube 203 via the O-ring.
  • the vacuum pump 246 vacuum-exhausts the process chamber 201 until the inner pressure of the process chamber 201 where the wafers 200 are accommodated reaches a desired pressure (vacuum degree).
  • a desired pressure vacuum degree
  • the inner pressure of the process chamber 201 is measured by the pressure sensor 245 , and the APC valve 244 is feedback-controlled based on the measured pressure.
  • the vacuum pump 246 continuously vacuum-exhausts the process chamber 201 until at least the processing of the wafers 200 is completed.
  • the heater 207 heats the process chamber 201 until the temperature of the wafers 200 in the process chamber 201 reaches a desired temperature.
  • the amount of the current flowing to the heater 207 is feedback-controlled based on the temperature detected by the temperature sensor 1 such that the inner temperature of the process chamber 201 has a desired temperature distribution.
  • the heater 207 continuously heats the process chamber 201 until at least the processing of the wafers 200 is completed.
  • the boat rotating mechanism 267 starts to rotate the boat 217 and the wafers 200 . As the boat rotating mechanism 267 rotates the boat 217 , the wafers 200 supported by the boat 217 are rotated. Until at least the process for the wafers 200 is completed, the boat rotating mechanism 267 continuously rotates the boat 217 and the wafers 200 .
  • the film-forming process is performed by performing a first step and a second step sequentially.
  • the source gas (HCDS gas) is supplied onto the wafers 200 in the process chamber 201 .
  • the first step includes at least a pre-purge step, a source gas supply step, a source gas exhaust step and a post-purge step. The respective steps will be described below.
  • valves 330 b and 330 e are opened to supply HCDS gas into the gas supply pipe 310 b .
  • the shut off valve 101 b is closed.
  • the valves 330 d and 330 f are opened to supply N 2 gas into the gas supply pipes 310 a and 310 c .
  • the shut off valves 101 a and 101 c may be opened such that N 2 gas whose flow rate is adjusted by MFCs is supplied into the process chamber 201 at a predetermined flow rate, and the N 2 gas is exhausted through the exhaust pipe 232 .
  • the exhaust valve 102 b is provided adjacent to the shut off valve 101 b .
  • the HCDS gas can be exhausted from the gas supply pipe 310 b to the exhaust pipe 232 through the exhaust valve 102 b.
  • the shut off valve 101 b is opened to supply the HCDS gas into the process chamber 201 .
  • the flow rate of the HCDS gas is adjusted by the MFC.
  • the HCDS gas is supplied onto the wafers 200 through the nozzle part 340 b , and exhausted through the exhaust pipe 232 .
  • the shut off valve 101 a and the shut off valve 101 c are closed. Thereby, it is possible to suppress the back diffusion of the HCDS gas to the gas supply pipes 310 a and 310 c.
  • the shut off valve 101 b is closed.
  • the vacuum pump 246 vacuum-exhausts the inside of the process chamber 201 to remove a residual HCDS gas which did not react or which contributed to the formation of a silicon (Si)-containing layer serving as a first layer from the process chamber 201 .
  • the source gas supply step and the source gas exhaust step are sequentially performed (for example, three times in the embodiments).
  • the first layer is formed on the top surface of the wafers 200 .
  • the first layer is formed on the top surface of the wafers 200 by performing a cycle including the source gas supply step and the source gas exhaust step a plurality of times.
  • the nozzle for supplying the HCDS gas into the process chamber 201 may include a short pipe nozzle whose front end is open.
  • the gas such as the source gas is supplied cyclically (which leads to a cyclic gas flow), as described above.
  • the method of supplying the gas is appropriately selected in accordance with the shape of the nozzle.
  • the valve 330 b is closed to stop the supply of the HCDS gas.
  • the N 2 gas is supplied into the process chamber 201 .
  • the N 2 gas serves as a purge gas, thus, it is possible to improve an effect of removing the residual gas in the process chamber 201 from the process chamber 201 .
  • the N 2 gas is continuously supplied into the process chamber 201 .
  • the flow rate of the N 2 gas is changed with a predetermined period.
  • the flow rate of the N 2 gas is switched between a first flow rate (flow rate A) and a second flow rate (flow rate B less than the flow rate A) a predetermined number of times.
  • the switching of the flow rate of the N 2 gas is performed twice.
  • the first step includes the gas purging step for reliably exhausting the gas remaining in the process chamber 201 from the inside of the process chamber 201 before the reactive gas is supplied.
  • the second step may also include the gas purging step. The gas purging step of the second step will be described later.
  • NH 3 gas serving as the reactive gas is supplied onto the wafers 200 in the process chamber 38 , i.e. onto the first layer formed on the wafers 200 in the process chamber 201 .
  • the NH 3 gas is thermally activated and then supplied onto the wafers 200 .
  • the valves 330 a , 330 d and 101 a are controlled in the same manners as in the first step.
  • the flow rate of the NH 3 gas is adjusted by the MFCs, and the NH 3 gas with the flow rate thereof adjusted is supplied into the process chamber 201 through the nozzle part 340 a and is then exhausted through the exhaust pipe 232 .
  • the NH 3 gas is supplied onto the wafers 200 .
  • the NH 3 gas supplied onto the wafers 200 reacts with the first layer, i.e. at least a portion of the silicon-containing layer formed on the wafers 200 in the first step.
  • the first layer is thermally nitrided under non-plasma atmosphere and modified into a second layer containing silicon (Si) and nitrogen (N), that is, a silicon nitride layer (SiN layer).
  • the NH 3 gas may be plasma-excited and then supplied onto the wafers 200 to nitride the first layer under plasma atmosphere into the second layer (SiN layer).
  • valves 330 a and 330 d are closed to stop the supply of the NH 3 gas into the process chamber 201 .
  • An unreacted gas, the NH 3 gas that has contributed to formation of the second layer and the reaction by-products remaining in the process chamber 201 are exhausted from the process chamber 201 in the same manner as in the first step.
  • the second step may further include the gas purging step to more reliably exhaust the gas remaining in the process chamber 201 from the process chamber 201 after the reactive gas is supplied.
  • the N 2 gas is continuously supplied into the process chamber 201 .
  • the flow rate of the N 2 gas is changed with a predetermined period.
  • the flow rate of the N 2 gas is switched between the first flow rate (flow rate A) and the second flow rate (flow rate B less than the flow rate A) a predetermined number of times.
  • the switching of the flow rate of the N 2 gas is performed four times.
  • the gas purging step of the second step is performed a predetermined number of times, by maintaining the valves 330 d through 330 f and the shut off valve 101 a through 101 c open, the N 2 gas whose flow rate is adjusted to a predetermined flow rate is supplied into the process chamber 201 for a predetermined time to complete the purge step. Thereby, the film-forming sequence of the embodiments is completed.
  • the SiN film having a predetermined composition and a predetermined thickness is formed on the wafers 200 .
  • the cycle is performed a plurality of times. That is, the cycle is performed (repeated) until the second film (SiN film) having the predetermined thickness is obtained by controlling the second layer (SiN layer) formed in each cycle to be thinner than the second film (SiN film) having the predetermined thickness and stacking the second layer (SiN) layer by performing the cycle.
  • valves 310 e and 310 f are opened to supply the N 2 gas into the process chamber 201 through each of the gas supply pipes 310 b and 310 c , and then the N 2 gas supplied into the process chamber 201 is exhausted through the exhaust pipe 232 .
  • the gas or the reaction by-products remaining in the process chamber 201 are removed from the process chamber 201 by supplying the N 2 gas (purging). Thereafter, the inner atmosphere of the process chamber 201 is replaced with the inert gas (substitution by inert gas), and the inner pressure of the process chamber 201 is returned to atmospheric pressure (returning to atmospheric pressure).
  • the seal cap 219 is lowered by the boat elevator 115 and the lower end of the reaction tube 203 is opened.
  • the boat 217 with the processed wafers 200 charged therein is unloaded from the reaction tube 203 through the lower end of the reaction tube 203 (boat unloading).
  • the processed wafers 200 are then unloaded (discharged) from the boat 217 (wafer discharging).
  • the HCDS gas is supplied into the reaction tube 203 while opening/closing the shut off valve 101 provided at the boundary between the nozzle and the gas supply system.
  • the shut off valve 101 connected to a process gas supply system other than the process gas supply system for supplying the HCDS gas, the HCDS gas is prevented from being diffused thereto. Therefore, it is possible to reduce particles originated from the by-products in the piping such as the gas supply pipe 310 .
  • the processing gas supply system other than the processing gas supply system for supplying the HCDS gas also heats the piping where the HCDS gas is diffused.
  • the heating temperature can be moderated. Therefore, it is possible to reduce the range where the piping should be heated to a high temperature for preventing liquefaction of the HCDS, thereby leading to a reduction in the heater cost.
  • FIG. 12 schematically illustrates the dependence of the flow rate of the N 2 gas with the change of the flow rate of the counter N 2 gas when the counter N 2 gas is supplied through the two process gas supply mechanisms of the process gas supply system including the three mechanisms other than the process gas supply mechanism of the process gas supply system for supplying a film-forming gas (one of the source and the reactive gas).
  • a film-forming gas one of the source and the reactive gas.
  • processing conditions for obtaining the dependence are as follows:
  • the temperature of the wafers 200 100° C. to 800° C., preferably, 400° C. to 750° C. For example, 630° C. according to the embodiments;
  • the inner pressure of the process chamber 5 Pa to 4,000 Pa, preferably, 10 Pa to 1,332 Pa;
  • the flow rate of the HCDS gas 1 sccm to 2,000 sccm, preferably 50 sccm to 500 sccm;
  • the flow rate of the NH 3 gas 100 sccm to 30,000 sccm;
  • the flow rate of the N 2 gas 1 sccm to 50,000 sccm;
  • the thickness of the SiN film 0.2 nm to 100 nm.
  • FIG. 12 illustrates the average thicknesses and the uniformities of films formed on the wafers 200 with respect to the presence and the flow rate of the counter N 2 gas. Specifically, the average thickness and the uniformity of a film formed on a surface of a wafer placed at an uppermost portion (indicated by “TOP” in FIG. 12 ) of a substrate processing region, the average thickness and the uniformity of a film formed on a surface of a wafer placed at a center portion (indicated by “CNT” in FIG. 12 ) of the substrate processing region and the average thickness and the uniformity of a film formed on a surface of a wafer placed at a lowermost portion (indicated by “BTM” in FIG. 12 ) of the substrate processing region are illustrated, respectively.
  • TOP uppermost portion
  • CNT center portion
  • the uniformities of the films between the wafers 200 in the above cases are also illustrated in FIG. 12 .
  • the uniformity of the film formed on the surface of the wafer is also referred to as “the uniformity in the wafer”
  • the uniformity of the films between the wafers 200 is also referred to as “the uniformity between the wafers”.
  • “W/O COUNTER N 2 GAS” corresponds to the embodiments. That is, according to the embodiments, the shut off valve 101 provided in the gas supply system (that has supplied the counter N 2 gas into the process chamber 201 so far) is closed while the HCDS gas or the NH 3 gas is supplied. Therefore, it is possible to prevent the back diffusion of the HCDS gas or the NH 3 gas to the gas supply pipe 310 , so that the supply of the counter N 2 gas is not necessary.
  • the HCDS gas or the NH 3 gas is not diluted by the counter N 2 gas.
  • the concentration of the HCDS gas or the NH 3 gas in the process chamber 201 is higher than that of the HCDS gas or the NH 3 gas in case where the counter N 2 gas is supplied according to the flow rates illustrated in FIG. 12 . Therefore, the average thickness of the film formed on the wafers placed at each of the upper region (“TOP”), the center region (“CNT”) and the lower region (“BTM”) of the substrate processing region is higher than the case where the counter N 2 gas is supplied.
  • the HCDS gas or the NH 3 gas in the process chamber 201 can contact the surface of each wafer 200 uniformly (or in its entirety) without being affected by the counter N 2 gas. Therefore, the uniformity in the wafer at each of the upper region (“TOP”), the center region (“CNT”) and the lower region (“BTM”) of the substrate processing region is lower than the case where the counter N 2 gas is supplied.
  • TOP indicates that the wafer is disposed at the uppermost portion of the substrate processing region
  • BTM indicates that the wafer is disposed at the lowermost portion of the substrate processing region
  • CNT indicates that the wafer is disposed at the center portion of the substrate processing region.
  • the substrate processing region would be defined by the slots #5 to #29.
  • TOP would indicate that the wafer is disposed at the slot #29
  • CNT would indicate that the wafer is disposed at the slot #17
  • BTM would indicate that the wafer is disposed at the slot #5.
  • the uniformity in the wafer is obtained by measuring the thickness of the film at predetermined positions in the surface of the wafer and averaging the measured thickness.
  • the uniformity between the wafers is calculated by: (i) obtaining the uniformities in the wafer for all of the wafers 200 placed in slots from “BTM” to “TOP” in the substrate processing region and (ii) averaging the obtained uniformities.
  • the uniformity between the wafers is calculated by averaging the uniformities in the wafer obtained from 25 slots (that is, the slots #5 to #29).
  • the embodiments by eliminating the need for the counter N 2 gas, it is possible to improve the uniformity in the wafer and the uniformity between the wafers. Particularly, the uniformity between the wafers is significantly improved.
  • a current film-forming sequence without the shut off valve and the film-forming sequence with the shut off valve according to the embodiments will be compared.
  • the time required for replacing the gas in the reaction tube in the purge step (also referred to as a “gas replacement step”) after the process gas is supplied is remarkably improved.
  • the piping extends to the opening/closing valve closest to the furnace opening part 226 .
  • the entire range of the piping extending to this opening/closing valve should be exhausted by the vacuum pump 246 . Therefore, the exhaust efficiency is low, and time is spent to perform the gas replacement step.
  • the exhaust efficiency according to the embodiments can be remarkably improved as compared with the conventional sequence.
  • FIG. 13 it is possible to shorten the time required for the cycle purge step after the process gas is supplied.
  • the time required to perform one cycle of the current film-forming sequence is 51 seconds
  • the time required to perform one cycle of the film-forming sequence according to the embodiments with the shut off valve 101 is 41 seconds.
  • the time required to perform one cycle can be shortened by about 20% (10 seconds) compared with that of the current film-forming sequence.
  • the shut off valve is provided in the vicinity of the side wall of the furnace opening part. Therefore, it is possible to suppress the back diffusion of the process gas into the gas supply pipe by closing the shut off valve while the process gas is supplied to the reaction tube through other gas supply pipe.
  • shut off valve since the shut off valve is provided, it is possible to suppress the back diffusion of a vaporized gas supplied through one gas supply pipe into the other gas supply pipes. Therefore, although it depends on the gas supplied into the other gas supply pipes, it is possible to reduce the range that should be heated in the piping if the other gas supply pipes themselves do not need to be heated.
  • the temperature of the heater When the gas supply pipe itself needs to be heated, the temperature of the heater must be set to that of the gas supply pipe even in case where the temperature uniformity requirement at a high temperature is not so high.
  • the temperature uniformity at a high temperature of the heater need not be as high as that of the gas supply pipe because the shut off valve is provided. Therefore, it is possible to use an inexpensive heater such as a heater capable of heating at a relatively low temperature and a heater having a simple heat insulating structure.
  • the above-described technique is not limited thereto.
  • the above-described technique may be applied to the film formation using a horizontal type semiconductor manufacturing apparatus.
  • an inorganic halosilane source gas such as monochlorosilane (SiH 3 Cl, abbreviated as MCS) gas, dichlorosilane (SiH 2 Cl 2 , abbreviated as DCS) gas, trichlorosilane (SiHCl 3 , abbreviated as TCS) gas, tetrachlorosilane gas, that is, silicon tetrachloride (SiCl 4 , abbreviated as STC) gas and octachlorotrisilane (Si 3 Cl 8 , abbreviated as OCTS) gas may be used as the source gas.
  • MCS monochlorosilane
  • DCS dichlorosilane
  • TCS trichlorosilane
  • tetrachlorosilane gas that is, silicon tetrachloride (SiCl 4 , abbreviated as STC) gas
  • octachlorotrisilane Si 3
  • an amino-based (amine-based) silane source gas free of halogen such as trisdimethylaminosilane (Si[N(CH 3 ) 2 ] 3 H, abbreviated as 3DMAS) gas, tetrakisdimethylaminosilane (Si[N(CH 3 ) 2 ] 4 , abbreviated as 4DMAS) gas, bisdiethylaminosilane (Si[N(C 2 H 5 ) 2 ] 2 H 2 , abbreviated as BDEAS) gas and bis(tertiary-butyl amino)silane gas (SiH 2 [NH(C 4 H 9 )] 2 , abbreviated as BTBAS) gas may also be used as the source gas.
  • 3DMAS trisdimethylaminosilane
  • 4DMAS tetrakisdimethylaminosilane
  • BDEAS bisdiethylaminosilane
  • BTBAS bis(tertiary-butyl amino)si
  • an inorganic silane source gas free of halogen such as monosilane (SiH 4 , abbreviated as MS) gas, disilane (Si 2 H 6 , abbreviated as DS) gas and trisilane (Si 3 H 8 , abbreviated as TS) gas may also be used as the source gas.
  • MS monosilane
  • DS disilane
  • TS trisilane
  • a hydrogen nitride-based gas such as diazene (N 2 H 2 ) gas, hydrazine (N 2 H 4 ) gas, N 3 H 8 gas and compounds thereof may be used as the reactive gas.
  • an ethylamine-based gas such as triethylamine ((C 2 H 5 ) 3 N, abbreviated as TEA) gas, diethylamine ((C 2 H 5 ) 2 NH, abbreviated as DEA) gas and monoethylamine (C 2 H 5 NH 2 , abbreviated as MEA) gas may also be used as the reactive gas.
  • TEA triethylamine
  • DEA diethylamine
  • MEA monoethylamine
  • a methylamine-based gas such as trimethylamine ((CH 3 ) 3 N, abbreviated as TMA) gas, dimethylamine ((CH 3 ) 2 NH, abbreviated as DMA) gas and monomethylamine (CH 3 NH 2 , abbreviated as MMA) may also be used as the reactive gas.
  • a methylamine-based gas such as trimethylamine ((CH 3 ) 3 N, abbreviated as TMA) gas, dimethylamine ((CH 3 ) 2 NH, abbreviated as DMA) gas and monomethylamine (CH 3 NH 2 , abbreviated as MMA)
  • TMA trimethylamine
  • DMA dimethylamine
  • MMA monomethylamine
  • NH 3 gas for example, an organic hydrazine-based gas such as trimethylhydrazine ((CH 3 ) 2 N 2 (CH 3 )H, abbreviated as TMH) gas may also be used as the reactive gas.
  • the above-described embodiments are described by way of an example in which the SiN film is formed by using the HCDS gas as the source gas and the nitrogen (N)-containing gas such as the NH 3 gas as the reactive gas, the above-described technique is not limited thereto.
  • the above-described techniques may be applied to the formations of a film such as a silicon oxide film (SiO film), a silicon oxynitride film (SiON film), a silicon oxycarbonitride film (SiOCN film), a silicon oxycarbide film (SiOC film), a silicon carbonitride film (SiCN film), a silicon boronitride film (SiBN film) and a silicon boron carbonitride film (SiBCN film) according to the film-forming sequence described above by using an oxygen-containing gas such as an oxygen (O 2 ) gas, a carbon-containing gas such as a propylene (C 3 H 6 ) gas and a boron-containing gas such as boron trichloride (BCl 3 ) instead of or in addition to the gases described above.
  • a film such as a silicon oxide film (SiO film), a silicon oxynitride film (SiON film), a silicon oxycarbonitride film (
  • the order of supplying the gases may be changed appropriately.
  • the processing conditions of film-forming process for the above-described films may be substantially the same as those of the film-forming process according to the embodiments and the same advantageous effects as the embodiments may be obtained. That is, the above-described technique may be preferably applied to form a film containing a predetermined element such as a semiconductor element and a metal element.
  • the above-described technique is not limited thereto.
  • the above-described technique may be preferably applied to the processes such as an oxidation process, a diffusion process, an annealing process and an etching process of the substrate or the film or layer formed on the substrate.
  • the above-described embodiments and modified examples may be appropriately combined.
  • the processing conditions of the combinations may be substantially the same as the above-described embodiments or the modified examples.
  • the above-described technique can be preferably applied to a substrate processing apparatus for forming a film on a substrate.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
US16/213,568 2016-06-07 2018-12-07 Substrate processing apparatus and method of manufacturing semiconductor device Active US10640872B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US16/833,014 US11365482B2 (en) 2016-06-07 2020-03-27 Substrate processing apparatus and method of manufacturing semiconductor device
US17/745,496 US20220275515A1 (en) 2016-06-07 2022-05-16 Substrate processing apparatus and method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2016/066915 WO2017212546A1 (ja) 2016-06-07 2016-06-07 基板処理装置、炉口部および半導体装置の製造方法並びにプログラム

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2016/066915 Continuation WO2017212546A1 (ja) 2016-06-07 2016-06-07 基板処理装置、炉口部および半導体装置の製造方法並びにプログラム

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/833,014 Division US11365482B2 (en) 2016-06-07 2020-03-27 Substrate processing apparatus and method of manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
US20190106787A1 US20190106787A1 (en) 2019-04-11
US10640872B2 true US10640872B2 (en) 2020-05-05

Family

ID=60577743

Family Applications (3)

Application Number Title Priority Date Filing Date
US16/213,568 Active US10640872B2 (en) 2016-06-07 2018-12-07 Substrate processing apparatus and method of manufacturing semiconductor device
US16/833,014 Active US11365482B2 (en) 2016-06-07 2020-03-27 Substrate processing apparatus and method of manufacturing semiconductor device
US17/745,496 Pending US20220275515A1 (en) 2016-06-07 2022-05-16 Substrate processing apparatus and method of manufacturing semiconductor device

Family Applications After (2)

Application Number Title Priority Date Filing Date
US16/833,014 Active US11365482B2 (en) 2016-06-07 2020-03-27 Substrate processing apparatus and method of manufacturing semiconductor device
US17/745,496 Pending US20220275515A1 (en) 2016-06-07 2022-05-16 Substrate processing apparatus and method of manufacturing semiconductor device

Country Status (5)

Country Link
US (3) US10640872B2 (ja)
JP (1) JP6616895B2 (ja)
KR (2) KR20190002659A (ja)
CN (1) CN109075070A (ja)
WO (1) WO2017212546A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11365482B2 (en) * 2016-06-07 2022-06-21 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device

Families Citing this family (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
JP7134020B2 (ja) * 2018-08-17 2022-09-09 東京エレクトロン株式会社 バルブ装置、処理装置、および制御方法
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11703229B2 (en) * 2018-12-05 2023-07-18 Yi-Ming Hung Temperature adjustment apparatus for high temperature oven
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP6966499B2 (ja) * 2019-03-06 2021-11-17 Ckd株式会社 ガス供給ユニット及びガス供給方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
CN111834247B (zh) * 2019-04-23 2023-09-08 北京北方华创微电子装备有限公司 冷却装置和半导体处理设备
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210043810A (ko) * 2019-10-14 2021-04-22 삼성전자주식회사 반도체 제조 장비
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7446189B2 (ja) * 2020-09-17 2024-03-08 東京エレクトロン株式会社 処理装置及び処理方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
JP2022076382A (ja) * 2020-11-09 2022-05-19 東京エレクトロン株式会社 処理装置及び処理方法
KR102418948B1 (ko) * 2020-11-24 2022-07-11 주식회사 유진테크 기판 처리 시스템
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022124138A (ja) * 2021-02-15 2022-08-25 東京エレクトロン株式会社 処理装置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5443540A (en) * 1992-12-25 1995-08-22 Tokyo Electron Limited Apparatus and method for drying substrates
JPH07283164A (ja) 1994-04-14 1995-10-27 Tokyo Electron Ltd 熱処理装置及び熱処理方法
JP2000204472A (ja) 1999-01-12 2000-07-25 Tokyo Electron Ltd ガス処理装置およびそれに用いられる原料供給系のパ―ジ機構
JP2004023043A (ja) 2002-06-20 2004-01-22 Toshiba Corp 成膜方法、成膜装置、および半導体装置の製造方法
JP2005285922A (ja) 2004-03-29 2005-10-13 Hitachi Kokusai Electric Inc 基板処理装置
US20070028838A1 (en) 2005-07-29 2007-02-08 Craig Bercaw Gas manifold valve cluster
JP2011187485A (ja) 2010-03-04 2011-09-22 Hitachi Kokusai Electric Inc 基板処理装置
US20130084712A1 (en) * 2011-09-30 2013-04-04 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and non-transitory computer readable recording medium
US20140094027A1 (en) * 2012-10-03 2014-04-03 Osaka University Film forming method and film forming apparatus
US20150206736A1 (en) 2014-01-23 2015-07-23 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2564592B1 (fr) * 1984-05-16 1986-09-12 Francais Ciments Dispositif automatique pour la determination de certaines caracteristiques du ciment telles que finesse des grains, teneur en gypse et en filler calcaire
JPH03142823A (ja) * 1989-10-27 1991-06-18 Fujitsu Ltd 気相成長装置
JPH11186248A (ja) * 1997-12-22 1999-07-09 Sony Corp シリコン酸化膜の形成方法及びシリコン酸化膜形成装置
US7033446B2 (en) * 2001-07-27 2006-04-25 Surface Combustion, Inc. Vacuum carburizing with unsaturated aromatic hydrocarbons
JP4342895B2 (ja) * 2003-10-06 2009-10-14 東京エレクトロン株式会社 熱処理方法及び熱処理装置
KR100980126B1 (ko) * 2005-08-02 2010-09-03 도쿄엘렉트론가부시키가이샤 성막 방법, 성막 장치 및 기억매체
JP4718274B2 (ja) * 2005-08-25 2011-07-06 東京エレクトロン株式会社 半導体製造装置,半導体製造装置の流量補正方法,プログラム
US8677680B2 (en) * 2005-09-13 2014-03-25 Bruce Schuster Fire ant suppression system
JP4464949B2 (ja) * 2006-11-10 2010-05-19 株式会社日立国際電気 基板処理装置及び選択エピタキシャル膜成長方法
JP5233562B2 (ja) * 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2010171388A (ja) * 2008-12-25 2010-08-05 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法及び基板処理用反応管
JP5774822B2 (ja) * 2009-05-25 2015-09-09 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
JP5573666B2 (ja) * 2010-12-28 2014-08-20 東京エレクトロン株式会社 原料供給装置及び成膜装置
US8496020B1 (en) * 2011-01-20 2013-07-30 Layne T. Westerberg Tap and draining device for a filter cartridge
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
JP2013197207A (ja) * 2012-03-16 2013-09-30 Hitachi Kokusai Electric Inc 基板処理装置
JP2015198185A (ja) * 2014-04-02 2015-11-09 東京エレクトロン株式会社 成膜方法及び成膜装置
US9478934B1 (en) * 2015-07-24 2016-10-25 Lightmachinery Inc. Excimer gas purification
US20170252762A1 (en) * 2016-03-01 2017-09-07 Jay S. Derman No Pump Sprayer
WO2017212546A1 (ja) * 2016-06-07 2017-12-14 株式会社日立国際電気 基板処理装置、炉口部および半導体装置の製造方法並びにプログラム

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5443540A (en) * 1992-12-25 1995-08-22 Tokyo Electron Limited Apparatus and method for drying substrates
JPH07283164A (ja) 1994-04-14 1995-10-27 Tokyo Electron Ltd 熱処理装置及び熱処理方法
JP2000204472A (ja) 1999-01-12 2000-07-25 Tokyo Electron Ltd ガス処理装置およびそれに用いられる原料供給系のパ―ジ機構
JP2004023043A (ja) 2002-06-20 2004-01-22 Toshiba Corp 成膜方法、成膜装置、および半導体装置の製造方法
JP2005285922A (ja) 2004-03-29 2005-10-13 Hitachi Kokusai Electric Inc 基板処理装置
US20070028838A1 (en) 2005-07-29 2007-02-08 Craig Bercaw Gas manifold valve cluster
JP2009503875A (ja) 2005-07-29 2009-01-29 アヴィザ テクノロジー インコーポレイテッド ガスマニホルドバルブクラスタ
JP2011187485A (ja) 2010-03-04 2011-09-22 Hitachi Kokusai Electric Inc 基板処理装置
US20130084712A1 (en) * 2011-09-30 2013-04-04 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and non-transitory computer readable recording medium
US20140094027A1 (en) * 2012-10-03 2014-04-03 Osaka University Film forming method and film forming apparatus
JP2014075451A (ja) 2012-10-03 2014-04-24 Tokyo Electron Ltd 成膜方法及び成膜装置
US20150206736A1 (en) 2014-01-23 2015-07-23 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
JP2015138913A (ja) 2014-01-23 2015-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Japanese Office Action dated May 8, 2019 for the Japanese Patent Application No. 2018-522204.

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11365482B2 (en) * 2016-06-07 2022-06-21 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device

Also Published As

Publication number Publication date
US20200232097A1 (en) 2020-07-23
US20220275515A1 (en) 2022-09-01
WO2017212546A1 (ja) 2017-12-14
KR20210074422A (ko) 2021-06-21
JP6616895B2 (ja) 2019-12-04
US20190106787A1 (en) 2019-04-11
US11365482B2 (en) 2022-06-21
CN109075070A (zh) 2018-12-21
JPWO2017212546A1 (ja) 2019-02-28
KR20190002659A (ko) 2019-01-08
KR102326377B1 (ko) 2021-11-15

Similar Documents

Publication Publication Date Title
US11365482B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US10573535B2 (en) Substrate processing apparatus, lid cover and method of manufacturing semiconductor device
US11837466B2 (en) Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
US20180148834A1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US10808318B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
US11952664B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US10910214B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6741780B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US20170335452A1 (en) Substrate treatment apparatus, reaction tube and semiconductor device manufacturing method
US10032629B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US10720324B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6475135B2 (ja) 半導体装置の製造方法、ガス供給方法及び基板処理装置並びに基板保持具
US11823946B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
US11542603B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and substrate processing method
US20240003005A1 (en) Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
TWI831204B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
JP6768134B2 (ja) 基板処理装置および半導体装置の製造方法並びにプログラム
US20230411149A1 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: KOKUSAI ELECTRIC CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:OHNO, MIKIO;UMEKAWA, ATSUSHI;HANASHIMA, TAKEO;AND OTHERS;SIGNING DATES FROM 20181128 TO 20181205;REEL/FRAME:047755/0376

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4