JP2014075451A - 成膜方法及び成膜装置 - Google Patents

成膜方法及び成膜装置 Download PDF

Info

Publication number
JP2014075451A
JP2014075451A JP2012221662A JP2012221662A JP2014075451A JP 2014075451 A JP2014075451 A JP 2014075451A JP 2012221662 A JP2012221662 A JP 2012221662A JP 2012221662 A JP2012221662 A JP 2012221662A JP 2014075451 A JP2014075451 A JP 2014075451A
Authority
JP
Japan
Prior art keywords
film
film forming
aln
alo
alon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012221662A
Other languages
English (en)
Other versions
JP6042160B2 (ja
Inventor
Shuji Shinonome
秀司 東雲
Yusaku Kashiwagi
勇作 柏木
Yuichiro Morozumi
友一朗 両角
Tamotsu Kazumura
有 和村
Takeshige Harada
豪繁 原田
Hirosuke Takahashi
宏輔 高橋
Heiji Watabe
平司 渡部
Takayoshi Shimura
考功 志村
Takuji Hosoi
卓治 細井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Osaka University NUC
Original Assignee
Tokyo Electron Ltd
Osaka University NUC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Osaka University NUC filed Critical Tokyo Electron Ltd
Priority to JP2012221662A priority Critical patent/JP6042160B2/ja
Priority to KR1020130117950A priority patent/KR102117127B1/ko
Priority to US14/044,119 priority patent/US9293543B2/en
Publication of JP2014075451A publication Critical patent/JP2014075451A/ja
Application granted granted Critical
Publication of JP6042160B2 publication Critical patent/JP6042160B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/0405Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising semiconducting carbon, e.g. diamond, diamond-like carbon
    • H01L21/0425Making electrodes
    • H01L21/044Conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/0445Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising crystalline silicon carbide
    • H01L21/048Making electrodes
    • H01L21/049Conductor-insulator-semiconductor electrodes, e.g. MIS contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28264Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being a III-V compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66015Multistep manufacturing processes of devices having a semiconductor body comprising semiconducting carbon, e.g. diamond, diamond-like carbon, graphene
    • H01L29/66037Multistep manufacturing processes of devices having a semiconductor body comprising semiconducting carbon, e.g. diamond, diamond-like carbon, graphene the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66045Field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66053Multistep manufacturing processes of devices having a semiconductor body comprising crystalline silicon carbide
    • H01L29/66068Multistep manufacturing processes of devices having a semiconductor body comprising crystalline silicon carbide the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66734Vertical DMOS transistors, i.e. VDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1602Diamond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】膜厚が大きくても窒素が厚み方向に関して均一に分散したAlON膜を生成することができる成膜方法を提供する。
【解決手段】ウエハWのSiC基板17上にAlN膜23を成膜した後、AlO膜24の成膜、及び該成膜されたAlO膜24上へのAlN膜23の成膜を繰り返してAlO膜24及びAlN膜23が交互に積層された積層構造を有するAlON膜25を形成し、該積層構造を有するAlON膜25に熱処理を施す。
【選択図】図3

Description

本発明は、MOSFETのゲート絶縁膜として用いられる酸窒化アルミニウム(AlON)膜の成膜方法及び成膜装置に関する。
近年、シリコン(Si)よりも熱伝導率、絶縁破壊電界強度、及び電子飽和速度が高く、素子の高温動作が可能という利点があるシリコンカーバイト(SiC)、ガリウムナイトライド(GaN)、ダイヤモンド等からなる基板をパワーMOSFETに用いることが検討されている。このようなパワーMOSFETのゲート絶縁膜の形成として、堆積法を用いて基板上に絶縁膜を形成可能な材料を堆積させることが提案されている。堆積される材料としては高誘電率の材料が好ましく、特にバンドギャップ等の物性値の観点からアルミナ(Al)が有望視されている。
しかしながら、Alは多数の電子トラップを有するため、Alのみでゲート絶縁膜を形成した場合、フラットバンド電圧がシフトし、閾値電圧が不安定となる。そこで、ゲート絶縁膜の酸素と基板のシリコンとの中間の価電子数を持つ窒素を、ゲート絶縁膜に添加して電子トラップを解消することが提案されている(例えば、非特許文献1参照)。また、ゲート絶縁膜を構成するAlへ数at%の窒素を添加する場合には、プラズマによってAlを窒化してAlON膜を生成する方法が用いられている(例えば、非特許文献2参照。)。
"高速トランジスタを実現する高誘電率ゲート絶縁膜の欠陥制御技術を開発"、[online]、株式会社富士通研究所、[平成24年7月2日検索]、インターネット(URL: http://pr.fujitsu.com/jp/news/2002/07/1.html) K. Manabe, IEICE TRANS. ELECTRON., Vol. E87-C, No. 1, page 30, 2004
ところで、シリコン基板を用いる通常のMOSFETにおけるゲート絶縁膜の厚さは数nmであるため、ゲート絶縁膜であるAl膜をプラズマによって窒化する際、窒素はAl膜の膜厚方向に関して十分にドープされて、窒素が均一に分散したAlON膜を生成することができる。
しかしながら、パワーMOSFETにおけるゲート絶縁膜は耐圧性を考慮して厚さが少なくとも50nmであり、好ましくは100nmとなるため、プラズマによって窒化を試みた場合、窒素がゲート絶縁膜であるAl膜の膜厚方向に関して十分にドープされず、窒素が厚み方向に関して均一に分散したAlON膜を生成することができない。窒素が均一に分散していないAlON膜をゲート絶縁膜としても用いた場合、所望のフラットバンド電圧や閾値電圧を実現することができない。
本発明の目的は、耐圧性を備えるとともに窒素濃度が好適に制御された絶縁膜としてのAlON膜を得ることができる成膜方法及び成膜装置を提供することにある。
上記目的を達成するために、請求項1記載の成膜方法は、パワーデバイス向けのMOSFETに用いられるゲート絶縁膜の成膜方法であって、AlN膜を成膜する第1の成膜ステップと、AlO膜を成膜する第2の成膜ステップとを有し、前期第1の成膜ステップと、前記第2の成膜ステップとを繰り返して前記AlO膜及び前記AlN膜が交互に積層された積層構造を有するAlON膜を形成することを特徴とする。
本発明によれば、耐圧性を備えるとともに窒素濃度が好適に制御された絶縁膜としてのAlON膜を得ることができる。
本発明の第1の実施の形態に係る成膜方法を実行する成膜装置の構成を概略的に示す断面図である。 本実施の形態に係る成膜方法で形成されるAlON膜をゲート絶縁膜として用いるプレーナ構造を有するMOSFETの構成を概略的に示す断面図である。 本実施の形態に係る成膜方法を示す工程図である。 本実施の形態に係る成膜方法を実行するセミバッチ式の成膜装置の構成を概略的に示す水平断面図である。 本実施の形態に係る成膜方法を実行するバッチ式の成膜装置の構成を概略的に示す断面図である。 本実施の形態に係る成膜方法で形成されるAlON膜をゲート絶縁膜として用いるトレンチゲート構造を有するMOSFETの構成を概略的に示す断面図である。 各AlN膜の膜厚を不均一にした場合のAlON膜の構成を示す拡大断面図である。 本発明の第2の実施の形態に係る成膜方法を示す工程図である。 本発明の第3の実施の形態に係る成膜方法を示す工程図である。 図4のセミバッチ式の成膜装置の変形例の構成を概略的に示す水平断面図である。 ゲート絶縁膜としてのAl膜及びAlON膜のフラットバンドシフトを示すグラフである。 AlON膜のトレンチ構造における段差被覆性を説明するための断面図である。
以下、本発明の実施の形態について図面を参照しながら説明する。
まず、本発明の第1の実施の形態に係る成膜方法について説明する。
図1は、本発明の第1の実施の形態に係る成膜方法を実行する成膜装置の構成を概略的に示す断面図である。この成膜装置は基板としての半導体ウエハ(以下、単に「ウエハ」という。)にゲート絶縁膜としてのAlON膜を成膜する。
図1において、成膜装置10は、例えば、円筒形状を呈するチャンバ11と、該チャンバ11内の底部に配置される載置台12と、チャンバ11内へ処理ガスを導入するガス導入部13と、チャンバ11内を排気する排気部14と、チャンバ11内を外部と連通させるゲート(図示しない)を備える。
チャンバ11は内部を外部雰囲気から隔離し、該内部においてウエハWへセミバッチ方式で後述するALD(Atomic Layer Deposition)を用いた成膜処理を施す。載置台12はヒータ15を内蔵し、複数のウエハWを載置して該載置された複数のウエハWを同時に加熱する。また、載置台12はチラーを内蔵し、載置された複数のウエハWを冷却してもよく、さらに、載置台12は枚葉でウエハWを載置してもよい。
ガス導入部13は3つのガス導入管13a〜13cからなり、ガス導入管13aはアルミニウム源として、例えば、TMA(Trimethylaluminum)を導入し、ガス導入管13bは酸素源として、例えば、オゾン(O)を導入し、ガス導入管13cは窒素源として、例えば、アンモニア(NH)を導入する。成膜装置10の各構成部位の動作はコントローラ10aによって制御される。
成膜装置10は、ALDによってウエハW上にAlO膜(例えば、Al膜)やAlN膜を成膜する。例えば、Al膜を成膜する際、まず、ガス導入管13aからTMAを導入してTMAの各分子をウエハWの表面又はウエハW上に形成された膜へ物理的に吸着させ、排気部14によって余分なTMAの分子(ウエハW等に吸着していないTMAの分子)をチャンバ11の外へ排出することによって複数の分子が厚み方向に重ならない(すなわち、1層の)TMA分子層を形成し、その後、ガス導入管13bからOを導入し、且つヒータ15によってウエハWを加熱することでOをTMA分子層と化学反応させてAl膜を生成しながら、余分なOや副生成物をチャンバ11外へ排出する。また、例えば、AlN膜を成膜する際、まず、ガス導入管13aからTMAを導入してTMAの各分子を物理的に吸着させ、排気部14によって余分なTMAの分子をチャンバ11の外へ排出することによって1層のTMA分子層を形成し、その後、ガス導入管13cからNHを導入し、且つウエハWを加熱することでNHをTMA分子層と化学反応させてAlN膜を生成しながら、余分なNHや副生成物をチャンバ11外へ排出する。すなわち、成膜装置10は厚さが数nmのAl膜やAlN膜を生成することができる。なお、余分なガス(例えば、OやNH)や副生成物の排出は、不活性ガス、例えば、Nをチャンバ11内へパージすることによっても行うことができる。
また、成膜の際に結晶欠陥を抑制して高品質な膜を得るためには、TMAの露点管理が必要であり、例えば、ガス導入管13aには水分除去のためのピュリファイア13gが設けられる。また、スループット向上を目的として導入する処理ガスの切り替えを早く行うために、各ガス導入管13a〜13cはチャンバ11に隣接するように配置されたバルブ13d〜13fを有する。これにより、チャンバ11内から各バルブ13d〜13fまでの体積を極力減らし、例えば、処理ガスをTMAからOに切り替える際にチャンバ11内からバルブ13dの間に残留するTMAの量を極力少なくすることができ、処理ガスの切り替えを素早く行うことができる。
図2は、本実施の形態に係る成膜方法で形成されるAlON膜をゲート絶縁膜として用いるプレーナ構造を有するMOSFETの構成を概略的に示す断面図である。
図2において、MOSFET16は、ウエハWを構成するSiC基板17において、下部に形成されて一部が表面に露出する第1のn型SiC部18と、上部に形成されて表面に露出し、且つ第1のn型SiC部18と交錯しない第2のn型SiC部19と、第1のn型SiC部18及び第2のn型SiC部19の間に介在して一部が表面に露出するp型SiC部20と、SiC基板17の表面に形成されたゲート絶縁膜21とを有する。ゲート絶縁膜21はSiC基板17の表面において第1のn型SiC部18の全露出部分、p型SiC部20の全露出部分及び第2のn型SiC部19の露出部分の一部を覆うように平板状に形成されるため、後述する本実施の形態に係る成膜方法のように複数の膜を積層する方法であっても、容易に形成することができる。
なお、SiC基板17は純粋なSiCのみによって構成される必要はなく、不純物がドーピングされていてもよい。また、ウエハWを構成する基板はSiC基板17に限られず、例えば、窒化ガリウム(GaN)又はダイヤモンドからなる基板であってもよい。
図3は、本実施の形態に係る成膜方法を示す工程図である。
まず、成膜装置10又は他の熱処理装置、例えば、ランプヒータを備える熱処理炉においてSiC基板17の表面に薄い酸化珪素(SiO)膜22を成膜し(図3(A))、次いで、成膜装置10において、ガス導入管13aからのTMAの導入及び続くチャンバ11内の排気によってSiC基板17の表面上に1層のTMA分子層を形成し、その後、ガス導入管13cからのNHの導入及びSiC基板17の加熱によってAlN膜23を成膜する(図3(B))(第1の成膜ステップ)。本実施の形態に係る成膜方法では、後述するようにAlN膜23の成膜及びAlO膜24の成膜を繰り返すが、酸素源、例えば、Oを用いないAlN膜23の成膜を最初に行う。これにより、酸素原子が薄いSiO膜22を通過してSiC基板17の表面を酸化するのを抑制することができる。また、薄いSiO膜22は必須ではなく、薄いSiO膜22を成膜しない場合には、熱処理炉における熱酸化も行わないため、より確実にSiC基板17の表面の酸化を抑制することができる。
次いで、ガス導入管13aからのTMAの導入及び続くチャンバ11内の排気によって1層のTMA分子層を形成し、その後、ガス導入管13bからのOの導入及びSiC基板17の加熱によってAlO膜24を成膜し、さらに成膜されたAlO膜24上に図3(B)と同様の方法でAlN膜23を成膜する(図3(C))(第2の成膜ステップ)。
次いで、AlO膜24の成膜及びAlN膜23の成膜を繰り返してAlO膜24及びAlN膜23が交互に積層された積層構造を有する膜厚が30nm〜150nm、好ましくは50nm以上のAlON膜25を形成する(図3(D))。
なお、必ずしもAlN膜23の成膜とAlO膜24の成膜を交互に行う必要はなく、まず、AlN膜23の成膜を所定の回数繰り返した後に、AlO膜24の成膜を所定の回数繰り返すことによって所定の膜厚を有する積層構造を形成してもよい。また、一度に成膜されるAlO膜24やAlN膜23の膜厚は0.1nm〜20nmであり、均一な膜厚実現の観点からは1nm〜5nmが好ましい。また、AlO膜24やAlN膜23はALDだけでなくCVD(Chemical Vapor Deposition)によって成膜されてもよく、特に、膜厚が大きい場合にはCVDによって好適に成膜される。
例えば、一実施例として、一度に成膜されるAlO膜24の膜厚を0.36nmとし、一度に成膜されるAlN膜23の膜厚を3nmとし、AlN膜23の成膜及びAlO膜24の成膜を交互に24回繰り返してもよい。これにより、膜厚が約80nmで窒素が約20at%含まれるAlON膜25を形成することができる。
次いで、AlON膜25が形成されたSiC基板17を成膜装置10又は他の熱処理装置において、例えば、600℃〜1100℃に加熱してAlON膜25へ熱処理(アニール処理)を施し(図3(E))、さらに、当該SiC基板17へ従来のフォトプロセスを行った後、プラズマエッチング装置に搬入してドライエッチング等によってAlON膜25の不要な部分を削除してゲート絶縁膜21を得(図3(F))、その後、本処理を終了する。なお、AlO膜24とAlN膜23の界面はアニール処理における各分子等の拡散作用によって崩れ、ゲート絶縁膜21ではAlO分子とAlN分子が混ざり合った状態となっている。
ところで、上述した本実施の形態に係る成膜方法は成膜装置10だけでなく、他の構造を有する成膜装置で実行してもよい。
図4は、本実施の形態に係る成膜方法を実行するセミバッチ式の成膜装置の構成を概略的に示す水平断面図である。
図4において、成膜装置26は、円筒形状のチャンバ27と、チャンバ27内に配置されて該チャンバ27の中心軸を中心として水平に回転する円板状のサセプタ28と、チャンバ27及び外部を連通するゲート29と、チャンバ27内へTMAを導入するガス導入管30aと、チャンバ27内へOやNHを切り替えて導入するガス導入管30bと、チャンバ27の円周方向に関してガス導入管30a及び30bの間にそれぞれ配置されてチャンバ27内へNを導入する2つのガス導入管30cとを備え、ガス導入管30aには水分除去のためのピュリファイア30eが設けられる。
チャンバ11は複数、例えば、6枚の台状のボート26aを収容し、収容された各ボート26aはサセプタ28において円周方向に均等に配置される。各ボート26aには複数のウエハWが載置され、各ボート26aは当該ボート26aの中心周りに水平に回転する。すなわち、各ボート26aは自転しながらチャンバ27の中心軸周りに公転し、公転する各ボート26aに載置されたウエハWはチャンバ27の中心軸周りを旋回する。各ボート26aはチャンバ27の外に配置されたアーム26cによってゲート29を介してチャンバ27内へ搬出入される。
サセプタ28はヒータ(図示しない)を内蔵し、各ボート26aを介して各ウエハWを加熱する。なお、サセプタ28はチラー(図示しない)を内蔵し、各ボート26aを介して各ウエハWを冷却してもよい。
成膜装置26では、ALDによってAlN膜23を成膜する際、ガス導入管30aからTMAをチャンバ27内に導入し、ガス導入管30bからNHを導入するとともに、各ガス導入管30cからNをチャンバ27内に導入する。各ガス導入管30cはそれぞれガス導入管30a及び30bの間でNを導入することにより、チャンバ27内を、TMAの各分子をウエハWの表面等へ化学的に吸着させてTMA分子層を形成するTMA吸着領域Pと、NHをTMA分子層と化学反応させてAlN膜23を成膜する成膜領域Pとに仕切る。各ウエハWがチャンバ27の中心軸周りを旋回する際、各ウエハWは順にTMA吸着領域P及び成膜領域Pを通過するため、一旋回毎にAlN膜23が形成される。
また、ALDによってAlO膜24を成膜する際、ガス導入管30aからTMAをチャンバ27内に導入し、ガス導入管30bからOを導入するとともに、各ガス導入管30cからNをチャンバ27内に導入し、TMA吸着領域PにおいてTMA分子層を形成し、成膜領域PにおいてOをTMA分子層と化学反応させてAlO膜24を成膜する。このときも、各ウエハWがチャンバ27の中心軸周りを旋回する際、各ウエハWは順にTMA吸着領域P及び成膜領域Pを通過するため、一旋回毎にAlO膜24が形成される。すなわち、成膜装置26ではガス導入管30bから導入されるO及びNHを切り替えることにより、AlO膜24及びAlN膜23を交互に成膜することができる。
また、各ウエハWを各ボート26aに載置させて各ボート26aをチャンバ27の中心軸周りに公転させることにより、当該複数のウエハWへAlN膜23又はAlO膜24をほぼ同時に成膜することができる。なお、成膜装置26の各構成部位の動作はコントローラ26bによって制御される。
成膜装置26においても、処理ガスの切り替えを早く行うために、ガス導入管30bは成膜装置26に隣接するように配置されたバルブ30dを有する。
本実施の形態に係る成膜方法を成膜装置26を用いて実行する場合、まず、成膜装置26又は他の熱処理装置においてSiC基板17の表面に薄いSiO膜22を成膜する(図3(A))。なお、薄いSiO膜22は必須ではなく、薄いSiO膜22を成膜しない場合には、SiC基板17の表面の酸化を抑制することができる。
次いで、成膜装置26において、ガス導入管30aからのTMAの導入によってSiC基板17の表面上に1層のTMA分子層を形成し、その後、ガス導入管30cからのNHの導入及びSiC基板17の加熱によってAlN膜23を成膜する(図3(B))(第1の成膜ステップ)。最初にAlN膜23を成膜することによって薄いSiO膜22を酸素源に晒すことがないので、酸素原子が薄いSiO膜22を通過してSiC基板17の表面を酸化するのを抑制することができる。
次いで、ガス導入管30aからのTMAの導入によって1層のTMA分子層を形成し、その後、ガス導入管30bからのOの導入及びSiC基板17の加熱によってAlO膜24を成膜し、さらに成膜されたAlO膜24上に上記方法でAlN膜23を成膜する(図3(C))(第2の成膜ステップ)。
次いで、AlO膜24の成膜及びAlN膜23の成膜を繰り返してAlO膜24及びAlN膜23が交互に積層された積層構造を有するAlON膜25を形成し(図3(D))、AlON膜25が形成されたSiC基板17を成膜装置26又は他の熱処理装置においてAlON膜25へ熱処理(アニール処理)を施し(図3(E))、さらに、従来の方法によりフォトプロセスを行った後、ドライエッチング等によってAlON膜25の不要な部分を削除してゲート絶縁膜21を得る(図3(F))。なお、この場合も、AlO膜24とAlN膜23の界面はアニール処理における各分子等の拡散作用によって崩れ、ゲート絶縁膜21ではAlO分子とAlN分子が混ざり合った状態となっている。
上述した図4の成膜装置26では、各ウエハWが一旋回する毎にAlN膜23又はAlO膜24のいずれかが形成されるが、成膜装置を各ウエハWが一旋回する毎にAlN膜23又はAlO膜24の両方が形成されるように構成してもよい。例えば、図10に示すように、成膜装置26と同様の構成を有する成膜装置40において、チャンバ27の円周方向に関して時計回りに、チャンバ27内へNを導入するガス導入管41a、チャンバ27内へTMAを導入するガス導入管41b、チャンバ27内へNを導入するガス導入管41c、チャンバ27内へNHを導入するガス導入管41d、チャンバ27内へNを導入するガス導入管41e、チャンバ27内へTMAを導入するガス導入管41f、チャンバ27内へNを導入するガス導入管41g及びチャンバ27内へOを導入するガス導入管41hを配置し、チャンバ27内を、TMAの各分子をウエハWの表面等へ化学的に吸着させてTMA分子層を形成するTMA吸着領域Pと、NHをTMA分子層と化学反応させてAlN膜23を成膜するAlN成膜領域Pと、TMAの各分子をウエハWの表面等へ化学的に吸着させてTMA分子層を形成するTMA吸着領域Pと、OをTMA分子層と化学反応させてAlO膜24を成膜するAlO成膜領域Pとに分けてもよい。
成膜装置40では、各ウエハWが一旋回する毎に、TMA吸着領域P、AlN成膜領域P、TMA吸着領域P、及びAlO成膜領域Pを順に通過するので、各ウエハWには当該ウエハWが一旋回する毎にAlN膜23又はAlO膜24の両方が形成される。
なお、成膜装置40でも、TMAを導入するガス導入管41b,41fには水分除去のためのピュリファイア41i,41jがそれぞれ設けられる。
また、成膜装置26においてAlN膜23とAlO膜24を交互に成膜する必要はなく、各ウエハWを所定回数ほど旋回させる際、最初の数旋回ではガス導入管30bからNHのみを導入し、続く数旋回ではガス導入管30bからOのみを導入してもよい。これにより、最初の数旋回では各ウエハWに複数のAlN膜23の積層構造が形成され、続く数旋回では各ウエハWに複数のAlO膜24の積層構造が形成される。
図5は、本実施の形態に係る成膜方法を実行するバッチ式の成膜装置の構成を概略的に示す断面図である。
図5において、成膜装置31は、二重管構造を有するチャンバ32と、チャンバ32の下端を塞ぐ蓋部材33と、多数のウエハWを多段に載置するウエハボード34と、該ウエハボード34の下端を支持する回転軸35と、チャンバ32内へ処理ガスを導入するガス導入部36と、チャンバ32内を排気する排気部37と、ウエハボード34に載置された各ウエハWを加熱するヒータ(図示しない)とを備える。
チャンバ32は内部を外部雰囲気から隔離し、該内部において各ウエハWにALDを用いた成膜処理を施す。ガス導入部36は3つのガス導入管36a〜36cからなり、ガス導入管36aはTMAを導入し、ガス導入管36bはOを導入し、ガス導入管36cはNHを導入する。ウエハボード34は回転軸35や蓋部材33とともにチャンバ32から分離可能に構成され、チャンバ32の下方から退出入する。成膜装置31の各構成部位の動作はコントローラ31aによって制御される。なお、TMAを導入するガス導入管36aには水分除去のためのピュリファイア36gが設けられる。
成膜装置31では、AlO膜24を成膜する際、まず、ガス導入管36aからTMAを導入してTMAの各分子をウエハWの表面等へ物理的に吸着させ、排気部37によって余分なTMAの分子をチャンバ11の外へ排出することによって1層のTMA分子層を形成し、その後、ガス導入管36bからOを導入し、且つヒータによってウエハWを加熱することでOをTMA分子層と化学反応させてAl膜を生成しながら、余分なOや副生成物をチャンバ11外へ排出する。また、AlN膜23を成膜する際、まず、ガス導入管36aからTMAを導入してTMAの各分子を物理的に吸着させ、排気部37によって余分なTMAの分子をチャンバ11の外へ排出することによって1層のTMA分子層を形成し、その後、ガス導入管36cからNHを導入し、且つウエハWを加熱することでNHをTMA分子層と化学反応させてAlN膜を生成しながら、余分なNHや副生成物をチャンバ11外へ排出する。すなわち、成膜装置31は、多数のウエハWにおいて厚さが数nmのAl膜やAlN膜を同時に生成することができる。
なお、成膜装置31においても、処理ガスの切り替えを早く行うために、各ガス導入管36a〜36cはチャンバ11に隣接するように配置されたバルブ36d〜36fを有する。また、本実施の形態に係る成膜方法を成膜装置31を用いて実行する手順は、成膜装置10を用いて実行する手順とほぼ同じであるため、その説明を省略する。
本実施の形態に係る成膜方法によれば、形成されたAlON膜25はAlO膜24及びAlN膜23が交互に積層された積層構造を有するので、当該AlON膜25の厚み方向に関してほぼ均等にAlN膜23が配置される。また、積層構造を有するAlON膜25に熱処理が施されるので、積層構造が焼き鈍しによって解消されて各AlN膜23に含まれる窒素がAlON膜25内において拡散する。その結果、AlON膜25の膜厚が大きくても窒素を厚み方向に関して確実に均一に分散させることができる。すなわち、耐圧性を備えるとともに窒素濃度が好適に制御されたAlON膜25を得ることができる。
上述した本実施の形態に係る成膜方法では、チャンバ11(27、32)内の圧力やウエハWの温度、さらには、SiC基板17をNHやOに晒す時間を調整することにより、AlON膜25の窒素含有量を1%〜約40%の間で制御可能である。
また、上述した本実施の形態に係る成膜方法では、AlON膜25の形成の前にSiC基板17の表面へ薄いSiO膜22を形成したが、当該SiO膜22を形成することなく、SiC基板17の表面へ直接AlN膜23を形成してもよい。
上述した本実施の形態に係る成膜方法では、SiC基板17を加熱してTMAとNHやOとを化学反応させたが、チャンバ11(27、32)内でNHやOからプラズマを生成、若しくは、チャンバ11(27、32)内へNHやOのプラズマを導入してTMAと化学反応させてよい。
なお、上述した本実施の形態に係る成膜方法では、アルミ源としてTMAを用いたが、他のアルミ源、例えば、トリエチルアルミニウムを用いてもよい。また、酸素源としてOを用いたが、他の酸素源、例えば、酸素、水、亜酸化窒素、酸化窒素、一酸化炭素や二酸化炭素を用いてもよい。さらに、窒素源としてNHを用いたが、他の窒素源、例えば、窒素、亜酸化窒素や酸化窒素を用いてもよい。
上述した本実施の形態に係る成膜方法において、成膜される各AlO膜24の膜厚や各AlN膜23の膜厚は同じでなくてもよい。例えば、AlON膜25においてSiC基板17の表面に近い部位のみの窒素濃度を向上させる場合には、図7に示すように、SiC基板17の表面近傍で成膜されるAlN膜23の膜厚を大きくし、SiC基板17の表面から離れるほどAlN膜23の膜厚を小さくしてもよい。
また、図6に示すような、トレンチゲート構造を有するMOSFET38においてトレンチ39内にゲート絶縁膜21としてのAlON膜25を図3の成膜方法によって形成する場合であっても、ALDは段差被覆性に優れているため、AlN膜23やAlO膜24をトレンチ39の内面に沿ってほぼ均一な膜厚で成膜することができ、均質なゲート絶縁膜21を生成することができる。なお、CVDも他の成膜手法と比べて比較的、段差被覆性に優れているため、CVDによってもAlN膜23やAlO膜24をトレンチ39の内面に沿ってほぼ均一な膜厚で成膜することができる。
上述した成膜装置10、26、31はALDによってAlN膜23やAlO膜24を成膜したが、AlN膜23やAlO膜24の成膜方法はALDに限られず、例えば、CVDやPVD(Physical Vapor Deposition)を用いることができる。
例えば、上述した成膜装置10、26、31においてAlN膜23やAlO膜24をCVDで成膜する場合、まず、薄いSiO膜22が成膜されたウエハW(SiC基板17)が収容されたチャンバ11(27、32)内にTMAを導入し、次いでチャンバ11(27、32)内を排気することなくNHを導入し、さらにSiC基板17を加熱して該SiC基板17上においてTMAとNHを化学反応させてAlN膜23を成膜する。
次いで、TMAの導入、Oの導入及びSiC基板17の加熱によってAlO膜24を化学反応によって成膜し、さらに、上述した方法と同様の方法でAlN膜23を化学反応によって成膜する。CVDではAlO膜24の成膜やAlN膜23の成膜の際、比較的厚い(例えば、厚さが20nm前後の)AlO膜24やAlN膜23が形成される。
次いで、CVDによるAlO膜24の成膜及びAlN膜23の成膜を繰り返してAlO膜24及びAlN膜23が交互に積層された積層構造を有するAlON膜25を形成し、該AlON膜25へ熱処理(アニール処理)を施し、さらに、当該SiC基板17へ従来のフォトプロセスを行った後、ドライエッチング等によってAlON膜25の不要な部分を削除してゲート絶縁膜21を得る。
また、上述したALDでは、チャンバ11(27、32)内にTMAを導入した後、1層のTMA分子層を形成するために余分なTMAの分子を全てチャンバ11の外に排出したが、全てのTMAの分子が排出される前に、OやNHを導入してもよい。この場合には、厚さが1層のTMA分子層よりも多少厚くなるAlO膜24やAlN膜23が形成される。
具体的には、まず、薄いSiO膜22が成膜されたウエハW(SiC基板17)が収容されたチャンバ11(27、32)内にTMAを導入し、チャンバ11内を排気しながらも余分なTMAの分子を全て排出する前にNHを導入し、さらにSiC基板17を加熱して該SiC基板17上においてTMAとNHを化学反応させてAlN膜23を成膜する。
次いで、TMAの導入、余分なTMAの分子を全て排出する前のOの導入及びSiC基板17の加熱によってAlO膜24を化学反応によって成膜し、さらに、上述した方法と同様の方法でAlN膜23を化学反応によって成膜する。本方法ではAlO膜24の成膜やAlN膜23の成膜の際、余分なTMAの分子が全て排出されていないので、やや厚めのAlO膜24やAlN膜23が形成される。
次いで、上述したAlO膜24の成膜及びAlN膜23の成膜を繰り返してAlO膜24及びAlN膜23が交互に積層された積層構造を有するAlON膜25を形成し、該AlON膜25へ熱処理(アニール処理)を施し、さらに、当該SiC基板17へ従来のフォトプロセスを行った後、ドライエッチング等によってAlON膜25の不要な部分を除去してゲート絶縁膜21を得る。
次に、本発明の第2の実施の形態に係る成膜方法について説明する。
本実施の形態に係る成膜方法は、AlO膜24の成膜を行わず、AlN膜23の成膜のみを行い、成膜されたAlN膜23の酸化を行う点で第1の実施の形態と異なる。本実施の形態に係る成膜方法も、成膜装置10だけでなく成膜装置26、31を用いて実行可能であるが、以下において成膜装置10を用いて実行する場合を代表的に説明する。
図8は、本実施の形態に係る成膜方法を示す工程図である。
まず、成膜装置10又は他の熱処理装置においてSiC基板17の表面に薄い酸化珪素SiO膜22を成膜する(図8(A))。なお、薄いSiO膜22は必須ではなく、薄いSiO膜22を成膜しない場合には、SiC基板17の表面の酸化を抑制することができる。
次いで、成膜装置10において、ガス導入管13aからのTMAの導入及び続くチャンバ11内の排気によってSiC基板17の表面上に1層のTMA分子層を形成し、その後、ガス導入管13cからのNHの導入及びSiC基板17の加熱によってAlN膜23を成膜する(図8(B))(成膜ステップ)。
次いで、ガス導入管13bからのOの導入及びSiC基板17の加熱によってAlN膜23を酸化させ、酸化AlN膜23aを生成する(図8(C))(酸化ステップ)。
次いで、AlN膜23の成膜及びAlN膜23の酸化を繰り返して酸化AlN膜23aの積層構造を有する、膜厚が30nm〜150nm、好ましくは50nm以上のAlON膜25を形成する(図8(D))。例えば、一度に成膜されるAlN膜23の膜厚は0.1nm〜20nmであり、AlN膜23の酸化時間は0.1秒〜120秒である。なお、AlN膜23はALDだけでなくCVDによって成膜されてもよく、特に、膜厚が厚い場合にはCVDによって好適に成膜される。
また、例えば、一度に成膜されるAlN膜23の膜厚を2nmとし、AlN膜23の酸化の際にチャンバ11内のOの濃度を100g/cm〜400g/cmに設定し、チャンバ11内の圧力を1Torrに設定した上で酸化を10秒間に亘って行い、これらの条件の下、AlN膜23の成膜及びAlN膜23の酸化を交互に40回繰り返す。これにより、膜厚が約80nmで窒素が約10at%含まれるAlON膜25を形成することができる。
次いで、AlON膜25が形成されたSiC基板17を成膜装置10又は他の熱処理装置において、例えば、600℃〜1100℃に加熱してAlON膜25へ熱処理(アニール処理)を施し(図8(E))、さらに、従来のフォトプロセスを行った後、当該SiC基板17をプラズマエッチング装置に搬入してドライエッチング等によってAlON膜25の不要な部分を削除してゲート絶縁膜21を得(図8(F))、その後、本処理を終了する。なお、各AlON膜25の界面はアニール処理における各分子等の拡散作用によって崩れ、ゲート絶縁膜21ではAlO分子とAlN分子が混ざり合った状態となっている。
本実施の形態に係る成膜方法によれば、AlN膜23の成膜及びAlN膜23の酸化が交互に繰り返されるので、AlN膜23が成膜される度に当該AlN膜23が酸化される。これにより、一度に酸化されるAlN膜23の膜厚を小さくすることができ、AlN膜23を均等に酸化することができる。また、均等に酸化された酸化AlN膜23aが積層されてAlON膜25が形成される。その結果、AlON膜25の膜厚が大きくても、当該AlON膜25を膜厚方向に均質化できる。さらに、積層構造を有するAlON膜25に熱処理が施されるので、積層構造が焼き鈍しによって解消されて各酸化AlN膜23aに含まれる窒素がAlON膜25内において拡散する。その結果、AlON膜25の膜厚が大きくても窒素を厚み方向に関して確実に均一に分散させることができる。すなわち、耐圧性を備えるとともに窒素濃度が好適に制御されたAlON膜25を得ることができる。
なお、必ずしもAlN膜23の成膜とAlN膜23の酸化を交互に行う必要はなく、まず、AlN膜23の成膜を所定の回数繰り返した後に、AlN膜23の酸化を所定の回数繰り返すことによって所定の膜厚を有する積層構造を形成してもよい。
また、本実施の形態に係る成膜方法においても、AlN膜23をALDではなくCVDやPVDによって成膜してもよい。
例えば、上述した成膜装置10、26、31においてAlN膜23をCVDで成膜する場合、まず、薄いSiO膜22が成膜されたウエハW(SiC基板17)が収容されたチャンバ11(27、32)内にTMAを導入し、次いでチャンバ11(27、32)内を排気することなくNHを導入し、さらにSiC基板17を加熱して該SiC基板17上においてTMAとNHを化学反応させてAlN膜23を成膜する。CVDではAlN膜23の成膜の際、ALDとは異なり、余分なTMAの分子をチャンバ11(27、32)の外へ排出しないため、比較的厚い(例えば、厚さが20nm前後の)AlN膜23が形成される。
次いで、Oの導入及びSiC基板17の加熱によって酸化AlN膜23aを生成し、さらに、CVDによるAlN膜23の成膜及びAlN膜23の酸化を繰り返して酸化AlN膜23aの積層構造を有するAlON膜25を形成し、該AlON膜25へ熱処理(アニール処理)を施し、さらに、当該SiC基板17へ従来のフォトプロセスを行った後、ドライエッチング等によってAlON膜25の不要な部分を削除してゲート絶縁膜21を得る。
また、上述したALDでは、チャンバ11(27、32)内にTMAを導入した後、1層のTMA分子層を形成するために余分なTMAの分子を全てチャンバ11の外に排出したが、全てのTMAの分子が排出される前に、NHを導入してもよい。この場合には、厚さが1層のTMA分子層よりも多少厚くなるAlN膜23が形成される。
具体的には、まず、薄いSiO膜22が成膜されたウエハW(SiC基板17)が収容されたチャンバ11(27、32)内にTMAを導入し、チャンバ11内を排気しながらも余分なTMAの分子を全て排出する前にNHを導入し、さらにSiC基板17を加熱して該SiC基板17上においてTMAとNHを化学反応させてAlN膜23を成膜する。
次いで、Oの導入及びSiC基板17の加熱によって酸化AlN膜23aを生成し、さらに、CVDによるAlN膜23の成膜及びAlN膜23の酸化を繰り返して酸化AlN膜23aの積層構造を有するAlON膜25を形成し、該AlON膜25へ熱処理(アニール処理)を施し、さらに、当該SiC基板17へ従来のフォトプロセスを行った後、ドライエッチング等によってAlON膜25の不要な部分を削除してゲート絶縁膜21を得る。
また、AlN膜23の酸化をSiC基板17の加熱ではなくチャンバ11内でOから生成されたプラズマや、ガス導入管13bから導入されたOのプラズマによって行ってもよい。
上述した本実施の形態に係る成膜方法において、成膜される各AlN膜23の酸化度合は同じでなくてもよい。例えば、AlON膜25においてSiC基板17の表面に近い部位のみの窒素濃度を向上させる場合には、SiC基板17の表面近傍で成膜されたAlN膜23の酸化時間を短縮すればよい。これにより、不必要な酸化時間を削減することができ、もって、スループットを向上することができる。
次に、本発明の第3の実施の形態に係る成膜方法について説明する。
本実施の形態に係る成膜方法は、AlN膜23の成膜を行わず、AlO膜24の成膜のみを行い、成膜されたAlO膜24の窒化を行う点で第1の実施の形態と異なる。本実施の形態に係る成膜方法も、成膜装置10だけでなく成膜装置26、31を用いて実行可能であるが、以下において成膜装置10を用いて実行する場合を代表的に説明する。
図9は、本実施の形態に係る成膜方法を示す工程図である。
まず、成膜装置10又は他の熱処理装置においてSiC基板17の表面に薄い酸化珪素SiO膜22を成膜する(図9(A))。なお、薄いSiO膜22は必須ではなく、薄いSiO膜22を成膜しない場合には、SiC基板17の表面の酸化を抑制することができる。
次いで、成膜装置10において、ガス導入管13aからのTMAの導入及び続くチャンバ11内の排気によってSiC基板17の表面上に1層のTMA分子層を形成し、その後、ガス導入管13bからのOの導入及びSiC基板17の加熱によってAlO膜24を成膜する(図9(B))(成膜ステップ)。
次いで、ガス導入管13cからNH、若しくは、窒素源としてのNを導入してチャンバ11内でプラズマを生成し、該プラズマによってAlO膜24を窒化させ、窒化AlO膜24aを生成する(図9(C))(窒化ステップ)。
次いで、AlO膜24の成膜及びAlO膜24の窒化を繰り返して窒化AlO膜24aの積層構造を有する、膜厚が30nm〜150nm、好ましくは50nm以上のAlON膜25を形成する(図9(D))。例えば、一度に成膜されるAlO膜24の膜厚は0.1nm〜20nmであり、AlO膜24のプラズマによる窒化時間は1秒〜600秒である。なお、AlO膜24はALDだけでなくCVDによって成膜されてもよく、特に、膜厚が大きい場合にはCVDによって好適に成膜される。
次いで、AlON膜25が形成されたSiC基板17を成膜装置10又は他の熱処理装置において、例えば、600℃〜1100℃に加熱してAlON膜25へ熱処理(アニール処理)を施し(図9(E))、さらに、従来のフォトプロセスを行った後、当該SiC基板17をプラズマエッチング装置に搬入してドライエッチング等によってAlON膜25の不要な部分を削除してゲート絶縁膜21を得(図9(F))、その後、本処理を終了する。なお、各AlON膜25の界面はアニール処理における各分子等の拡散作用によって崩れ、ゲート絶縁膜21ではAlO分子とAlN分子が混ざり合った状態となっている。
本実施の形態に係る成膜方法によれば、AlO膜24の成膜及びAlO膜24の窒化が交互に繰り返されるので、AlO膜24が成膜される度に当該AlO膜24が窒化される。これにより、一度に窒化されるAlO膜24の膜厚を小さくすることができ、AlO膜24を均等に窒化することができる。また、均等に窒化された窒化AlO膜24aが積層されてAlON膜25が形成される。その結果、AlON膜25の膜厚が大きくても、当該AlON膜25を膜厚方向に均質化できる。さらに、積層構造を有するAlON膜25に熱処理が施されるので、積層構造が焼き鈍しによって解消されて各窒化AlO膜24aに含まれる窒素がAlON膜25内において拡散する。その結果、AlON膜25の膜厚が大きくても窒素を厚み方向に関して確実に均一に分散させることができる。すなわち、耐圧性を備えるとともに窒素濃度が好適に制御されたAlON膜25を得ることができる。
なお、必ずしもAlO膜24の成膜とAlO膜24の窒化を交互に行う必要はなく、まず、AlO膜24の成膜を所定の回数繰り返した後に、AlO膜24の窒化を所定の回数繰り返すことによって所定の膜厚を有する積層構造を形成してもよい。
また、本実施の形態に係る成膜方法においても、AlO膜24をALDではなくCVDやPVDによって成膜してもよい。
例えば、上述した成膜装置10、26、31においてAlO膜24をCVDで成膜する場合、まず、薄いSiO膜22が成膜されたウエハW(SiC基板17)が収容されたチャンバ11(27、32)内にTMAを導入し、次いでチャンバ11(27、32)内を排気することなくOを導入し、さらにSiC基板17を加熱して該SiC基板17上においてTMAとOを化学反応させてAlO膜24を成膜する。CVDではAlO膜24の成膜の際、ALDとは異なり、余分なTMAの分子をチャンバ11(27、32)の外へ排出しないため、化学反応が多く発生して比較的厚い(例えば、厚さが20nm前後の)AlO膜24が形成される。
次いで、チャンバ11(27、32)内で生成されたNHやNのプラズマによる窒化によって窒化AlO膜24aを生成し、さらに、CVDによるAlO膜24の成膜及びAlO膜24の窒化を繰り返して窒化AlO膜24aの積層構造を有するAlON膜25を形成し、該AlON膜25へ熱処理(アニール処理)を施し、さらに、当該SiC基板17へ従来のフォトプロセスを行った後、ドライエッチング等によってAlON膜25の不要な部分を削除してゲート絶縁膜21を得る。
また、上述したALDでは、チャンバ11(27、32)内にTMAを導入した後、1層のTMA分子層を形成するために余分なTMAの分子を全てチャンバ11の外に排出したが、全てのTMAの分子が排出される前に、Oを導入してもよい。この場合には、厚さが1層のTMA分子層よりも多少厚くなるAlO膜24が形成される。
具体的には、まず、薄いSiO膜22が成膜されたウエハW(SiC基板17)が収容されたチャンバ11(27、32)内にTMAを導入し、チャンバ11内を排気しながらも余分なTMAの分子を全て排出する前にOを導入し、さらにSiC基板17を加熱して該SiC基板17上においてTMAとOを化学反応させてAlO膜24を成膜する。
次いで、チャンバ11(27、32)内で生成されたNHやNのプラズマによる窒化によって窒化AlO膜24aを生成し、さらに、CVDによるAlO膜24の成膜及びAlO膜24の窒化を繰り返して窒化AlO膜24aの積層構造を有するAlON膜25を形成し、該AlON膜25へ熱処理(アニール処理)を施し、さらに、当該SiC基板17へ従来のフォトプロセスを行った後、ドライエッチング等によってAlON膜25の不要な部分を削除してゲート絶縁膜21を得る。
また、AlO膜24の窒化をプラズマではなくSiC基板17の加熱によって行ってもよい。
上述した本実施の形態に係る成膜方法において、成膜される各AlO膜24の窒化度合は同じでなくてもよい。例えば、AlON膜25においてSiC基板17の表面に近い部位のみの窒素濃度を向上させる場合には、SiC基板17の表面近傍で成膜されたAlO膜24のみの窒化時間を長くすればよい。これにより、不必要な窒化時間を削減することができ、もって、スループットを向上することができる。
なお、AlN膜23やAlO膜24をALDやCVDで成膜する場合、成膜時のチャンバ11内の温度は100℃〜750℃が好ましいが、当該温度範囲が好ましい理由は以下の通りである。成膜温度が700℃以上となると、加熱に伴いAlNが結晶化する恐れがあり、この場合、AlN膜23において結晶粒界が発生し、該結晶粒界がリークパスとなるため、絶縁膜として理想的なアモルファスのAlON膜25が得られない。一方、成膜温度が700℃程度であれば、後の工程におけるアニール処理を省略できるという効果が期待できる。すなわち、結晶粒界の発生抑制と処理の簡素化を考慮すると成膜温度は、750℃以下であることが望ましいためである。
また、高温でAlN膜23やAlO膜24を成膜すると、下地層としての薄いSiO膜22との間で拡散が生じ、該SiO膜22の膜質劣化や電気特性劣化が生じするおそれがある。したがって、成膜時のチャンバ11内の温度は250℃〜400℃がより好ましい。特に、400℃以下であれば、AlNの結晶化やSiO膜22の変質を抑制してアモルファスのAlON膜25を得ることができる。また、成膜時の化学反応促進の観点からは成膜時のチャンバ11内の温度は300℃〜400℃がさらに好ましい。
また、上述した各実施の形態に係る成膜方法において、AlN膜23やAlO膜24をALDやCVDによって成膜したが、AlN膜23やAlO膜24の成膜方法はこれらに限らない。例えば、ALD、CVD又はPVDによってAl膜を成膜し、該Al膜に対して窒化処理又は酸化処理を施すことでAlN膜23やAlO膜24を得てもよい。
以上、本発明について、上記実施の形態を用いて説明したが、本発明は上記実施の形態に限定されるものではない。
また、本発明の目的は、上述した各実施の形態の機能を実現するソフトウェアのプログラムコードを記録した記憶媒体を、コンピュータ(例えば、成膜装置10、26、31のコントローラ)に供給し、コンピュータのCPUが記憶媒体に格納されたプログラムコードを読み出して実行することによっても達成される。
この場合、記憶媒体から読み出されたプログラムコード自体が上述した各実施の形態の機能を実現することになり、プログラムコード及びそのプログラムコードを記憶した記憶媒体は本発明を構成することになる。
また、プログラムコードを供給するための記憶媒体としては、例えば、RAM、NV−RAM、フロッピー(登録商標)ディスク、ハードディスク、光磁気ディスク、CD−ROM、CD−R、CD−RW、DVD(DVD−ROM、DVD−RAM、DVD−RW、DVD+RW)等の光ディスク、磁気テープ、不揮発性のメモリカード、他のROM等の上記プログラムコードを記憶できるものであればよい。或いは、上記プログラムコードは、インターネット、商用ネットワーク、若しくはローカルエリアネットワーク等に接続される不図示の他のコンピュータやデータベース等からダウンロードすることによりコンピュータに供給されてもよい。
また、コンピュータが読み出したプログラムコードを実行することにより、上記各実施の形態の機能が実現されるだけでなく、そのプログラムコードの指示に基づき、CPU上で稼動しているOS(オペレーティングシステム)等が実際の処理の一部又は全部を行い、その処理によって上述した各実施の形態の機能が実現される場合も含まれる。
更に、記憶媒体から読み出されたプログラムコードが、コンピュータに挿入された機能拡張ボードやコンピュータに接続された機能拡張ユニットに備わるメモリに書き込まれた後、そのプログラムコードの指示に基づき、その機能拡張ボードや機能拡張ユニットに備わるCPU等が実際の処理の一部又は全部を行い、その処理によって上述した各実施の形態の機能が実現される場合も含まれる。
上記プログラムコードの形態は、オブジェクトコード、インタプリタにより実行されるプログラムコード、OSに供給されるスクリプトデータ等の形態から成ってもよい。
次に、本発明の実施例について説明する。
まず、MOSFETのゲート絶縁膜を形成する際、チャンバ11内の圧力を0.35Torrとし、チャンバ11内の温度を350℃に設定した上でALDによるAlN膜23の成膜及び該AlN膜23の酸化を繰り返してAlON膜25を形成した(実施例)。なお、AlN膜23の1層あたりの厚さは、3nmであった。また、AlON膜25の膜厚は、実験用のサンプルとして形成したため、厚さは30nmに設定された。
一方、MOSFETのゲート絶縁膜を形成する際、堆積法によってAl膜を形成した(比較例)。
その後、実施例及び比較例のMOSFETについてフラットバンド電圧を測定したところ、図11に示すように、比較例では大きなフラットバンドシフトが確認されたものの、実施例では大きなフラットバンドシフトが確認されなかった。これにより、実施例のAlON膜25では窒素の添加によって電子トラップが解消されていることが分かった。
また、CVDによってAlN膜23の成膜した場合におけるAlON膜25の段差被覆性を確認するために、チャンバ11内の圧力を0.30Torrとし、チャンバ11内の温度を380℃に設定した上でCVDによるAlN膜23の成膜及び該AlN膜23の酸化を繰り返して厚さが約60nmのAlON膜25を形成し、該AlON膜25によってウエハWにおけるトレンチ構造を被覆した。
その後、トレンチの断面構造を確認したところ、図12に示すように、AlON膜25がトレンチの内面をほぼ均一な膜厚で覆っていることが確認された。すなわち、アスペクト比が4程度のトレンチ構造を有するMOSFETにおいてAlON膜25によってゲート絶縁膜を構成する際、CVDによってAlN膜23を形成できることが分かった。
W ウエハ
10、26、31 成膜装置
13、36 ガス導入部
13a〜13c、30a〜30c、36a〜36c ガス導入管
15 ヒータ
16 MOSFET
17 SiC基板
21 ゲート絶縁膜
23 AlN膜
24 AlO膜
25 AlON膜

Claims (19)

  1. パワーデバイス向けのMOSFETに用いられるゲート絶縁膜の成膜方法であって、
    AlN膜を成膜する第1の成膜ステップと、
    AlO膜を成膜する第2の成膜ステップとを有し、
    前期第1の成膜ステップと、前記第2の成膜ステップとを繰り返して前記AlO膜及び前記AlN膜が交互に積層された積層構造を有するAlON膜を形成することを特徴とする成膜方法。
  2. 前記AlON膜の膜厚は50nm以上であることを特徴とする請求項1記載の成膜方法。
  3. 前記基板は、炭化珪素、窒化ガリウム及びダイヤモンドのいずれかを含むことを特徴とする請求項1又は2記載の成膜方法。
  4. 前記積層構造を有するAlON膜に熱処理を施すことを特徴とする請求項1乃至3のいずれか1項に記載の成膜方法。
  5. 最初の前記第1の成膜ステップを、最初の前記第2の成膜ステップより先に行うことを特徴とする請求項1記載の成膜方法。
  6. 前記AlN膜の成膜及び/又は前記AlO膜の成膜はALD、CVD又はPVDによって実行されることを特徴とする請求項1記載の成膜方法。
  7. パワーデバイス向けのMOSFETに用いられるゲート絶縁膜の成膜方法であって、
    AlN膜を成膜する成膜ステップと、
    前記成膜されたAlN膜を酸化する酸化ステップとを有し、
    前記成膜ステップ及び前記酸化ステップを交互に繰り返して前記酸化されたAlN膜が積層された積層構造を有するAlON膜を形成することを特徴とする成膜方法。
  8. 前記AlON膜の膜厚は50nm以上であることを特徴とする請求項7記載の成膜方法。
  9. 前記AlON膜は基板上に形成され、前記基板は、炭化珪素、窒化ガリウム及びダイヤモンドのいずれかを含むことを特徴とする請求項7又は8記載の成膜方法。
  10. 前記積層構造を有するAlON膜に熱処理を施すことを特徴とする請求項7乃至9のいずれか1項に記載の成膜方法。
  11. 前記AlN膜の成膜はALD、CVD又はPVDによって実行されることを特徴とする請求項7乃至10のいずれか1項に記載の成膜方法。
  12. パワーデバイス向けのMOSFETに用いられるゲート絶縁膜の成膜方法であって、
    AlO膜を成膜する成膜ステップと、
    前記成膜されたAlO膜を窒化する窒化ステップとを有し、
    前記成膜ステップ及び前記窒化ステップを交互に繰り返して前記窒化されたAlO膜が積層された積層構造を有するAlON膜を形成することを特徴とする成膜方法。
  13. 前記AlON膜の膜厚は50nm以上であることを特徴とする請求項12記載の成膜方法。
  14. 前記AlON膜は基板上に形成され、前記基板は、炭化珪素、窒化ガリウム及びダイヤモンドのいずれかを含むことを特徴とする請求項12又は13記載の成膜方法。
  15. 前記積層構造を有するAlON膜に熱処理を施すことを特徴とする請求項12乃至14のいずれか1項に記載の成膜方法。
  16. 前記AlO膜の成膜はALD、CVD又はPVDによって実行されることを特徴とする請求項12乃至15のいずれか1項に記載の成膜方法。
  17. AlN膜の成膜及びAlO膜の成膜を行う成膜手段を備える成膜装置において、
    前記成膜手段は、前期第1の成膜ステップと、前記第2の成膜ステップとを繰り返して請求項1乃至6のいずれか1項に記載の成膜方法を実行することを特徴とする成膜装置。
  18. AlN膜の成膜を行う成膜手段と、前記成膜されたAlN膜の酸化を行う酸化手段とを備える成膜装置において、
    前記成膜手段及び前記酸化手段は、前記成膜ステップ及び前記酸化ステップを交互に繰り返して請求項7乃至11のいずれか1項に記載の成膜方法を実行することを特徴とする成膜装置。
  19. AlO膜の成膜を行う成膜手段と、前記成膜されたAlO膜の窒化を行う窒化手段とを備える成膜装置において、
    前記成膜手段及び前記窒化手段は、前記成膜ステップ及び前記窒化ステップを交互に繰り返して請求項12乃至16のいずれか1項に記載の成膜方法を実行することを特徴とする成膜装置。
JP2012221662A 2012-10-03 2012-10-03 成膜方法及び成膜装置 Active JP6042160B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2012221662A JP6042160B2 (ja) 2012-10-03 2012-10-03 成膜方法及び成膜装置
KR1020130117950A KR102117127B1 (ko) 2012-10-03 2013-10-02 성막 방법 및 성막 장치
US14/044,119 US9293543B2 (en) 2012-10-03 2013-10-02 Film forming method and film forming apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012221662A JP6042160B2 (ja) 2012-10-03 2012-10-03 成膜方法及び成膜装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2016218913A Division JP6253748B2 (ja) 2016-11-09 2016-11-09 成膜方法及び成膜装置

Publications (2)

Publication Number Publication Date
JP2014075451A true JP2014075451A (ja) 2014-04-24
JP6042160B2 JP6042160B2 (ja) 2016-12-14

Family

ID=50385602

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012221662A Active JP6042160B2 (ja) 2012-10-03 2012-10-03 成膜方法及び成膜装置

Country Status (3)

Country Link
US (1) US9293543B2 (ja)
JP (1) JP6042160B2 (ja)
KR (1) KR102117127B1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015213108A (ja) * 2014-05-01 2015-11-26 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2017212546A1 (ja) * 2016-06-07 2017-12-14 株式会社日立国際電気 基板処理装置、炉口部および半導体装置の製造方法並びにプログラム
JP2020025131A (ja) * 2019-11-08 2020-02-13 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法並びにプログラム
JP2021017651A (ja) * 2019-07-16 2021-02-15 ユ−ジーン テクノロジー カンパニー.リミテッド バッチ式基板処理装置及びその運用方法
US11581329B2 (en) 2019-08-22 2023-02-14 Kioxia Corporation Semiconductor memory device

Families Citing this family (331)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
CN103500763B (zh) * 2013-10-15 2017-03-15 苏州晶湛半导体有限公司 Ⅲ族氮化物半导体器件及其制造方法
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9799509B2 (en) * 2014-11-26 2017-10-24 Asm Ip Holding B.V. Cyclic aluminum oxynitride deposition
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) * 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR20200142601A (ko) * 2018-05-16 2020-12-22 어플라이드 머티어리얼스, 인코포레이티드 원자 층 자기 정렬 기판 프로세싱 및 통합 툴셋
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP6900412B2 (ja) * 2019-03-20 2021-07-07 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法及びプログラム
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102102609B1 (ko) * 2019-09-24 2020-04-21 서울대학교 산학협력단 유전체막의 형성 방법, 반도체 소자의 제조방법 및 그에 따라 제조된 반도체 소자
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111640794A (zh) * 2020-06-10 2020-09-08 全球能源互联网研究院有限公司 一种高介电常数栅介质材料及其制备方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6246076B1 (en) * 1998-08-28 2001-06-12 Cree, Inc. Layered dielectric on silicon carbide semiconductor structures
JP2003209110A (ja) * 2002-01-17 2003-07-25 Sony Corp 金属酸窒化膜の製造方法および絶縁ゲート型電界効果トランジスタおよびその製造方法
JP2003249497A (ja) * 2001-12-18 2003-09-05 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2004296536A (ja) * 2003-03-25 2004-10-21 Rohm Co Ltd 半導体装置およびその製造方法、ならびに金属化合物薄膜の製造方法
US20050051857A1 (en) * 2003-08-18 2005-03-10 Semiconductor Leading Edge Technologies, Inc. Semiconductor device
US20050260357A1 (en) * 2004-05-21 2005-11-24 Applied Materials, Inc. Stabilization of high-k dielectric materials
WO2011027831A1 (ja) * 2009-09-07 2011-03-10 ローム株式会社 半導体装置およびその製造方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6831339B2 (en) * 2001-01-08 2004-12-14 International Business Machines Corporation Aluminum nitride and aluminum oxide/aluminum nitride heterostructure gate dielectric stack based field effect transistors and method for forming same
JP2006032552A (ja) * 2004-07-14 2006-02-02 Toshiba Corp 窒化物含有半導体装置

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6246076B1 (en) * 1998-08-28 2001-06-12 Cree, Inc. Layered dielectric on silicon carbide semiconductor structures
JP2002524860A (ja) * 1998-08-28 2002-08-06 クリー インコーポレイテッド 炭化珪素半導体構造における積層誘電体
JP2003249497A (ja) * 2001-12-18 2003-09-05 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2003209110A (ja) * 2002-01-17 2003-07-25 Sony Corp 金属酸窒化膜の製造方法および絶縁ゲート型電界効果トランジスタおよびその製造方法
JP2004296536A (ja) * 2003-03-25 2004-10-21 Rohm Co Ltd 半導体装置およびその製造方法、ならびに金属化合物薄膜の製造方法
US20060180877A1 (en) * 2003-03-25 2006-08-17 Kunihiko Iwamoto Semiconductor device, process for producing the same and process for producing metal conmpound thin film
US20050051857A1 (en) * 2003-08-18 2005-03-10 Semiconductor Leading Edge Technologies, Inc. Semiconductor device
JP2005064317A (ja) * 2003-08-18 2005-03-10 Semiconductor Leading Edge Technologies Inc 半導体装置
US20050260357A1 (en) * 2004-05-21 2005-11-24 Applied Materials, Inc. Stabilization of high-k dielectric materials
JP2008500741A (ja) * 2004-05-21 2008-01-10 アプライド マテリアルズ インコーポレイテッド 高誘電率誘電体材料の安定化
WO2011027831A1 (ja) * 2009-09-07 2011-03-10 ローム株式会社 半導体装置およびその製造方法
US20120223338A1 (en) * 2009-09-07 2012-09-06 Rohm Co. Ltd. Semiconductor device and method of manufacturing the same

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015213108A (ja) * 2014-05-01 2015-11-26 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2017212546A1 (ja) * 2016-06-07 2017-12-14 株式会社日立国際電気 基板処理装置、炉口部および半導体装置の製造方法並びにプログラム
JPWO2017212546A1 (ja) * 2016-06-07 2019-02-28 株式会社Kokusai Electric 基板処理装置、炉口部および半導体装置の製造方法並びにプログラム
US10640872B2 (en) 2016-06-07 2020-05-05 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US11365482B2 (en) 2016-06-07 2022-06-21 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
JP2021017651A (ja) * 2019-07-16 2021-02-15 ユ−ジーン テクノロジー カンパニー.リミテッド バッチ式基板処理装置及びその運用方法
US11702737B2 (en) 2019-07-16 2023-07-18 Eugene Technology Co., Ltd. Batch-type substrate processing apparatus and operation method thereof
US11581329B2 (en) 2019-08-22 2023-02-14 Kioxia Corporation Semiconductor memory device
JP2020025131A (ja) * 2019-11-08 2020-02-13 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法並びにプログラム

Also Published As

Publication number Publication date
US20140094027A1 (en) 2014-04-03
US9293543B2 (en) 2016-03-22
JP6042160B2 (ja) 2016-12-14
KR102117127B1 (ko) 2020-05-29
KR20140043879A (ko) 2014-04-11

Similar Documents

Publication Publication Date Title
JP6042160B2 (ja) 成膜方法及び成膜装置
US7351668B2 (en) Film formation method and apparatus for semiconductor process
US7964241B2 (en) Film formation method and apparatus for semiconductor process
TWI584372B (zh) A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium
JP3746968B2 (ja) 絶縁膜の形成方法および形成システム
JP4401375B2 (ja) 電子デバイス材料の製造方法
JP5219815B2 (ja) 引張応力を有するシリコン酸窒化膜を形成する方法
JP6043546B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20070167028A1 (en) Film formation method and apparatus for semiconductor process
JP2017175106A (ja) 成膜方法及び成膜装置
JP2014022653A (ja) 成膜装置及び成膜方法
JP2012209457A (ja) ゲルマニウム酸化膜の形成方法および電子デバイス用材料
JP2006245089A (ja) 薄膜形成方法
JP2009177161A (ja) 絶縁膜の形成方法
JP2007035740A (ja) 成膜方法、成膜装置及び記憶媒体
JP2015198185A (ja) 成膜方法及び成膜装置
KR102396170B1 (ko) 반도체 장치의 제조 방법 및 성막 장치
JP6253748B2 (ja) 成膜方法及び成膜装置
TW202202647A (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
WO2006046386A1 (ja) 成膜方法、半導体装置の製造方法、半導体装置、プログラムおよび記録媒体
US11527407B2 (en) Vapor deposition of carbon-based films
US11183382B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2015015272A (ja) 半導体装置の製造方法及び基板処理装置
JP4823635B2 (ja) 成膜方法およびコンピュータ可読記録媒体
JP2003023006A (ja) 絶縁膜形成方法、絶縁膜形成装置及び半導体装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150520

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150522

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160310

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160329

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160527

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20161011

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161109

R150 Certificate of patent or registration of utility model

Ref document number: 6042160

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250