WO2017212546A1 - 基板処理装置、炉口部および半導体装置の製造方法並びにプログラム - Google Patents
基板処理装置、炉口部および半導体装置の製造方法並びにプログラム Download PDFInfo
- Publication number
- WO2017212546A1 WO2017212546A1 PCT/JP2016/066915 JP2016066915W WO2017212546A1 WO 2017212546 A1 WO2017212546 A1 WO 2017212546A1 JP 2016066915 W JP2016066915 W JP 2016066915W WO 2017212546 A1 WO2017212546 A1 WO 2017212546A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- gas
- nozzle
- gas supply
- reaction tube
- supply system
- Prior art date
Links
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
- C23C16/45546—Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45561—Gas plumbing upstream of the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45578—Elongated nozzles, tubes with holes
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
- C23C16/463—Cooling of the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/6735—Closed carriers
- H01L21/67389—Closed carriers characterised by atmosphere control
- H01L21/67393—Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
Definitions
- the present invention relates to a substrate processing apparatus, a furnace opening, a method for manufacturing a semiconductor device, and a program.
- a semiconductor manufacturing apparatus as an example of a substrate processing apparatus includes a vertical apparatus.
- this type of semiconductor manufacturing apparatus has a large number of on-off valves (valves) and a gas supply system of two or more systems in order to enable various film formation.
- the piping from the on-off valve closest to the furnace opening to the furnace opening is a pipe including a flexible pipe.
- the length of this pipe was about 500 to 3000 mm.
- an object of the present invention is to provide a configuration in which an on-off valve is provided in the vicinity of a furnace port portion.
- a treatment chamber configured at least by a reaction tube and a furnace port provided at a lower portion of the reaction tube, and provided in the furnace port, and stands from the furnace port to the inside of the reaction tube.
- a control unit for controlling the gas supply system and the blocking unit so as to supply gas from the nozzle into the processing chamber.
- the structure by which the on-off valve was attached to the vicinity of the furnace port part can be provided.
- 1 is a schematic configuration diagram of a part of a substrate processing apparatus suitably used in an embodiment of the present invention, and is a cross-sectional view of a reaction tube.
- It is a schematic block diagram of the controller of the substrate processing apparatus used suitably by embodiment of this invention.
- It is a piping block diagram of the conventional furnace port part vicinity.
- a substrate processing apparatus is configured as an example of a semiconductor manufacturing apparatus used for manufacturing a semiconductor device. Specifically, a treatment chamber composed of at least a reaction tube and a furnace port provided at the lower part of the reaction tube, a nozzle provided in the furnace port and rising from the furnace port to the inside of the reaction tube, and a nozzle A processing gas supply system provided upstream of the processing gas, a shut-off portion configured to be provided at a boundary between the processing gas supply system and the nozzle, and a gas passing from the nozzle into the processing chamber in conjunction with the processing gas supply system. And at least a controller that controls the processing gas supply system and the shutoff unit.
- the furnace port portion is configured so that a shut-off portion connected to a nozzle rising from the inner wall of the furnace port portion to the inside of the reaction tube is not provided with a pipe between the outer wall of the furnace port portion. .
- a cooling mechanism so that the shut-off valve can be cooled.
- a furnace port unit capable of local exhaust as a countermeasure against heat accumulation in the furnace port. The cooling mechanism and the furnace port unit will be described later.
- the furnace port part and the shut-off valve are integrated (for example, a pipe including a flexible pipe is not provided between the furnace port part and the shut-off valve).
- a pipe including a flexible pipe is not provided between the furnace port part and the shut-off valve.
- the processing furnace 202 includes a heater 207 as a heating unit (heating mechanism).
- the heater 207 has a cylindrical shape and is configured to include a heater wire and a heat insulating material (not shown).
- the lower part of the heater 207 is vertically installed by being supported by a heater base (not shown) as a holding plate.
- the heater 207 also functions as an activation mechanism (excitation unit) that activates (excites) the processing gas with heat.
- a reaction tube 203 having a single tube structure that constitutes a reaction vessel (processing vessel) concentrically with the heater 207 is disposed.
- the reaction tube 203 is made of a heat resistant material such as quartz (SiO 2 ) or silicon carbide (SiC).
- the reaction tube 203 is formed in a ceiling shape in which the lower end is opened and the upper end is closed with a flat wall.
- the upper end portion (hereinafter also referred to as a ceiling portion) of the reaction tube is configured to be thick from the viewpoint of ensuring strength.
- the side wall of the reaction tube 203 includes a cylindrical portion formed in a cylindrical shape, and a gas supply area 222 and a gas exhaust area 224 provided on the outer wall of the cylindrical portion.
- a processing chamber 201 is formed in the reaction tube 203 including the gas supply area 222 and the gas exhaust area 224.
- the processing chamber 201 is configured to process a wafer 200 as a substrate. Further, the processing chamber 201 is configured to be capable of accommodating a boat 217 that can hold the wafers 200 in a state where the wafers 200 are aligned in a vertical direction in multiple stages in a horizontal posture.
- the heater 207 is disposed so as to surround the reaction tube 203, and can heat the plurality of wafers 200 mounted on the boat 217 in the reaction tube 203 (or the processing chamber 201) to a predetermined temperature. it can.
- the gas supply area 222 is formed so that the convex portion protrudes outside one side wall of the cylindrical portion.
- the outer wall of the gas supply area 222 is formed outside the one side wall as a part of the outer wall of the cylindrical part of the reaction tube 203 and is concentrically formed with the cylindrical part.
- the gas supply area 222 has a ceiling shape in which the lower end is opened and the upper end is closed by a flat wall.
- nozzle portions 340a to 340c which will be described later, are accommodated along the length direction (vertical direction), and the boundary wall 254 constituting the boundary between the gas supply area 222 and the cylindrical portion has a gas.
- a supply slit 235 is formed.
- the boundary wall 254 is one side wall of the cylindrical portion, and an outer side surface thereof constitutes a side surface portion facing the gas supply area 222.
- the generic name of the nozzle portions 340a to 340c may be described as the nozzle portion 340, and the generic names of other numbers may be similarly described.
- the gas exhaust area 224 is formed so that the convex portion protrudes to the outside of the other side wall facing the one side wall where the gas supply area 222 having a cylindrical portion is formed.
- the gas exhaust area 224 is disposed so as to sandwich an area where the wafer 200 of the processing chamber 201 is accommodated between the gas exhaust area 224 and the gas supply area 222.
- the outer wall of the gas exhaust area 224 is formed outside the other side wall as a part of the outer wall of the cylindrical portion, and is concentrically formed with the cylindrical portion larger than the outer diameter of the cylindrical portion.
- the gas exhaust area 224 has a ceiling shape in which the lower end and the upper end are closed by a flat wall.
- a gas exhaust slit 236 is formed in a boundary wall 252 that is a wall body that forms a boundary between the gas exhaust area 224 and the cylindrical portion.
- the boundary wall 252 is a part of the cylindrical portion, and its outer side surface constitutes a side surface part facing the gas exhaust area 224.
- the lower end side of the reaction tube 203 is supported by a cylindrical manifold 226 as a furnace port.
- the manifold 226 is formed of a metal such as a nickel alloy or stainless steel, or a heat resistant material such as quartz (SiO 2 ) or silicon carbide (SiC).
- a flange is formed at the upper end portion of the manifold 226, and the lower end portion of the reaction tube 203 is installed and supported on the flange.
- An airtight member 220 such as an O-ring is interposed between the flange and the lower end portion of the reaction tube 203 to keep the inside of the reaction tube 203 airtight.
- a seal cap 219 is attached to the opening at the lower end of the manifold 226 through an airtight member 220 such as an O-ring, so that the opening at the lower end of the reaction tube 203, that is, the opening of the manifold 226 is airtight. It is supposed to close.
- the seal cap 219 is formed of, for example, a metal such as nickel alloy or stainless steel, and is formed in a disk shape.
- a boat support 218 that supports the boat 217 is provided on the seal cap 219.
- the boat support 218 is made of a heat-resistant material such as quartz or silicon carbide, and functions as a heat insulating portion and is a support that supports the boat 217.
- the boat 217 has a bottom plate fixed to the boat support base 218 and a top plate disposed above the bottom plate, and has a configuration in which a plurality of columns are installed between the bottom plate and the top plate. .
- the boat 217 is made of a heat resistant material such as quartz or silicon carbide.
- a boat rotation mechanism 267 that rotates the boat 217 is provided on the side of the seal cap 219 opposite to the processing chamber 201.
- the rotation shaft of the boat rotation mechanism 267 passes through the seal cap and is connected to the boat support base 218.
- the boat rotation mechanism 267 rotates the boat 217 via the boat support base 218 to rotate the wafer 200.
- the seal cap 219 is raised and lowered in the vertical direction by a boat elevator 115 as an elevating mechanism provided outside the reaction tube 203, so that the boat 217 can be carried into and out of the processing chamber 201.
- a nozzle support portion 350 that supports the nozzle portion 340 is installed in the manifold 226 so as to be bent in an L shape and penetrate the manifold 226.
- three nozzle support portions 350a to 350c are installed.
- the nozzle support part 350 is formed from materials, such as nickel alloy and stainless steel, for example.
- a gas supply pipe 310 that supplies gas into the reaction tube 203 is connected to one end of the nozzle support portion 350 on the reaction tube 203 side via a shut-off portion 101 as a shut-off valve.
- nozzle portions 340a to 340c are connected to the other ends of the nozzle support portions 350a to 350c, respectively.
- the nozzle portion 340 is formed from a heat resistant material such as quartz or SiC.
- the nozzle support part 350 and the nozzle part 340 constitute a nozzle, and the shutoff valve 101 provided at the boundary between the nozzle and the gas supply pipe 310 is fixed in the vicinity of the manifold 226.
- the nozzle shape may be a configuration in which the nozzle portion 340 and the nozzle support portion 350 are integrated.
- the nozzle part 340 is provided above the lower part in the gas supply area 222 along the length direction (vertical direction).
- the nozzle portions 340a and 340c are respectively configured as I-shaped long nozzles.
- Gas supply holes 234a and 234c for supplying gas are provided on the side surfaces of the nozzle portions 340a and 340c, respectively.
- the gas supply holes 234a and 234c are opened so as to face the center of the reaction tube 203, respectively.
- the nozzle portion 340b is configured as an I-shaped short tube nozzle (short nozzle).
- the nozzle portion 340b has an opening 234b, and the tip of the nozzle portion 340b is open.
- nozzle portions 340a to 340c are provided so that a plurality of types of gases can be supplied into the processing chamber 201.
- the shape of the nozzle portion 340 may be, for example, an L shape instead of an I shape, and is not limited to the shape.
- the boat 217 in a state where a plurality of batch-processed wafers 200 are stacked on the boat 217, the boat 217 is inserted into the processing chamber 201 while being supported by the boat support 218, and the heater 207 is installed.
- the wafer 200 inserted into the processing chamber 201 is heated to a predetermined temperature.
- the gas supply pipe 310a includes, in order from the upstream direction, a first processing gas supply source that supplies a first processing gas, a mass flow controller (MFC) 320a that is a flow rate controller (flow rate control unit), and a valve 330a that is an on-off valve.
- MFC mass flow controller
- the shut-off valve 101a is provided at the boundary between the gas supply pipe 310a and the nozzle support portion 350a, and is provided in the state of being close to the outside of the manifold 226.
- the manifold 226 and the shut-off valve 101a are integrally attached without providing a flexible pipe between the manifold 226 and the shut-off valve 101a.
- the exhaust part 102a mentioned later may be attached so that the cutoff valve 101a may be adjoined.
- the gas supply pipe 310b includes, in order from the upstream direction, a second processing gas supply source that supplies a second processing gas, a mass flow controller (MFC) 320b that is a flow rate controller (flow rate control unit), and a valve 330b that is an on-off valve.
- MFC mass flow controller
- the shut-off valve 101b is provided at the boundary between the gas supply pipe 310b and the nozzle support portion 350b, and is provided in a state of being close to the outside of the manifold 226.
- the manifold 226 and the shut-off valve 101b are integrally attached without providing a flexible pipe between the manifold 226 and the shut-off valve 101b.
- the exhaust part 102b mentioned later may be attached so that the cutoff valve 101b may be adjoined.
- the gas supply pipe 310c includes, in order from the upstream direction, a third processing gas supply source that supplies a third processing gas, a mass flow controller (MFC) 320c that is a flow rate controller (flow rate control unit), and a valve 330c that is an on-off valve.
- MFC mass flow controller
- the shut-off valve 101c is provided at the boundary between the gas supply pipe 310c and the nozzle support portion 350c, and is provided in a state close to the outside of the manifold 226.
- the manifold 226 and the shut-off valve 101c are integrally attached without providing a flexible pipe between the manifold 226 and the shut-off valve 101c.
- the exhaust part 102c mentioned later may be attached so that the cutoff valve 101c may be adjoined.
- Gas supply pipes 310d to 310f for supplying an inert gas are connected to the gas supply pipes 310a to 310c on the downstream side of the valves 330a to 330c, respectively.
- the gas supply pipes 310d to 310f are provided with MFCs 320d to 320f as flow rate controllers (flow rate control units) and valves 330d to 330f as opening / closing valves, respectively, in order from the upstream direction.
- a first process gas supply system is mainly configured by the gas supply pipe 310a, the MFC 320a, and the valve 330a. Further, the first process gas supply source, the nozzle support part 350a, the nozzle part 340a, and the shutoff valve 101a are considered to be included in the first process gas supply system, and the first process gas supply system includes the gas supply pipe 310a, the MFC 320a, and the valve 330a. It is good also as a structure containing the 1st piping part comprised by these, the 1st boundary part containing the 1st interruption
- the first processing gas supply system is configured to supply a reactive gas as the first processing gas.
- a second processing gas supply system is mainly configured by the gas supply pipe 310b, the MFC 320b, and the valve 330b. Further, the second processing gas supply source, the nozzle support portion 350b, the nozzle portion 340b, and the shutoff valve 101b are considered to be included in the second processing gas supply system, and the second processing gas supply system includes the gas supply pipe 310b, the MFC 320b, and the valve 330b. It is good also as a structure including the 2nd piping part comprised by this, the 2nd boundary part containing the 2nd interruption
- the second processing gas supply system is configured to supply a source gas as the second processing gas.
- a third processing gas supply system is mainly configured by the gas supply pipe 310c, the MFC 320c, and the valve 330c. Further, the third processing gas supply source, the nozzle support portion 350c, the nozzle portion 340c, and the shutoff valve 101c are considered to be included in the third processing gas supply system, and the third processing gas supply system includes the gas supply pipe 310c, the MFC 320c, and the valve 330c. It is good also as a structure containing the 3rd piping part comprised by these, the 3rd boundary part containing the 3rd interruption
- the third processing gas supply system is configured to supply a reactive gas or an inert gas that does not contribute to substrate processing as the third processing gas.
- the configuration of the processing gas supply system and details of the shutoff valve 101 will be described later.
- processing gas when used, it includes only the first processing gas, includes only the second processing gas, includes only the third processing gas, or includes all of them. There is.
- processing gas supply system when used, it includes only the first processing gas supply system, only the second processing gas supply system, only the third processing gas supply system, or all of them. May be included.
- An exhaust port 230 is provided below the gas exhaust area 224.
- the exhaust port 230 is connected to the exhaust pipe 232.
- the exhaust pipe 232 is evacuated through a pressure sensor 245 as a pressure detector (pressure detector) for detecting the pressure in the processing chamber 201 and an APC (Auto Pressure Controller) valve 244 as a pressure regulator (pressure adjuster).
- a vacuum pump 246 as an apparatus is connected and configured to exhaust so that the pressure in the processing chamber 201 becomes a predetermined pressure (degree of vacuum).
- the APC valve 244 can open and close the valve to stop evacuation / evacuation in the processing chamber 201, and further adjust the valve opening to adjust conductance to adjust the pressure in the processing chamber 201. It is an open / close valve.
- An exhaust system is mainly configured by the exhaust pipe 232, the APC valve 244, and the pressure sensor 245.
- a vacuum pump 246 may also be included in the exhaust system.
- the controller 280 described later executes a process recipe described later, and as described above, (A) the transport system (boat elevator 115, boat rotation mechanism 267, etc.), (B) temperature control system (heater 207, etc.). ), (C) a processing gas supply system (blocking unit 101, MFC 320, valve 330, etc.), and (D) a gas exhaust system (APC valve 244, pressure sensor 245, etc.).
- a temperature sensor 1 (hereinafter also referred to as a thermocouple) as a temperature detector is installed outside the reaction tube 203.
- the power supplied to the heater 207 is adjusted based on the temperature information detected by the temperature sensor 1 so that the temperature of the processing chamber 201 has a desired temperature distribution.
- thermocouple 1 is attached to the outside of the reaction tube 203 from a cover 2 as a protective member.
- the cover 2 is made of a quartz member.
- the thermocouple 1 is attached to the outside of the processing chamber 201 and is provided so as to face the heater 207 as a heating unit.
- the thermocouple 1 is fixed by the reaction tube 203 and the cover 2.
- thermocouples 1 Although only one thermocouple 1 is shown in FIG. 2, a plurality of thermocouples 1 may be provided. A buffer member can be provided between the thermocouple 1 and the reaction tube 203. Furthermore, although the thermocouple 1 of FIG. 2 is provided on the side wall of the reaction tube, the thermocouple 1 may be provided on the ceiling of the reaction tube 203.
- FIGS. 5 and 6 are schematic views for explaining the processing gas supply system in the present embodiment. 5 and 6 show two processing gas supply systems in FIG. 1 in order to make the explanation of the relationship among the gas supply pipe 310, the boundary portion (the shutoff valve 101) and the nozzle easier to understand. Is. Then, the controller 280 is configured to execute a process recipe to be described later and to control (C) the processing gas supply system (the shut-off unit 101, the exhaust unit 102, the switching unit 103, and the like). Further, a processing gas supply system including a processing gas supply source, an MFC 320, a valve 330, and the like is provided on the upstream side of the switching unit (switching valve), but is omitted in FIGS.
- a valve (switching valve) closest to the furnace opening in the gas box is a valve that switches between a gas that contributes to substrate processing and a cleaning gas.
- a processing gas supply system (not shown) and a cleaning gas supply system (not shown) are provided on the upstream side of the switching unit (switching valve).
- the gas supply system in this embodiment is for switching to switch between a nozzle rising from the furnace port 226 to the inside of the reaction tube 203, a boundary including at least the blocking unit 101, a gas contributing to substrate processing, and a cleaning gas.
- a gas supply pipe 310 provided with a switching unit composed of a valve (switching valve) 103, and the boundary is connected to the gas supply pipe 310, and the gas between the switching unit and the blocking unit 101 It is preferable to provide an exhaust unit 102 which will be described later for exhausting the supply pipe including the supply pipe 310.
- a processing gas supply system having a nozzle (first nozzle and second nozzle) rising from the furnace port portion 226 to the inside of the reaction tube and a gas supply pipe 310a provided on the upstream side of the nozzle (first nozzle).
- First gas supply system a processing gas supply system (second gas supply system) having a gas supply pipe 310b provided upstream of the nozzle (second nozzle), a first nozzle and a first gas supply system.
- a blocking unit 101a (first blocking unit) configured to be provided at the boundary
- a 101b (second blocking unit) configured to be provided at the boundary between the second nozzle and the second gas supply system
- the first A reaction gas is supplied as a first gas in the reaction tube by interlocking the shut-off portion with the first gas supply system
- a raw material is supplied as a second gas in the reaction tube by interlocking the second shut-off portion with the second gas supply system. It is configured to supply gas.
- the first gas supply system, the first blocking unit, the second gas supply system, and the second blocking unit are configured to be controlled by the controller 280 in FIGS. 5 and 6.
- the first process gas is supplied from the gas supply pipe 310a through the first nozzle by providing the cutoff valve 101 (boundary portion), opening the cutoff valve 101a, and closing the cutoff valve 101b.
- the gas supply pipe 310b and the reaction pipe 203 can be shut off to suppress the reverse diffusion of the first processing gas into the gas supply pipe 310b, while the shutoff valve 101b is opened and the shutoff valve 101a is closed.
- the gas supply pipe 310a and the reaction tube 203 are shut off to suppress back diffusion of the first processing gas into the gas supply pipe 310a. Can do.
- the shutoff valve 101a is closed while the shutoff valve 101b is opened and the source gas is supplied into the reaction tube 203 from the second nozzle.
- the gas supply pipe 310a and the reaction pipe 203 are shut off, and the back diffusion of the source gas into the gas supply pipe 310a can be completely suppressed. Particles can be reduced.
- a furnace port box for performing local exhaust of the furnace port part 226 may be provided so as to surround the furnace port part 226.
- the furnace port box is used for countermeasures against gas leaks and heat accumulation in the furnace port part 226.
- the inside of the furnace port box has a high temperature atmosphere of 50 ° C to 200 ° C.
- the heat resistant temperature of the valve is about 150 ° C.
- it is conceivable to use a heat resistant specification valve heat resistant temperature 250 to 300 ° C.
- the operating life of the heat resistant specification valve is significantly reduced and the replacement frequency is shortened.
- the valve can be arranged in the furnace port box even when the heat resistance temperature of the valve is exceeded.
- a heat dissipation method using cooling water for example, a configuration in which the shutoff valve 101 is covered with a cooling block
- Any cooling method may be used as long as it can be set to a temperature lower than the heat resistant temperature of the valve.
- an exhaust system for discharging the gas in the reaction tube 203 is provided, and the control unit 280 closes the first blocking unit and the second blocking unit when the supply of the reaction gas or the raw material gas to the substrate in the reaction tube 203 is completed.
- the exhaust system is controlled to discharge the unreacted source gas or reaction gas from the reaction tube 203.
- the control unit 280 opens the first gas supply system so as to perform the cycle purge by changing the flow rate of the inert gas supplied into the reaction tube 203 in a state where the first blocking unit and the second blocking unit are opened.
- the first blocking unit, the second gas supply system, the second blocking unit, and the exhaust system are configured to be controlled.
- the gas supply pipe 310 between the switching part and the boundary part is configured to include a flexible pipe whose shape can be bent.
- the flexible pipe is provided in the gas supply pipe 310, and may be, for example, a bellows shape.
- blocking part 101 is installed in the side wall of the furnace port part 226 by the integral type (or direct connection).
- flexible piping is provided in the furnace port box.
- the flexible pipe is not provided in this form, but is provided in the pipe between the gas box provided with the switching unit and the furnace port box provided with the blocking unit 101.
- the site for example, a semiconductor factory
- the metal and the pipe for example, metal
- the piping installed between the furnace port portion 226 and the switching unit has been configured to include flexible piping, but in this embodiment, the piping between the furnace port portion 226 and the shut-off unit 101.
- the piping installed between them does not include flexible piping.
- the gas supply pipe 310 is provided on the upstream side of the blocking unit 101, but the flexible pipe provided in the gas supply pipe 310 is omitted.
- FIG. 6 is a schematic diagram illustrating a configuration in which an exhaust unit 102 is further provided so as to be adjacent to the blocking unit 101 of the gas supply system illustrated in FIG. 5.
- an exhaust unit 102 that branches the supply pipe is installed on the upstream side of the blocking unit 101, and a vent pipe is connected to the exhaust pipe 232 by the exhaust unit 102. Due to such a configuration, the gas supply pipe 310 including the flexible pipe between the switching unit and the blocking unit 101 can be cycle purged without using the reaction tube 203.
- the gas supply pipe 310a can be cycle purged when the source gas is supplied from the gas supply pipe 310b into the reaction tube 203, and the cleanliness in the gas supply pipe 310a is further improved. Can be improved.
- the gas supply tube 310 can be individually cycle purged, and the gas supply tube 310 can be further purged. Cleanliness can be improved.
- the space around the manifold 226 is small due to the configuration of the apparatus, and it is difficult to install the shut-off part 101 and the exhaust part 102. This can be realized, and improvement in maintainability can be achieved.
- shut-off valve 101 provided close to the outside of the furnace port portion 226 will be described in detail mainly using FIGS. 7 to 10.
- the configuration between the furnace port portion 226 and the shut-off portion 101 in the present embodiment is a configuration in which the furnace port portion 226 and the shut-off valve 101 are directly connected as shown in FIG.
- the furnace port 226 and the shut-off valve 101a (101b) may have an integrated configuration (a configuration in which no piping is provided in appearance).
- 7 and 8 are also diagrams showing the furnace port portion 226 to which the blocking portion 101 is added.
- the exhaust port 102 can be integrated with the furnace port unit 226 in a state where the exhaust unit 102 is adjacent to the blocking unit 101.
- the blocking unit 101 may be configured with a cooling mechanism.
- the length of the piping (pipe length) installed between the furnace port portion 226 and the switching portion in FIG. 4 and the piping installed between the furnace port portion 226 and the blocking portion 101 in the present embodiment. Compare the length (pipe length). Assuming that the pipe length of the embodiment of FIG. 7 is 100 mm, the length ratio of the pipe length is about 1/5 to 1/30. Furthermore, in the embodiment of FIG. When included in the pipe, it is assumed that the length is approximately 50 mm, and the length ratio of the pipe length is about 1/10 to 1/60. Also, the ideal pipe length is zero (a configuration in which no pipe is provided).
- FIG. 10 shows the details of the configuration in which the shut-off portion 101 is integrally attached to the furnace port portion 226 in FIG. 8, that is, the shut-off portion 101 attached so as not to provide piping on the side wall of the furnace port portion 226.
- blocking part 101 is not shown in FIG. 10, it is provided in the multiple furnace opening part 226 by the same structure.
- the shut-off part 101 is connected to a nozzle (or nozzle support part 350) disposed inside the furnace port part 226 at one end, and is connected to a pipe (in this embodiment, a gas supply pipe) outside the furnace port part 226 at the other end.
- 10 is a diagram when the blocking unit 101 is in an open state, in which the gas flow path communicates from the gas supply pipe 310 to the nozzle unit 340 through the blocking unit 101. It is configured.
- the controller 280 which is a control unit (control means), is configured as a computer having a CPU (Central Processing Unit) 121a, a RAM (Random Access Access Memory) 121b, a storage device 121c, and an I / O port 121d.
- the RAM 121b, the storage device 121c, and the I / O port 121d are configured to exchange data with the CPU 121a via the internal bus 121e.
- an input / output device 122 configured as a touch panel or the like is connected to the controller 280.
- the storage device 121c is configured by, for example, a flash memory, an HDD (Hard Disk Drive), or the like.
- a control program for controlling the operation of the substrate processing apparatus, a film forming sequence to be described later as a process recipe describing the procedure and conditions of the substrate processing, and the like are stored in a readable manner.
- the process recipe is a combination of functions so that a predetermined result can be obtained by causing the controller 280 to execute each procedure in the substrate processing process described later, and functions as a program.
- the process recipe, the control program, and the like are collectively referred to simply as a program.
- the RAM 121b is configured as a memory area (work area) in which programs, data, and the like read by the CPU 121a are temporarily stored.
- the I / O port 121d includes the above-described MFCs 320a to 320f, valves 330a to 330f, shutoff valves 101a to 101c, exhaust valves 102a to 102c, pressure sensor 245, APC valve 244, vacuum pump 246, heater 207, temperature sensor (thermocouple) ) 1, connected to the boat rotation mechanism 267, the boat elevator 115, and the like.
- the CPU 121a is configured to read out and execute a control program from the storage device 121c, and to read out a process recipe from the storage device 121c in response to an operation command input from the input / output device 122 or the like.
- the CPU 121a adjusts the flow rates of various gases by the MFCs 320a to 320f, the opening and closing operations of the valves 330a to 330f, the opening and closing operations of the shutoff valves 101a to 101c, and the opening and closing of the APC valve 244 in accordance with the contents of the read control program and process recipe.
- the boat elevator 115 is configured to control the lifting and lowering operation of the boat 217 and the like.
- the controller 280 is stored in an external storage device 123 (for example, a magnetic tape, a magnetic disk such as a flexible disk or a hard disk, an optical disk such as a CD or DVD, a magneto-optical disk such as an MO, or a semiconductor memory such as a USB memory or a memory card).
- an external storage device 123 for example, a magnetic tape, a magnetic disk such as a flexible disk or a hard disk, an optical disk such as a CD or DVD, a magneto-optical disk such as an MO, or a semiconductor memory such as a USB memory or a memory card.
- the above-mentioned program can be executed.
- the storage device 121c and the external storage device 123 can be configured as computer-readable recording media. Hereinafter, these are collectively referred to simply as a recording medium.
- the term “recording medium” may include only the storage device 121c alone, may include only the external storage device 123 alone, or may include both.
- the substrate processing apparatus is controlled by the controller 280.
- a boat 217 on which a predetermined number of wafers 200 are placed is inserted into the reaction tube 203, and the reaction tube 203 is hermetically closed by a seal cap 219.
- the wafer 200 is heated to be maintained at a predetermined temperature, and a processing gas is supplied into the reaction tube 203 so that the wafer 200 is subjected to heat treatment such as heating.
- a film forming sequence shown in FIG. 11 as a process recipe is supplied to the wafer 200 in the processing chamber 201, and the HCDS gas from the processing chamber 201 is supplied.
- a step of removing the gas (residual gas) a step of supplying NH 3 gas to the wafer 200 in the processing chamber 201, and a step of removing the NH 3 gas (residual gas) from the processing chamber 201.
- the SiN film is formed on the wafer 200 by performing the simultaneous cycle a predetermined number of times (one or more times).
- substrate is synonymous with the use of the term “wafer”.
- the inside of the processing chamber 201 that is, the space where the wafer 200 exists, is evacuated to a vacuum by a vacuum pump 246 so that a predetermined pressure (degree of vacuum) is obtained.
- a predetermined pressure degree of vacuum
- the vacuum pump 246 maintains a state in which it is always operated until at least the processing on the wafer 200 is completed.
- the wafer 200 in the processing chamber 201 is heated by the heater 207 so as to reach a predetermined temperature.
- the power supply to the heater 207 is feedback-controlled based on the temperature information detected by the temperature sensor so that the processing chamber 201 has a predetermined temperature distribution. Heating of the processing chamber 201 by the heater 207 is continuously performed at least until the processing on the wafer 200 is completed.
- the rotation of the boat 217 and the wafers 200 by the rotation mechanism 267 is started.
- the wafer 200 is rotated.
- the rotation of the boat 217 and the wafer 200 by the rotation mechanism 267 is continuously performed at least until the processing on the wafer 200 is completed.
- Step 1 a source gas (HCDS gas) is supplied to the wafer 200 in the processing chamber 201.
- This step 1 includes at least a pre-purge process, a source gas supply process, a source gas exhaust process, and a purge process.
- HCDS gas a source gas
- the valves 330b and 330e are opened, and HCDS gas is caused to flow into the gas supply pipe 310b.
- the shut-off valve 101b is closed and not supplied to the processing chamber 201.
- the valves 330d and 330f are simultaneously opened, and N 2 gas is allowed to flow into the gas supply pipes 310a and 310c.
- the shutoff valves 101 a and 101 c may be opened, and N 2 gas may be supplied into the processing chamber 201 at a predetermined flow rate adjusted by the MFC and exhausted from the exhaust pipe 232.
- the exhaust valve 102b is provided adjacent to the shutoff valve 101b, the exhaust valve 102b is opened, and the HCDS gas can be exhausted from the gas supply pipe 310b to the exhaust pipe 232 via the exhaust valve 102b. Is preferred.
- shutoff valve 101b is closed with the shutoff valves 101a and 101c being closed.
- the APC valve 244 is kept open, and the inside of the processing chamber 201 is evacuated by the vacuum pump 246 to form a silicon (Si) -containing layer as an unreacted or first layer remaining in the processing chamber 201.
- the contributed HCDS gas is discharged from the processing chamber 201.
- the source gas supply step and the source gas exhaust step are sequentially executed (three times in this embodiment). At this time, the first layer is formed on the outermost surface of the wafer 200.
- the HCDS gas is supplied to the processing chamber 201 by a short tube nozzle whose tip is opened, and in order to make the gas concentration distribution uniform, such a cyclic supply (cycle Flow).
- the gas supply method is appropriately set according to the nozzle shape.
- valve 330b After purge process, the valve 330b is closed and the supply of HCDS gas is stopped. At this time, the valves 330d to 330f and the shutoff valves 101a to 101c are opened, and the supply of N 2 gas into the processing chamber 201 is resumed.
- the N 2 gas acts as a purge gas, whereby the effect of exhausting the gas remaining in the processing chamber 201 from the processing chamber 201 can be enhanced.
- Step 1 a gas purge step for reliably discharging the gas remaining in the processing chamber 201 from the processing chamber 201 before supplying the reaction gas is included in Step 1, but the film forming sequence is shown in FIG. You may divide from 1 to step 4. FIG. 13 will be described later.
- Step 2 After Step 1 is completed, NH 3 gas is supplied as a reaction gas to the wafer 200 in the processing chamber 201, that is, the first layer formed on the wafer 200.
- the NH 3 gas is activated by heat and supplied to the wafer 200.
- the opening / closing control of the valves 330a, 330d, 101a is performed in the same procedure as the opening / closing control of the valves 330b, 330e, 101b in step 1.
- the flow rate of NH 3 gas is adjusted by MFC, and the NH 3 gas is supplied into the processing chamber 201 through the nozzle unit 340 a and exhausted from the exhaust pipe 232.
- NH 3 gas is supplied to the wafer 200.
- the NH 3 gas supplied to the wafer 200 reacts with at least a part of the first layer, that is, the Si-containing layer formed on the wafer 200 in Step 1.
- the first layer is thermally nitrided by non-plasma and is changed (modified) into a second layer containing Si and N, that is, a silicon nitride layer (SiN layer).
- a second layer containing Si and N that is, a silicon nitride layer (SiN layer).
- plasma-excited NH 3 gas is supplied to the wafer 200, and the first layer is plasma-nitrided to change the first layer to the second layer (SiN layer). May be.
- the valves 330a and 330d are closed, and the supply of NH 3 gas is stopped. Then, the NH 3 gas and the reaction by-product remaining in the processing chamber 201 and contributed to the formation of the second layer are discharged from the processing chamber 201 by the same processing procedure as in Step 1.
- Step 2 includes a step of reliably discharging the gas remaining in the processing chamber 201 from the processing chamber 201 after supplying the reaction gas.
- the procedure is to open the valves 330d to 330f and the shut-off valves 101a to 101c, continue supplying N 2 gas into the processing chamber 201, and vary the flow rate at a predetermined cycle. For example, switching between the flow rate A and the flow rate B (flow rate A> flow rate B) is performed a predetermined number of times. In the present embodiment, control is performed four times.
- the purge process is completed by supplying N 2 gas adjusted to a predetermined flow rate into the processing chamber 201 for a predetermined time with the valves 330d to 330f and the shutoff valves 101a to 101c being opened. This completes the film forming sequence.
- SiN having a predetermined composition and a predetermined film thickness is formed on the wafer 200.
- a film can be formed.
- the above cycle is preferably repeated a plurality of times. That is, it is formed by stacking the second layer (SiN layer) by making the thickness of the second layer (SiN layer) formed when the above cycle is performed once smaller than a predetermined thickness.
- the above cycle is preferably repeated a plurality of times until the thickness of the SiN film reaches a predetermined thickness.
- the processing gas supply for supplying the HCDS gas By closing the shut-off valve 101 connected to the processing gas supply system other than the system, the HCDS gas is not diffused into other processing gas supply systems. Accordingly, particles caused by by-products in the piping such as the gas supply pipe 310 can be reduced.
- the pipes constituting the processing gas supply system for supplying HCDS gas are heated.
- the range to be performed can be greatly reduced.
- the processing gas supply system other than the processing gas supply system for supplying the HCDS gas similarly heated the piping through which the HCDS gas is diffused.
- the gas it is not necessary to heat the piping, Even if it is a necessary gas, it may be heated to an appropriate temperature, and the range heated up to now to prevent liquefaction of HCDS can be reduced, leading to a reduction in heater costs.
- FIG. 12 is a diagram showing the N2 gas flow rate dependency obtained by changing the flow rate of the counter N2 from two systems other than the film forming gas supply system in the processing gas supply system (three systems) shown in FIG.
- Temperature of wafer 200 100 to 800 ° C. (preferably 400 to 750 ° C., in this embodiment, 630 ° C.) Processing chamber pressure: 5 to 4000 Pa (preferably 10 to 1332 Pa) HCDS gas supply flow rate: 1 to 2000 sccm (preferably 50 to 500 sccm) NH 3 gas supply flow rate: 100-30000 sccm N 2 gas supply flow rate: 1 to 50000 sccm SiN film thickness: 0.2 to 100 nm
- FIG. 12 is a table comparing the film thickness average value and in-plane uniformity of the wafer 200 arranged at each of the TOP, CNT, and BTM positions in the substrate processing region with respect to the presence / absence of the counter N2 and the flow rate. Moreover, it is the table
- the absence of the counter N2 shown in FIG. 12 corresponds to this embodiment. That is, according to the present embodiment, the shutoff valve 101 provided in the gas supply system that has been supplying the counter N2 into the processing chamber 201 is closed while the HCDS gas and the NH3 gas are being supplied. As a result, the HCDS gas and NH3 gas can be prevented from back-diffusion on the gas supply pipe 310 side, so that the counter N2 is not necessary.
- the average film thickness of the wafer 200 arranged at each of the TOP, CNT, and BTM positions in the substrate processing region is the highest. This is because the concentration of the HCDS gas and NH 3 gas in the processing chamber 201 is high because the counter N2 does not need to be diluted.
- the in-plane uniformity of the wafer 200 disposed at each of the TOP, CNT, and BTM positions in the substrate processing region is the lowest value. This is because the HCDS gas and NH 3 gas in the processing chamber 201 can contact the surface of the wafer 200 evenly (or entirely) without being affected by the counter N2.
- TOP is the wafer 200 disposed at the top of the wafers 200 disposed in the substrate processing region
- BTM is the wafer 200 disposed at the bottom of the wafers 200 disposed in the substrate processing region
- the CNT is the wafer 200 arranged at the center of the wafers 200 arranged in the substrate processing region. For example, if a dummy wafer (side dummy wafer) is placed in Slots 1 to 4 and Slots 30 to 33 in a mini-batch furnace (all 33 slots), TOP: 29 Slot, CNT: 17 Slot, and BTM: 5 Slot.
- the in-plane uniformity is averaged by measuring the film thickness at a predetermined location in the wafer 200 plane.
- the inter-surface uniformity is calculated by obtaining the average value for the number of wafers 200 placed in the slots from TOP to BTM. According to the mini-batch furnace, the inter-plane average value is calculated from the in-plane average value for 25 slots.
- FIG. 13 shows a comparison between a current film forming sequence without a shut-off valve and a film forming sequence in an embodiment having a shut-off valve. Obviously, the time required for gas replacement in the reaction tube is greatly improved in the purge process (gas replacement process) after supplying the processing gas.
- the time required for one cycle of the current film forming sequence is 51 sec
- the time required for one cycle of the film forming sequence in the present embodiment having the shut-off valve 101 is 41 sec. Therefore, it can be shortened by about 20% (10 sec) in one cycle.
- the gas replacement efficiency in the reaction tube can be greatly improved by closing the shutoff valve in the purging step after supplying the processing gas. Accordingly, it is possible to shorten the time related to the purge process in the film forming sequence. Further, throughput can be improved by shortening the film forming sequence.
- shutoff valve is configured to be provided close to the side wall of the furnace port portion, while the processing gas is being supplied from the other gas supply pipe into the reaction pipe, By closing the shut-off valve, back diffusion of the processing gas into the gas supply pipe can be suppressed.
- the pipe heating range can be reduced and each pipe heating temperature can be optimized.
- the temperature of the gas supply pipe is set to the temperature of the gas supply pipe even when the required temperature uniformity is not as high as the gas supply pipe. It was necessary to set, but by adding a shut-off valve, it is not necessary to improve the temperature uniformity as high as the gas supply pipe, and an inexpensive, relatively low temperature heater or a heater with a simple heat insulation structure is selected. be able to.
- the gas replacement efficiency in the processing chamber can be improved and the film forming sequence time can be shortened by closing the shut-off valve and suppressing the gas back diffusion upstream of the supply gas pipe.
- a vertical semiconductor manufacturing apparatus which is a kind of substrate processing apparatus has been described in detail.
- the present invention is not limited to this, and the present invention can be applied to, for example, a horizontal semiconductor manufacturing apparatus.
- HCDS gas in addition to HCDS gas, monochlorosilane (SiH 3 Cl, abbreviation: MCS) gas, dichlorosilane (SiH 2 Cl 2 , abbreviation: DCS) gas, trichlorosilane (SiHCl 3 , abbreviation: TCS) gas
- MCS monochlorosilane
- DCS dichlorosilane
- TCS trichlorosilane
- Inorganic halosilane source gases such as tetrachlorosilane, that is, silicon tetrachloride (SiCl 4 , abbreviation: STC) gas, octachlorotrisilane (Si 3 Cl 8 , abbreviation: OCTS) gas, and trisdimethylaminosilane (Si [N (CH 3 ) 2 ] 3 H, abbreviation: 3DMAS) gas, tetrakis
- the source gas contains no halogen group such as monosilane (SiH 4 , abbreviation: MS) gas, disilane (Si 2 H 6 , abbreviation: DS) gas, trisilane (Si 3 H 8 , abbreviation: TS) gas, etc.
- An inorganic silane source gas can be used.
- NH 3 gas is used as the reaction gas.
- the present invention is not limited to such an embodiment.
- hydrogen nitride-based gas such as diazene (N 2 H 2 ) gas, hydrazine (N 2 H 4 ) gas, N 3 H 8 gas, or a gas containing these compounds Etc. can be used.
- MEA gas such as ethylamine gas, trimethylamine ((CH 3 ) 3 N, abbreviation: TMA) gas, dimethylamine ((CH 3 ) 2 NH, abbreviation: DMA) gas, monomethylamine (CH 3 NH) 2 , abbreviation: MMA) methylamine gas such as gas
- an organic hydrazine-based gas such as trimethylhydrazine ((CH 3 ) 2 N 2 (CH 3 ) H, abbreviation: TMH) gas can be used.
- the SiN film is formed using HCDS gas as the source gas and nitrogen (N) -containing gas (nitriding gas) such as NH 3 gas as the reaction gas has been described.
- nitrogen (N) -containing gas such as NH 3 gas
- the present invention is not limited to such an embodiment.
- oxygen (O) containing gas oxygen (O 2 ) gas, carbon (C) containing gas such as propylene (C 3 H 6 ) gas, trichloride
- a boron (B) -containing gas such as boron (BCl 3 ) gas or the like
- a SiO film, a SiON film, a SiOCN film, a SiOC film, a SiCN film, a SiBN film, a SiBCN film, or the like can be formed.
- the order which flows each gas can be changed suitably. Even in the case where these films are formed, the film formation can be performed under the same processing conditions as in the above-described embodiment, and the same effect as in the above-described embodiment can be obtained.
- the order in which each gas flows can be changed as appropriate. Even in the case where these films are formed, the film formation can be performed under the same processing conditions as in the above-described embodiment, and the same effect as in the above-described embodiment can be obtained. That is, the present invention can be suitably applied when forming a film containing a predetermined element such as a semiconductor element or a metal element.
- the present invention is not limited to such an embodiment.
- the present invention can also be suitably applied to a case where a process such as an oxidation process, a diffusion process, an annealing process, or an etching process is performed on a substrate or a film formed on the substrate.
- a process such as an oxidation process, a diffusion process, an annealing process, or an etching process is performed on a substrate or a film formed on the substrate.
- the above-described embodiments and modifications can be used in appropriate combination.
- the processing conditions at this time can be set to the same processing conditions as in the above-described embodiment or modification, for example.
- the present invention can be applied to a substrate processing apparatus for forming a film on a substrate.
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
Abstract
Description
本発明の一実施形態における基板処理装置は、半導体装置の製造に使用される半導体製造装置の一例として構成されているものである。具体的には、反応管と該反応管の下部に設けられる炉口部で少なくとも構成される処理室と、炉口部に設けられ、炉口部から反応管内まで立上ったノズルと、ノズルの上流側に設けられる処理ガス供給系と、該処理ガス供給系とノズルの境界に設けられるよう構成されている遮断部と、遮断部を処理ガス供給系と連動させてノズルから処理室内にガスを供給するよう、処理ガス供給系及び遮断部をそれぞれ制御するコントローラと、を少なくとも有する構成である。
複数枚のウエハ200がボート217に装填(ウエハチャージ)されると、ボート217は、ボートエレベータによって処理室201内に搬入(ボートロード)される。このとき、シールキャップ219は、Oリングを介して反応管203の下端を気密に閉塞(シール)した状態となる。
処理室201内、すなわち、ウエハ200が存在する空間が所定の圧力(真空度)となるように、真空ポンプ246によって真空に排気される。この際、処理室201内の圧力は、圧力センサ245で測定され、この測定された圧力情報に基づきAPCバルブ244が、フィードバック制御される。真空ポンプ246は、少なくともウエハ200に対する処理が終了するまでの間は常時作動させた状態を維持する。
処理室201の温度が予め設定された処理温度に安定すると、以下、次の2つのステップ、すなわち、ステップ1~2を順次実行する。
このステップでは、処理室201内のウエハ200に対し、原料ガス(HCDSガス)を供給する。このステップ1は、プリパージ工程と、原料ガス供給工程と、原料ガス排気工程と、パージ工程と、を少なくとも含む。以下、それぞれの工程について説明する。
先ず、バルブ330b、330eを開き、ガス供給管310b内へHCDSガスを流す。但し、この工程では、遮断弁101bを閉じ、処理室201へは供給しない。このとき、同時にバルブ330dおよび330fを開き、ガス供給管310aおよび310c内へN2ガスを流す。更に、遮断弁101aおよび101cを開き、N2ガスを、MFCにより流量調整された所定の流量で処理室201内へ供給し、排気管232から排気してもよい。ここで、排気弁102bを遮断弁101bに隣接して設け、排気弁102bを開き、HCDSガスを、ガス供給管310bから排気弁102bを介して排気管232へ排気することができるよう構成するのが好ましい。
引き続きバルブ330b、330eを開いた状態で、遮断弁101bを開き、処理室201内へHCDSガスを流す。このとき、HCDSガスは、MFCにより流量調整され、ノズル部340bを介して処理室201内へ供給され、排気管232から排気される。一方、遮断弁101a、遮断弁101cは閉じられる。これにより、ガス供給管310a、310cにHCDSガスが逆拡散することを抑制できる。
次に、引き続き遮断弁101a及び101cを閉じた状態で遮断弁101bを閉じる。このとき、APCバルブ244は開いたままとして、真空ポンプ246により処理室201内を真空排気し、処理室201内に残留する未反応もしくは第1の層としてのシリコン(Si)含有層の形成に寄与した後のHCDSガスを処理室201内から排出する。
第1の層が形成された後、バルブ330bを閉じ、HCDSガスの供給を停止する。このとき、バルブ330d~330f、及び遮断弁101a~101cを開き、N2ガスの処理室201内への供給を再開する。N2ガスはパージガスとして作用し、これにより、処理室201内に残留するガスを処理室201内から排出する効果を高めることができる。
アフターパージ工程より引き続き、バルブ330d~330f及び遮断弁101a~101cを開いたまま、N2ガスの処理室201内への供給を継続し、所定周期でガス流量を異ならせる。例えば、流量Aと流量B(流量A>流量B)の切替を、予め決められた回数行う。本実施形態では2回行うように制御される。
ステップ1が終了した後、処理室201内のウエハ200、すなわち、ウエハ200上に形成された第1の層に対して反応ガスとしてNH3ガスを供給する。NH3ガスは熱で活性化されてウエハ200に対して供給されることとなる。
反応ガスを供給後、確実に処理室201内に残留するガスを処理室201内から排出する工程をステップ2に含める場合がある。
所定回数終了後、バルブ330d~330f、及び遮断弁101a~101cを開いた状態で、所定流量に調整したN2ガスを、所定時間処理室201内へ供給してパージ工程を終了する。これで成膜シーケンスを終了する。
上述した2つのステップ(図11に示す成膜シーケンス)を非同時に、すなわち、同期させることなく行うサイクルを所定回数(n回)行うことにより、ウエハ200上に、所定組成および所定膜厚のSiN膜を形成することができる。なお、上述のサイクルは複数回繰り返すのが好ましい。すなわち、上述のサイクルを1回行う際に形成される第2の層(SiN層)の厚さを所定の膜厚よりも小さくし、第2の層(SiN層)を積層することで形成されるSiN膜の膜厚が所定の膜厚になるまで、上述のサイクルを複数回繰り返すのが好ましい。
成膜処理が完了した後、バルブ310eおよび310fを開き、ガス供給管310bおよび310cからN2ガスを処理室201内へ供給し、排気管232から排気する。これにより、処理室201内がパージされ、処理室201内に残留するガスや反応副生成物が処理室201内から除去される(パージ)。その後、処理室201内の雰囲気が不活性ガスに置換され(不活性ガス置換)、処理室201内の圧力が常圧に復帰される(大気圧復帰)。
ボートエレベータ115によりシールキャップ219が下降され、反応管203の下端が開口される。そして、処理済のウエハ200が、ボート217に支持された状態で、反応管203の下端から反応管203の外部に搬出される(ボートアンロード)。処理済のウエハ200は、ボート217より取出される(ウエハディスチャージ)。
ウエハ200の温度:100~800℃(好ましくは、400~750℃、本実施形態では、630℃)
処理室内圧力:5~4000Pa(好ましくは、10~1332Pa)
HCDSガス供給流量:1~2000sccm(好ましくは、50~500sccm)
NH3ガス供給流量:100~30000sccm
N2ガス供給流量:1~50000sccm
SiN膜の膜厚:0.2~100nm
102 排気弁(排気部)
200 ウエハ(基板)
203 反応管
226 マニホールド(炉口部)
310 ガス供給管
340 ノズル部
350 ノズル支持部
Claims (13)
- 反応管と該反応管の下部に設けられる炉口部で少なくとも構成される処理室と、前記炉口部に設けられ、前記炉口部から前記反応管内まで立上ったノズルと、前記ノズルの上流側に設けられるガス供給系と、前記ガス供給系と前記ノズルの境界に設けられるよう構成されている遮断部と、前記遮断部を前記ガス供給系と連動させて前記ノズルから前記処理室内にガスを供給するよう、前記ガス供給系及び前記遮断部をそれぞれ制御する制御部と、を備えた基板処理装置。
- 前記遮断部は、前記遮断部と前記炉口部の側壁との間に配管を設けないよう前記炉口部の側壁に近接して取付けられるよう構成されている請求項1記載の基板処理装置。
- 更に、前記配管部は、前記遮断部より上流側に設けられるガス切替部を有し、
前記ガス供給系は、前記ガス切替部と前記遮断部との間の配管内の排気を実施する排気部を備えた請求項1記載の基板処理装置。 - 更に、前記遮断部の冷却を実施する冷却部を備え、
前記冷却部は、前記遮断部に冷却流体を供給するよう構成されている請求項1乃至請求項3のいずれか一つに記載の基板処理装置。 - 更に、前記炉口部の局所排気を実施する炉口ボックス部を備え、
前記遮断部は、前記炉口ボックス部内に設けられるよう構成されている請求項1乃至請求項4のいずれか一つに記載の基板処理装置。 - 炉口部から反応管内まで立上った第1ノズル及び第2ノズルと、
前記第1ノズルの上流側に設けられる第1ガス供給系と、
前記第2ノズルの上流側に設けられる第2ガス供給系と、
前記第1ノズルと前記第1ガス供給系の境界に設けられるよう構成されている第1遮断部と、
前記第2ノズルと前記第2ガス供給系の境界に設けられるよう構成されている第2遮断部と、
前記第1遮断部を前記第1ガス供給系と連動させて前記反応管内に第1のガスを供給し、及び前記第2遮断部を前記第2ガス供給系と連動させて前記反応管内に第2のガスを供給するよう、前記第1ガス供給系、前記第1遮断部、前記第2ガス供給系、前記第2遮断部を制御する制御部と、
を備えた基板処理装置。 - 前記制御部は、前記第1遮断部を開放させて前記反応管内の基板に対して前記第1のガスを供給させつつ、前記第2のガスを供給しないよう前記第2遮断部を閉塞させる、および/または、前記第2遮断部を開放させて前記反応管内の基板に対して前記第2のガスを供給させつつ、前記第1のガスを供給しないよう前記第1遮断部を閉塞させる請求項6記載の基板処理装置。
- 更に、前記反応管内のガスを排出する排気系を備え、
前記制御部は、前記反応管内の基板に対する前記第1のガス又は前記第2のガスの供給が終了すると、前記第1遮断部及び前記第2遮断部を閉塞させて、前記反応管内から前記第1のガス又は前記第2のガスを排出するよう前記排気系を制御する請求項6記載の基板処理装置。 - 更に、前記反応管内のガスを排出する排気系を備え、
前記制御部は、前記第1遮断部及び前記第2遮断部をそれぞれ開放させた状態で、前記反応管内をサイクルパージするよう、前記第1ガス供給系、前記第1遮断部、前記第2ガス供給系、前記第2遮断部、前記排気系を制御する請求項6記載の基板処理装置。 - 前記制御部は、前記第1遮断部を前記第1ガス供給系と連動させると共に前記第2遮断部を前記第2ガス供給系と連動させて前記反応管内に不活性ガスを供給するよう、前記第1ガス供給系、前記第1遮断部、前記第2ガス供給系、前記第2遮断部を制御する請求項6記載の基板処理装置。
- 反応管の下部に設けられる炉口部であって、
前記炉口部の内壁から前記反応管内まで立上ったノズルに接続される遮断部が、前記炉口部の外壁との間に配管を設けないよう取付けられるよう構成されている炉口部。 - 複数の基板を基板保持部材に載置した状態で前記基板保持部材を反応管内に搬入する工程と、
炉口部の内壁から前記反応管内まで立上った第1ノズル及び第2ノズルにそれぞれ接続される第1遮断部及び第2遮断部を、前記第1ノズル及び前記第2ノズルの上流側にそれぞれ設けられる第1ガス供給系及び第1ガス供給系とそれぞれ連動させて、前記第1ノズルを介して前記反応管内に第1のガス、前記第2ノズルを介して前記反応管内に第2のガスをそれぞれ供給し、前記反応管内の前記基板を処理する工程と、を少なくとも有する半導体装置の製造方法。 - 複数の基板を基板保持部材に載置した状態で前記基板保持部材を反応管内に搬入する手順と、
炉口部の内壁から前記反応管内まで立上った第1ノズル及び第2ノズルにそれぞれ接続される第1遮断部及び第2遮断部を、前記第1ノズル及び前記第2ノズルの上流側にそれぞれ設けられる第1ガス供給系及び第1ガス供給系とそれぞれ連動させて、前記第1ノズルを介して前記反応管内に第1のガス、及び前記第2ノズルを介して前記反応管内に第2のガスをそれぞれ供給し、前記反応管内の前記基板を処理する手順と、
をコントローラに実行させて基板処理装置として機能させるプログラム。
Priority Applications (8)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2018522204A JP6616895B2 (ja) | 2016-06-07 | 2016-06-07 | 基板処理装置および半導体装置の製造方法並びにプログラム |
CN201680084578.7A CN109075070A (zh) | 2016-06-07 | 2016-06-07 | 基板处理装置、炉口部以及半导体装置的制造方法及程序 |
PCT/JP2016/066915 WO2017212546A1 (ja) | 2016-06-07 | 2016-06-07 | 基板処理装置、炉口部および半導体装置の製造方法並びにプログラム |
KR1020187034914A KR20190002659A (ko) | 2016-06-07 | 2016-06-07 | 기판 처리 장치, 노구부, 반도체 장치의 제조 방법 및 프로그램 |
KR1020217018250A KR102326377B1 (ko) | 2016-06-07 | 2016-06-07 | 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램 |
US16/213,568 US10640872B2 (en) | 2016-06-07 | 2018-12-07 | Substrate processing apparatus and method of manufacturing semiconductor device |
US16/833,014 US11365482B2 (en) | 2016-06-07 | 2020-03-27 | Substrate processing apparatus and method of manufacturing semiconductor device |
US17/745,496 US20220275515A1 (en) | 2016-06-07 | 2022-05-16 | Substrate processing apparatus and method of manufacturing semiconductor device |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
PCT/JP2016/066915 WO2017212546A1 (ja) | 2016-06-07 | 2016-06-07 | 基板処理装置、炉口部および半導体装置の製造方法並びにプログラム |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US16/213,568 Continuation US10640872B2 (en) | 2016-06-07 | 2018-12-07 | Substrate processing apparatus and method of manufacturing semiconductor device |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2017212546A1 true WO2017212546A1 (ja) | 2017-12-14 |
Family
ID=60577743
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/JP2016/066915 WO2017212546A1 (ja) | 2016-06-07 | 2016-06-07 | 基板処理装置、炉口部および半導体装置の製造方法並びにプログラム |
Country Status (5)
Country | Link |
---|---|
US (3) | US10640872B2 (ja) |
JP (1) | JP6616895B2 (ja) |
KR (2) | KR102326377B1 (ja) |
CN (1) | CN109075070A (ja) |
WO (1) | WO2017212546A1 (ja) |
Cited By (287)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10249577B2 (en) | 2016-05-17 | 2019-04-02 | Asm Ip Holding B.V. | Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10262859B2 (en) | 2016-03-24 | 2019-04-16 | Asm Ip Holding B.V. | Process for forming a film on a substrate using multi-port injection assemblies |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10312129B2 (en) | 2015-09-29 | 2019-06-04 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US10340125B2 (en) | 2013-03-08 | 2019-07-02 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US10361201B2 (en) | 2013-09-27 | 2019-07-23 | Asm Ip Holding B.V. | Semiconductor structure and device formed using selective epitaxial process |
US10366864B2 (en) | 2013-03-08 | 2019-07-30 | Asm Ip Holding B.V. | Method and system for in-situ formation of intermediate reactive species |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10364493B2 (en) | 2016-08-25 | 2019-07-30 | Asm Ip Holding B.V. | Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10438965B2 (en) | 2014-12-22 | 2019-10-08 | Asm Ip Holding B.V. | Semiconductor device and manufacturing method thereof |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10468262B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10480072B2 (en) | 2009-04-06 | 2019-11-19 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US10541173B2 (en) | 2016-07-08 | 2020-01-21 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US10561975B2 (en) | 2014-10-07 | 2020-02-18 | Asm Ip Holdings B.V. | Variable conductance gas distribution apparatus and method |
US10566223B2 (en) | 2012-08-28 | 2020-02-18 | Asm Ip Holdings B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10604847B2 (en) | 2014-03-18 | 2020-03-31 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10622375B2 (en) | 2016-11-07 | 2020-04-14 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10665452B2 (en) | 2016-05-02 | 2020-05-26 | Asm Ip Holdings B.V. | Source/drain performance through conformal solid state doping |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10707106B2 (en) | 2011-06-06 | 2020-07-07 | Asm Ip Holding B.V. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10714335B2 (en) | 2017-04-25 | 2020-07-14 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10734244B2 (en) | 2017-11-16 | 2020-08-04 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by the same |
US10734497B2 (en) | 2017-07-18 | 2020-08-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10741385B2 (en) | 2016-07-28 | 2020-08-11 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10787741B2 (en) | 2014-08-21 | 2020-09-29 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US10804098B2 (en) | 2009-08-14 | 2020-10-13 | Asm Ip Holding B.V. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US10832903B2 (en) | 2011-10-28 | 2020-11-10 | Asm Ip Holding B.V. | Process feed management for semiconductor substrate processing |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10847371B2 (en) | 2018-03-27 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US10851456B2 (en) | 2016-04-21 | 2020-12-01 | Asm Ip Holding B.V. | Deposition of metal borides |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US10867786B2 (en) | 2018-03-30 | 2020-12-15 | Asm Ip Holding B.V. | Substrate processing method |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US10914004B2 (en) | 2018-06-29 | 2021-02-09 | Asm Ip Holding B.V. | Thin-film deposition method and manufacturing method of semiconductor device |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10928731B2 (en) | 2017-09-21 | 2021-02-23 | Asm Ip Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10934619B2 (en) | 2016-11-15 | 2021-03-02 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11001925B2 (en) | 2016-12-19 | 2021-05-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11056567B2 (en) | 2018-05-11 | 2021-07-06 | Asm Ip Holding B.V. | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures |
US11069510B2 (en) | 2017-08-30 | 2021-07-20 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11114294B2 (en) | 2019-03-08 | 2021-09-07 | Asm Ip Holding B.V. | Structure including SiOC layer and method of forming same |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11127589B2 (en) | 2019-02-01 | 2021-09-21 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11171025B2 (en) | 2019-01-22 | 2021-11-09 | Asm Ip Holding B.V. | Substrate processing device |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
US11205585B2 (en) | 2016-07-28 | 2021-12-21 | Asm Ip Holding B.V. | Substrate processing apparatus and method of operating the same |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
US11222772B2 (en) | 2016-12-14 | 2022-01-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227789B2 (en) | 2019-02-20 | 2022-01-18 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11233133B2 (en) | 2015-10-21 | 2022-01-25 | Asm Ip Holding B.V. | NbMC layers |
US11251040B2 (en) | 2019-02-20 | 2022-02-15 | Asm Ip Holding B.V. | Cyclical deposition method including treatment step and apparatus for same |
US11251068B2 (en) | 2018-10-19 | 2022-02-15 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11274369B2 (en) | 2018-09-11 | 2022-03-15 | Asm Ip Holding B.V. | Thin film deposition method |
US20220081773A1 (en) * | 2020-09-17 | 2022-03-17 | Tokyo Electron Limited | Processing apparatus and processing method |
US11282698B2 (en) | 2019-07-19 | 2022-03-22 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11289326B2 (en) | 2019-05-07 | 2022-03-29 | Asm Ip Holding B.V. | Method for reforming amorphous carbon polymer film |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11315794B2 (en) | 2019-10-21 | 2022-04-26 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching films |
US11339476B2 (en) | 2019-10-08 | 2022-05-24 | Asm Ip Holding B.V. | Substrate processing device having connection plates, substrate processing method |
US11342216B2 (en) | 2019-02-20 | 2022-05-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11345999B2 (en) | 2019-06-06 | 2022-05-31 | Asm Ip Holding B.V. | Method of using a gas-phase reactor system including analyzing exhausted gas |
US11355338B2 (en) | 2019-05-10 | 2022-06-07 | Asm Ip Holding B.V. | Method of depositing material onto a surface and structure formed according to the method |
US11361990B2 (en) | 2018-05-28 | 2022-06-14 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11378337B2 (en) | 2019-03-28 | 2022-07-05 | Asm Ip Holding B.V. | Door opener and substrate processing apparatus provided therewith |
US11393690B2 (en) | 2018-01-19 | 2022-07-19 | Asm Ip Holding B.V. | Deposition method |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US11390946B2 (en) | 2019-01-17 | 2022-07-19 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11390945B2 (en) | 2019-07-03 | 2022-07-19 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11401605B2 (en) | 2019-11-26 | 2022-08-02 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11414760B2 (en) | 2018-10-08 | 2022-08-16 | Asm Ip Holding B.V. | Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same |
US11424119B2 (en) | 2019-03-08 | 2022-08-23 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11430640B2 (en) | 2019-07-30 | 2022-08-30 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
US11443926B2 (en) | 2019-07-30 | 2022-09-13 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
US11469098B2 (en) | 2018-05-08 | 2022-10-11 | Asm Ip Holding B.V. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US11476109B2 (en) | 2019-06-11 | 2022-10-18 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11482418B2 (en) | 2018-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Substrate processing method and apparatus |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11488854B2 (en) | 2020-03-11 | 2022-11-01 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11488819B2 (en) | 2018-12-04 | 2022-11-01 | Asm Ip Holding B.V. | Method of cleaning substrate processing apparatus |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11495459B2 (en) | 2019-09-04 | 2022-11-08 | Asm Ip Holding B.V. | Methods for selective deposition using a sacrificial capping layer |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11499226B2 (en) | 2018-11-02 | 2022-11-15 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11515187B2 (en) | 2020-05-01 | 2022-11-29 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11515188B2 (en) | 2019-05-16 | 2022-11-29 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US11521851B2 (en) | 2020-02-03 | 2022-12-06 | Asm Ip Holding B.V. | Method of forming structures including a vanadium or indium layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11527400B2 (en) | 2019-08-23 | 2022-12-13 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US11530483B2 (en) | 2018-06-21 | 2022-12-20 | Asm Ip Holding B.V. | Substrate processing system |
US11530876B2 (en) | 2020-04-24 | 2022-12-20 | Asm Ip Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
US11551925B2 (en) | 2019-04-01 | 2023-01-10 | Asm Ip Holding B.V. | Method for manufacturing a semiconductor device |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
US11557474B2 (en) | 2019-07-29 | 2023-01-17 | Asm Ip Holding B.V. | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11594450B2 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
US11594600B2 (en) | 2019-11-05 | 2023-02-28 | Asm Ip Holding B.V. | Structures with doped semiconductor layers and methods and systems for forming same |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
US11605528B2 (en) | 2019-07-09 | 2023-03-14 | Asm Ip Holding B.V. | Plasma device using coaxial waveguide, and substrate treatment method |
US11610775B2 (en) | 2016-07-28 | 2023-03-21 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11610774B2 (en) | 2019-10-02 | 2023-03-21 | Asm Ip Holding B.V. | Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process |
US11615970B2 (en) | 2019-07-17 | 2023-03-28 | Asm Ip Holding B.V. | Radical assist ignition plasma system and method |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
US11626316B2 (en) | 2019-11-20 | 2023-04-11 | Asm Ip Holding B.V. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
US11629407B2 (en) | 2019-02-22 | 2023-04-18 | Asm Ip Holding B.V. | Substrate processing apparatus and method for processing substrates |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
US11637011B2 (en) | 2019-10-16 | 2023-04-25 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US11639548B2 (en) | 2019-08-21 | 2023-05-02 | Asm Ip Holding B.V. | Film-forming material mixed-gas forming device and film forming device |
US11646204B2 (en) | 2020-06-24 | 2023-05-09 | Asm Ip Holding B.V. | Method for forming a layer provided with silicon |
US11644758B2 (en) | 2020-07-17 | 2023-05-09 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
US11646184B2 (en) | 2019-11-29 | 2023-05-09 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11658029B2 (en) | 2018-12-14 | 2023-05-23 | Asm Ip Holding B.V. | Method of forming a device structure using selective deposition of gallium nitride and system for same |
US11658035B2 (en) | 2020-06-30 | 2023-05-23 | Asm Ip Holding B.V. | Substrate processing method |
US11664199B2 (en) | 2018-10-19 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11664267B2 (en) | 2019-07-10 | 2023-05-30 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US11664245B2 (en) | 2019-07-16 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing device |
US11674220B2 (en) | 2020-07-20 | 2023-06-13 | Asm Ip Holding B.V. | Method for depositing molybdenum layers using an underlayer |
US11680839B2 (en) | 2019-08-05 | 2023-06-20 | Asm Ip Holding B.V. | Liquid level sensor for a chemical source vessel |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
US11688603B2 (en) | 2019-07-17 | 2023-06-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium structures |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11705333B2 (en) | 2020-05-21 | 2023-07-18 | Asm Ip Holding B.V. | Structures including multiple carbon layers and methods of forming and using same |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
US11725277B2 (en) | 2011-07-20 | 2023-08-15 | Asm Ip Holding B.V. | Pressure transmitter for a semiconductor processing environment |
US11735422B2 (en) | 2019-10-10 | 2023-08-22 | Asm Ip Holding B.V. | Method of forming a photoresist underlayer and structure including same |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11781221B2 (en) | 2019-05-07 | 2023-10-10 | Asm Ip Holding B.V. | Chemical source vessel with dip tube |
US11804364B2 (en) | 2020-05-19 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11814747B2 (en) | 2019-04-24 | 2023-11-14 | Asm Ip Holding B.V. | Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly |
US11823866B2 (en) | 2020-04-02 | 2023-11-21 | Asm Ip Holding B.V. | Thin film forming method |
US11823876B2 (en) | 2019-09-05 | 2023-11-21 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11830738B2 (en) | 2020-04-03 | 2023-11-28 | Asm Ip Holding B.V. | Method for forming barrier layer and method for manufacturing semiconductor device |
US11827981B2 (en) | 2020-10-14 | 2023-11-28 | Asm Ip Holding B.V. | Method of depositing material on stepped structure |
US11828707B2 (en) | 2020-02-04 | 2023-11-28 | Asm Ip Holding B.V. | Method and apparatus for transmittance measurements of large articles |
US11840761B2 (en) | 2019-12-04 | 2023-12-12 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
US11873557B2 (en) | 2020-10-22 | 2024-01-16 | Asm Ip Holding B.V. | Method of depositing vanadium metal |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11885023B2 (en) | 2018-10-01 | 2024-01-30 | Asm Ip Holding B.V. | Substrate retaining apparatus, system including the apparatus, and method of using same |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US11885020B2 (en) | 2020-12-22 | 2024-01-30 | Asm Ip Holding B.V. | Transition metal deposition method |
US11887857B2 (en) | 2020-04-24 | 2024-01-30 | Asm Ip Holding B.V. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
US11891696B2 (en) | 2020-11-30 | 2024-02-06 | Asm Ip Holding B.V. | Injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11901179B2 (en) | 2020-10-28 | 2024-02-13 | Asm Ip Holding B.V. | Method and device for depositing silicon onto substrates |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
US11915929B2 (en) | 2019-11-26 | 2024-02-27 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
US11923181B2 (en) | 2019-11-29 | 2024-03-05 | Asm Ip Holding B.V. | Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing |
US11929251B2 (en) | 2019-12-02 | 2024-03-12 | Asm Ip Holding B.V. | Substrate processing apparatus having electrostatic chuck and substrate processing method |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
US11961741B2 (en) | 2020-03-12 | 2024-04-16 | Asm Ip Holding B.V. | Method for fabricating layer structure having target topological profile |
US11959168B2 (en) | 2020-04-29 | 2024-04-16 | Asm Ip Holding B.V. | Solid source precursor vessel |
US11967488B2 (en) | 2013-02-01 | 2024-04-23 | Asm Ip Holding B.V. | Method for treatment of deposition reactor |
US11970766B2 (en) | 2023-01-17 | 2024-04-30 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
Families Citing this family (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102326377B1 (ko) * | 2016-06-07 | 2021-11-15 | 가부시키가이샤 코쿠사이 엘렉트릭 | 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램 |
JP7134020B2 (ja) * | 2018-08-17 | 2022-09-09 | 東京エレクトロン株式会社 | バルブ装置、処理装置、および制御方法 |
US11703229B2 (en) * | 2018-12-05 | 2023-07-18 | Yi-Ming Hung | Temperature adjustment apparatus for high temperature oven |
JP6966499B2 (ja) * | 2019-03-06 | 2021-11-17 | Ckd株式会社 | ガス供給ユニット及びガス供給方法 |
CN111834247B (zh) * | 2019-04-23 | 2023-09-08 | 北京北方华创微电子装备有限公司 | 冷却装置和半导体处理设备 |
KR20210043810A (ko) * | 2019-10-14 | 2021-04-22 | 삼성전자주식회사 | 반도체 제조 장비 |
JP2022076382A (ja) * | 2020-11-09 | 2022-05-19 | 東京エレクトロン株式会社 | 処理装置及び処理方法 |
KR102418948B1 (ko) * | 2020-11-24 | 2022-07-11 | 주식회사 유진테크 | 기판 처리 시스템 |
Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH07283164A (ja) * | 1994-04-14 | 1995-10-27 | Tokyo Electron Ltd | 熱処理装置及び熱処理方法 |
JP2000204472A (ja) * | 1999-01-12 | 2000-07-25 | Tokyo Electron Ltd | ガス処理装置およびそれに用いられる原料供給系のパ―ジ機構 |
JP2004023043A (ja) * | 2002-06-20 | 2004-01-22 | Toshiba Corp | 成膜方法、成膜装置、および半導体装置の製造方法 |
JP2009503875A (ja) * | 2005-07-29 | 2009-01-29 | アヴィザ テクノロジー インコーポレイテッド | ガスマニホルドバルブクラスタ |
JP2011187485A (ja) * | 2010-03-04 | 2011-09-22 | Hitachi Kokusai Electric Inc | 基板処理装置 |
JP2014075451A (ja) * | 2012-10-03 | 2014-04-24 | Tokyo Electron Ltd | 成膜方法及び成膜装置 |
JP2015138913A (ja) * | 2014-01-23 | 2015-07-30 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
Family Cites Families (23)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FR2564592B1 (fr) * | 1984-05-16 | 1986-09-12 | Francais Ciments | Dispositif automatique pour la determination de certaines caracteristiques du ciment telles que finesse des grains, teneur en gypse et en filler calcaire |
JPH03142823A (ja) * | 1989-10-27 | 1991-06-18 | Fujitsu Ltd | 気相成長装置 |
JP3003016B2 (ja) * | 1992-12-25 | 2000-01-24 | 東京エレクトロン株式会社 | 処理装置及び処理方法 |
JPH11186248A (ja) * | 1997-12-22 | 1999-07-09 | Sony Corp | シリコン酸化膜の形成方法及びシリコン酸化膜形成装置 |
US7033446B2 (en) * | 2001-07-27 | 2006-04-25 | Surface Combustion, Inc. | Vacuum carburizing with unsaturated aromatic hydrocarbons |
JP4342895B2 (ja) * | 2003-10-06 | 2009-10-14 | 東京エレクトロン株式会社 | 熱処理方法及び熱処理装置 |
JP4434807B2 (ja) | 2004-03-29 | 2010-03-17 | 株式会社日立国際電気 | 半導体装置の製造方法 |
KR100980126B1 (ko) * | 2005-08-02 | 2010-09-03 | 도쿄엘렉트론가부시키가이샤 | 성막 방법, 성막 장치 및 기억매체 |
JP4718274B2 (ja) * | 2005-08-25 | 2011-07-06 | 東京エレクトロン株式会社 | 半導体製造装置,半導体製造装置の流量補正方法,プログラム |
US8677680B2 (en) * | 2005-09-13 | 2014-03-25 | Bruce Schuster | Fire ant suppression system |
JP4464949B2 (ja) * | 2006-11-10 | 2010-05-19 | 株式会社日立国際電気 | 基板処理装置及び選択エピタキシャル膜成長方法 |
JP5233562B2 (ja) * | 2008-10-04 | 2013-07-10 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP2010171388A (ja) * | 2008-12-25 | 2010-08-05 | Hitachi Kokusai Electric Inc | 基板処理装置及び半導体装置の製造方法及び基板処理用反応管 |
JP5774822B2 (ja) * | 2009-05-25 | 2015-09-09 | 株式会社日立国際電気 | 半導体デバイスの製造方法及び基板処理装置 |
JP5573666B2 (ja) * | 2010-12-28 | 2014-08-20 | 東京エレクトロン株式会社 | 原料供給装置及び成膜装置 |
US8496020B1 (en) * | 2011-01-20 | 2013-07-30 | Layne T. Westerberg | Tap and draining device for a filter cartridge |
JP6042656B2 (ja) * | 2011-09-30 | 2016-12-14 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
KR101427726B1 (ko) * | 2011-12-27 | 2014-08-07 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치 및 반도체 장치의 제조 방법 |
JP2013197207A (ja) * | 2012-03-16 | 2013-09-30 | Hitachi Kokusai Electric Inc | 基板処理装置 |
JP2015198185A (ja) * | 2014-04-02 | 2015-11-09 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US9478934B1 (en) * | 2015-07-24 | 2016-10-25 | Lightmachinery Inc. | Excimer gas purification |
US20170252762A1 (en) * | 2016-03-01 | 2017-09-07 | Jay S. Derman | No Pump Sprayer |
KR102326377B1 (ko) * | 2016-06-07 | 2021-11-15 | 가부시키가이샤 코쿠사이 엘렉트릭 | 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램 |
-
2016
- 2016-06-07 KR KR1020217018250A patent/KR102326377B1/ko active IP Right Grant
- 2016-06-07 JP JP2018522204A patent/JP6616895B2/ja active Active
- 2016-06-07 CN CN201680084578.7A patent/CN109075070A/zh active Pending
- 2016-06-07 KR KR1020187034914A patent/KR20190002659A/ko active Application Filing
- 2016-06-07 WO PCT/JP2016/066915 patent/WO2017212546A1/ja active Application Filing
-
2018
- 2018-12-07 US US16/213,568 patent/US10640872B2/en active Active
-
2020
- 2020-03-27 US US16/833,014 patent/US11365482B2/en active Active
-
2022
- 2022-05-16 US US17/745,496 patent/US20220275515A1/en active Pending
Patent Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH07283164A (ja) * | 1994-04-14 | 1995-10-27 | Tokyo Electron Ltd | 熱処理装置及び熱処理方法 |
JP2000204472A (ja) * | 1999-01-12 | 2000-07-25 | Tokyo Electron Ltd | ガス処理装置およびそれに用いられる原料供給系のパ―ジ機構 |
JP2004023043A (ja) * | 2002-06-20 | 2004-01-22 | Toshiba Corp | 成膜方法、成膜装置、および半導体装置の製造方法 |
JP2009503875A (ja) * | 2005-07-29 | 2009-01-29 | アヴィザ テクノロジー インコーポレイテッド | ガスマニホルドバルブクラスタ |
JP2011187485A (ja) * | 2010-03-04 | 2011-09-22 | Hitachi Kokusai Electric Inc | 基板処理装置 |
JP2014075451A (ja) * | 2012-10-03 | 2014-04-24 | Tokyo Electron Ltd | 成膜方法及び成膜装置 |
JP2015138913A (ja) * | 2014-01-23 | 2015-07-30 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
Cited By (361)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US10480072B2 (en) | 2009-04-06 | 2019-11-19 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US10844486B2 (en) | 2009-04-06 | 2020-11-24 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US10804098B2 (en) | 2009-08-14 | 2020-10-13 | Asm Ip Holding B.V. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US10707106B2 (en) | 2011-06-06 | 2020-07-07 | Asm Ip Holding B.V. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US11725277B2 (en) | 2011-07-20 | 2023-08-15 | Asm Ip Holding B.V. | Pressure transmitter for a semiconductor processing environment |
US10832903B2 (en) | 2011-10-28 | 2020-11-10 | Asm Ip Holding B.V. | Process feed management for semiconductor substrate processing |
US10566223B2 (en) | 2012-08-28 | 2020-02-18 | Asm Ip Holdings B.V. | Systems and methods for dynamic semiconductor process scheduling |
US11501956B2 (en) | 2012-10-12 | 2022-11-15 | Asm Ip Holding B.V. | Semiconductor reaction chamber showerhead |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US11967488B2 (en) | 2013-02-01 | 2024-04-23 | Asm Ip Holding B.V. | Method for treatment of deposition reactor |
US10340125B2 (en) | 2013-03-08 | 2019-07-02 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US10366864B2 (en) | 2013-03-08 | 2019-07-30 | Asm Ip Holding B.V. | Method and system for in-situ formation of intermediate reactive species |
US10361201B2 (en) | 2013-09-27 | 2019-07-23 | Asm Ip Holding B.V. | Semiconductor structure and device formed using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10604847B2 (en) | 2014-03-18 | 2020-03-31 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US10787741B2 (en) | 2014-08-21 | 2020-09-29 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US11795545B2 (en) | 2014-10-07 | 2023-10-24 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10561975B2 (en) | 2014-10-07 | 2020-02-18 | Asm Ip Holdings B.V. | Variable conductance gas distribution apparatus and method |
US10438965B2 (en) | 2014-12-22 | 2019-10-08 | Asm Ip Holding B.V. | Semiconductor device and manufacturing method thereof |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11742189B2 (en) | 2015-03-12 | 2023-08-29 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US11242598B2 (en) | 2015-06-26 | 2022-02-08 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10312129B2 (en) | 2015-09-29 | 2019-06-04 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US11233133B2 (en) | 2015-10-21 | 2022-01-25 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US11956977B2 (en) | 2015-12-29 | 2024-04-09 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US11676812B2 (en) | 2016-02-19 | 2023-06-13 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on top/bottom portions |
US10720322B2 (en) | 2016-02-19 | 2020-07-21 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on top surface |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US10262859B2 (en) | 2016-03-24 | 2019-04-16 | Asm Ip Holding B.V. | Process for forming a film on a substrate using multi-port injection assemblies |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10851456B2 (en) | 2016-04-21 | 2020-12-01 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US11101370B2 (en) | 2016-05-02 | 2021-08-24 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10665452B2 (en) | 2016-05-02 | 2020-05-26 | Asm Ip Holdings B.V. | Source/drain performance through conformal solid state doping |
US10249577B2 (en) | 2016-05-17 | 2019-04-02 | Asm Ip Holding B.V. | Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10541173B2 (en) | 2016-07-08 | 2020-01-21 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US11649546B2 (en) | 2016-07-08 | 2023-05-16 | Asm Ip Holding B.V. | Organic reactants for atomic layer deposition |
US11749562B2 (en) | 2016-07-08 | 2023-09-05 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US11094582B2 (en) | 2016-07-08 | 2021-08-17 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US11205585B2 (en) | 2016-07-28 | 2021-12-21 | Asm Ip Holding B.V. | Substrate processing apparatus and method of operating the same |
US11694892B2 (en) | 2016-07-28 | 2023-07-04 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10741385B2 (en) | 2016-07-28 | 2020-08-11 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11107676B2 (en) | 2016-07-28 | 2021-08-31 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11610775B2 (en) | 2016-07-28 | 2023-03-21 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10364493B2 (en) | 2016-08-25 | 2019-07-30 | Asm Ip Holding B.V. | Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10943771B2 (en) | 2016-10-26 | 2021-03-09 | Asm Ip Holding B.V. | Methods for thermally calibrating reaction chambers |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10720331B2 (en) | 2016-11-01 | 2020-07-21 | ASM IP Holdings, B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US11810788B2 (en) | 2016-11-01 | 2023-11-07 | Asm Ip Holding B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10622375B2 (en) | 2016-11-07 | 2020-04-14 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10644025B2 (en) | 2016-11-07 | 2020-05-05 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10934619B2 (en) | 2016-11-15 | 2021-03-02 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US11396702B2 (en) | 2016-11-15 | 2022-07-26 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US11222772B2 (en) | 2016-12-14 | 2022-01-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11851755B2 (en) | 2016-12-15 | 2023-12-26 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11001925B2 (en) | 2016-12-19 | 2021-05-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11251035B2 (en) | 2016-12-22 | 2022-02-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10784102B2 (en) | 2016-12-22 | 2020-09-22 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468262B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US11410851B2 (en) | 2017-02-15 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US11658030B2 (en) | 2017-03-29 | 2023-05-23 | Asm Ip Holding B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10950432B2 (en) | 2017-04-25 | 2021-03-16 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10714335B2 (en) | 2017-04-25 | 2020-07-14 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US11848200B2 (en) | 2017-05-08 | 2023-12-19 | Asm Ip Holding B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US11164955B2 (en) | 2017-07-18 | 2021-11-02 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10734497B2 (en) | 2017-07-18 | 2020-08-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11695054B2 (en) | 2017-07-18 | 2023-07-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11004977B2 (en) | 2017-07-19 | 2021-05-11 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US11802338B2 (en) | 2017-07-26 | 2023-10-31 | Asm Ip Holding B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US11587821B2 (en) | 2017-08-08 | 2023-02-21 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11417545B2 (en) | 2017-08-08 | 2022-08-16 | Asm Ip Holding B.V. | Radiation shield |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10672636B2 (en) | 2017-08-09 | 2020-06-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11581220B2 (en) | 2017-08-30 | 2023-02-14 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11069510B2 (en) | 2017-08-30 | 2021-07-20 | Asm Ip Holding B.V. | Substrate processing apparatus |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
US10928731B2 (en) | 2017-09-21 | 2021-02-23 | Asm Ip Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US11387120B2 (en) | 2017-09-28 | 2022-07-12 | Asm Ip Holding B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US11094546B2 (en) | 2017-10-05 | 2021-08-17 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10734223B2 (en) | 2017-10-10 | 2020-08-04 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10734244B2 (en) | 2017-11-16 | 2020-08-04 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by the same |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11682572B2 (en) | 2017-11-27 | 2023-06-20 | Asm Ip Holdings B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US11501973B2 (en) | 2018-01-16 | 2022-11-15 | Asm Ip Holding B.V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US11393690B2 (en) | 2018-01-19 | 2022-07-19 | Asm Ip Holding B.V. | Deposition method |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD913980S1 (en) | 2018-02-01 | 2021-03-23 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11735414B2 (en) | 2018-02-06 | 2023-08-22 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11387106B2 (en) | 2018-02-14 | 2022-07-12 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US11482418B2 (en) | 2018-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Substrate processing method and apparatus |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11939673B2 (en) | 2018-02-23 | 2024-03-26 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
US10847371B2 (en) | 2018-03-27 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11398382B2 (en) | 2018-03-27 | 2022-07-26 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10867786B2 (en) | 2018-03-30 | 2020-12-15 | Asm Ip Holding B.V. | Substrate processing method |
US11469098B2 (en) | 2018-05-08 | 2022-10-11 | Asm Ip Holding B.V. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US11056567B2 (en) | 2018-05-11 | 2021-07-06 | Asm Ip Holding B.V. | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures |
US11908733B2 (en) | 2018-05-28 | 2024-02-20 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11361990B2 (en) | 2018-05-28 | 2022-06-14 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11837483B2 (en) | 2018-06-04 | 2023-12-05 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11296189B2 (en) | 2018-06-21 | 2022-04-05 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11530483B2 (en) | 2018-06-21 | 2022-12-20 | Asm Ip Holding B.V. | Substrate processing system |
US11952658B2 (en) | 2018-06-27 | 2024-04-09 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11814715B2 (en) | 2018-06-27 | 2023-11-14 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10914004B2 (en) | 2018-06-29 | 2021-02-09 | Asm Ip Holding B.V. | Thin-film deposition method and manufacturing method of semiconductor device |
US11168395B2 (en) | 2018-06-29 | 2021-11-09 | Asm Ip Holding B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11646197B2 (en) | 2018-07-03 | 2023-05-09 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755923B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11923190B2 (en) | 2018-07-03 | 2024-03-05 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11804388B2 (en) | 2018-09-11 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11274369B2 (en) | 2018-09-11 | 2022-03-15 | Asm Ip Holding B.V. | Thin film deposition method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11885023B2 (en) | 2018-10-01 | 2024-01-30 | Asm Ip Holding B.V. | Substrate retaining apparatus, system including the apparatus, and method of using same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11414760B2 (en) | 2018-10-08 | 2022-08-16 | Asm Ip Holding B.V. | Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
US11664199B2 (en) | 2018-10-19 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11251068B2 (en) | 2018-10-19 | 2022-02-15 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11735445B2 (en) | 2018-10-31 | 2023-08-22 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11866823B2 (en) | 2018-11-02 | 2024-01-09 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11499226B2 (en) | 2018-11-02 | 2022-11-15 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US11244825B2 (en) | 2018-11-16 | 2022-02-08 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US11798999B2 (en) | 2018-11-16 | 2023-10-24 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11411088B2 (en) | 2018-11-16 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
US11488819B2 (en) | 2018-12-04 | 2022-11-01 | Asm Ip Holding B.V. | Method of cleaning substrate processing apparatus |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11769670B2 (en) | 2018-12-13 | 2023-09-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11658029B2 (en) | 2018-12-14 | 2023-05-23 | Asm Ip Holding B.V. | Method of forming a device structure using selective deposition of gallium nitride and system for same |
US11959171B2 (en) | 2019-01-17 | 2024-04-16 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11390946B2 (en) | 2019-01-17 | 2022-07-19 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11171025B2 (en) | 2019-01-22 | 2021-11-09 | Asm Ip Holding B.V. | Substrate processing device |
US11127589B2 (en) | 2019-02-01 | 2021-09-21 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11251040B2 (en) | 2019-02-20 | 2022-02-15 | Asm Ip Holding B.V. | Cyclical deposition method including treatment step and apparatus for same |
US11615980B2 (en) | 2019-02-20 | 2023-03-28 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11227789B2 (en) | 2019-02-20 | 2022-01-18 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11798834B2 (en) | 2019-02-20 | 2023-10-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
US11342216B2 (en) | 2019-02-20 | 2022-05-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11629407B2 (en) | 2019-02-22 | 2023-04-18 | Asm Ip Holding B.V. | Substrate processing apparatus and method for processing substrates |
US11424119B2 (en) | 2019-03-08 | 2022-08-23 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
US11114294B2 (en) | 2019-03-08 | 2021-09-07 | Asm Ip Holding B.V. | Structure including SiOC layer and method of forming same |
US11901175B2 (en) | 2019-03-08 | 2024-02-13 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11378337B2 (en) | 2019-03-28 | 2022-07-05 | Asm Ip Holding B.V. | Door opener and substrate processing apparatus provided therewith |
US11551925B2 (en) | 2019-04-01 | 2023-01-10 | Asm Ip Holding B.V. | Method for manufacturing a semiconductor device |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11814747B2 (en) | 2019-04-24 | 2023-11-14 | Asm Ip Holding B.V. | Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly |
US11289326B2 (en) | 2019-05-07 | 2022-03-29 | Asm Ip Holding B.V. | Method for reforming amorphous carbon polymer film |
US11781221B2 (en) | 2019-05-07 | 2023-10-10 | Asm Ip Holding B.V. | Chemical source vessel with dip tube |
US11355338B2 (en) | 2019-05-10 | 2022-06-07 | Asm Ip Holding B.V. | Method of depositing material onto a surface and structure formed according to the method |
US11515188B2 (en) | 2019-05-16 | 2022-11-29 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
US11345999B2 (en) | 2019-06-06 | 2022-05-31 | Asm Ip Holding B.V. | Method of using a gas-phase reactor system including analyzing exhausted gas |
US11908684B2 (en) | 2019-06-11 | 2024-02-20 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
US11476109B2 (en) | 2019-06-11 | 2022-10-18 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
US11390945B2 (en) | 2019-07-03 | 2022-07-19 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11746414B2 (en) | 2019-07-03 | 2023-09-05 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11605528B2 (en) | 2019-07-09 | 2023-03-14 | Asm Ip Holding B.V. | Plasma device using coaxial waveguide, and substrate treatment method |
US11664267B2 (en) | 2019-07-10 | 2023-05-30 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US11664245B2 (en) | 2019-07-16 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing device |
US11688603B2 (en) | 2019-07-17 | 2023-06-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium structures |
US11615970B2 (en) | 2019-07-17 | 2023-03-28 | Asm Ip Holding B.V. | Radical assist ignition plasma system and method |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
US11282698B2 (en) | 2019-07-19 | 2022-03-22 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11557474B2 (en) | 2019-07-29 | 2023-01-17 | Asm Ip Holding B.V. | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
US11430640B2 (en) | 2019-07-30 | 2022-08-30 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11443926B2 (en) | 2019-07-30 | 2022-09-13 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11876008B2 (en) | 2019-07-31 | 2024-01-16 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11680839B2 (en) | 2019-08-05 | 2023-06-20 | Asm Ip Holding B.V. | Liquid level sensor for a chemical source vessel |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
US11639548B2 (en) | 2019-08-21 | 2023-05-02 | Asm Ip Holding B.V. | Film-forming material mixed-gas forming device and film forming device |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11594450B2 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11527400B2 (en) | 2019-08-23 | 2022-12-13 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11827978B2 (en) | 2019-08-23 | 2023-11-28 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11898242B2 (en) | 2019-08-23 | 2024-02-13 | Asm Ip Holding B.V. | Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11495459B2 (en) | 2019-09-04 | 2022-11-08 | Asm Ip Holding B.V. | Methods for selective deposition using a sacrificial capping layer |
US11823876B2 (en) | 2019-09-05 | 2023-11-21 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
US11610774B2 (en) | 2019-10-02 | 2023-03-21 | Asm Ip Holding B.V. | Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process |
US11339476B2 (en) | 2019-10-08 | 2022-05-24 | Asm Ip Holding B.V. | Substrate processing device having connection plates, substrate processing method |
US11735422B2 (en) | 2019-10-10 | 2023-08-22 | Asm Ip Holding B.V. | Method of forming a photoresist underlayer and structure including same |
US11637011B2 (en) | 2019-10-16 | 2023-04-25 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
US11315794B2 (en) | 2019-10-21 | 2022-04-26 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching films |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11594600B2 (en) | 2019-11-05 | 2023-02-28 | Asm Ip Holding B.V. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
US11626316B2 (en) | 2019-11-20 | 2023-04-11 | Asm Ip Holding B.V. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
US11915929B2 (en) | 2019-11-26 | 2024-02-27 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
US11401605B2 (en) | 2019-11-26 | 2022-08-02 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11646184B2 (en) | 2019-11-29 | 2023-05-09 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11923181B2 (en) | 2019-11-29 | 2024-03-05 | Asm Ip Holding B.V. | Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing |
US11929251B2 (en) | 2019-12-02 | 2024-03-12 | Asm Ip Holding B.V. | Substrate processing apparatus having electrostatic chuck and substrate processing method |
US11840761B2 (en) | 2019-12-04 | 2023-12-12 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
US11521851B2 (en) | 2020-02-03 | 2022-12-06 | Asm Ip Holding B.V. | Method of forming structures including a vanadium or indium layer |
US11828707B2 (en) | 2020-02-04 | 2023-11-28 | Asm Ip Holding B.V. | Method and apparatus for transmittance measurements of large articles |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11837494B2 (en) | 2020-03-11 | 2023-12-05 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11488854B2 (en) | 2020-03-11 | 2022-11-01 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
US11961741B2 (en) | 2020-03-12 | 2024-04-16 | Asm Ip Holding B.V. | Method for fabricating layer structure having target topological profile |
US11823866B2 (en) | 2020-04-02 | 2023-11-21 | Asm Ip Holding B.V. | Thin film forming method |
US11830738B2 (en) | 2020-04-03 | 2023-11-28 | Asm Ip Holding B.V. | Method for forming barrier layer and method for manufacturing semiconductor device |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11887857B2 (en) | 2020-04-24 | 2024-01-30 | Asm Ip Holding B.V. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
US11530876B2 (en) | 2020-04-24 | 2022-12-20 | Asm Ip Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
US11959168B2 (en) | 2020-04-29 | 2024-04-16 | Asm Ip Holding B.V. | Solid source precursor vessel |
US11798830B2 (en) | 2020-05-01 | 2023-10-24 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11515187B2 (en) | 2020-05-01 | 2022-11-29 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
US11804364B2 (en) | 2020-05-19 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11705333B2 (en) | 2020-05-21 | 2023-07-18 | Asm Ip Holding B.V. | Structures including multiple carbon layers and methods of forming and using same |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
US11646204B2 (en) | 2020-06-24 | 2023-05-09 | Asm Ip Holding B.V. | Method for forming a layer provided with silicon |
US11658035B2 (en) | 2020-06-30 | 2023-05-23 | Asm Ip Holding B.V. | Substrate processing method |
US11644758B2 (en) | 2020-07-17 | 2023-05-09 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US11674220B2 (en) | 2020-07-20 | 2023-06-13 | Asm Ip Holding B.V. | Method for depositing molybdenum layers using an underlayer |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
US20220081773A1 (en) * | 2020-09-17 | 2022-03-17 | Tokyo Electron Limited | Processing apparatus and processing method |
US11859285B2 (en) * | 2020-09-17 | 2024-01-02 | Tokyo Electron Limited | Processing apparatus and processing method |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US11827981B2 (en) | 2020-10-14 | 2023-11-28 | Asm Ip Holding B.V. | Method of depositing material on stepped structure |
US11873557B2 (en) | 2020-10-22 | 2024-01-16 | Asm Ip Holding B.V. | Method of depositing vanadium metal |
US11901179B2 (en) | 2020-10-28 | 2024-02-13 | Asm Ip Holding B.V. | Method and device for depositing silicon onto substrates |
US11891696B2 (en) | 2020-11-30 | 2024-02-06 | Asm Ip Holding B.V. | Injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
US11885020B2 (en) | 2020-12-22 | 2024-01-30 | Asm Ip Holding B.V. | Transition metal deposition method |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11972944B2 (en) | 2022-10-21 | 2024-04-30 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11970766B2 (en) | 2023-01-17 | 2024-04-30 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
Also Published As
Publication number | Publication date |
---|---|
JPWO2017212546A1 (ja) | 2019-02-28 |
US10640872B2 (en) | 2020-05-05 |
US20190106787A1 (en) | 2019-04-11 |
JP6616895B2 (ja) | 2019-12-04 |
US20220275515A1 (en) | 2022-09-01 |
US20200232097A1 (en) | 2020-07-23 |
KR102326377B1 (ko) | 2021-11-15 |
KR20210074422A (ko) | 2021-06-21 |
KR20190002659A (ko) | 2019-01-08 |
US11365482B2 (en) | 2022-06-21 |
CN109075070A (zh) | 2018-12-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6616895B2 (ja) | 基板処理装置および半導体装置の製造方法並びにプログラム | |
US11837466B2 (en) | Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium | |
KR102473880B1 (ko) | 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램 | |
US11591694B2 (en) | Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium | |
US11170995B2 (en) | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium | |
TW202011479A (zh) | 基板處理裝置、基板處理裝置之電極及半導體裝置之製造方法 | |
WO2018088003A1 (ja) | 半導体装置の製造方法、基板処理装置およびプログラム | |
JP6613213B2 (ja) | 半導体装置の製造方法、基板処理装置、およびプログラム | |
JP2018101687A (ja) | 半導体装置の製造方法、基板処理装置、およびプログラム | |
US20210123137A1 (en) | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium | |
US20220262632A1 (en) | Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium | |
JP6768134B2 (ja) | 基板処理装置および半導体装置の製造方法並びにプログラム | |
JP7186909B2 (ja) | 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム | |
WO2022201242A1 (ja) | 電極、基板処理装置、半導体装置の製造方法およびプログラム | |
US20230411149A1 (en) | Method of processing substrate, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium | |
JP6909860B2 (ja) | 半導体装置の製造方法、基板処理装置及びプログラム | |
JP2020077890A (ja) | 半導体装置の製造方法、基板処理装置、およびプログラム | |
JP2022118471A (ja) | 基板処理装置、電極及び半導体装置の製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
WWE | Wipo information: entry into national phase |
Ref document number: 2018522204 Country of ref document: JP |
|
ENP | Entry into the national phase |
Ref document number: 20187034914 Country of ref document: KR Kind code of ref document: A |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application |
Ref document number: 16904581 Country of ref document: EP Kind code of ref document: A1 |
|
NENP | Non-entry into the national phase |
Ref country code: DE |
|
122 | Ep: pct application non-entry in european phase |
Ref document number: 16904581 Country of ref document: EP Kind code of ref document: A1 |