KR102326377B1 - 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램 - Google Patents

기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램 Download PDF

Info

Publication number
KR102326377B1
KR102326377B1 KR1020217018250A KR20217018250A KR102326377B1 KR 102326377 B1 KR102326377 B1 KR 102326377B1 KR 1020217018250 A KR1020217018250 A KR 1020217018250A KR 20217018250 A KR20217018250 A KR 20217018250A KR 102326377 B1 KR102326377 B1 KR 102326377B1
Authority
KR
South Korea
Prior art keywords
gas
blocking
reaction tube
unit
pipe
Prior art date
Application number
KR1020217018250A
Other languages
English (en)
Other versions
KR20210074422A (ko
Inventor
미키오 오노
아츠시 우메카와
타케오 하나시마
히로아키 히라마츠
Original Assignee
가부시키가이샤 코쿠사이 엘렉트릭
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 코쿠사이 엘렉트릭 filed Critical 가부시키가이샤 코쿠사이 엘렉트릭
Publication of KR20210074422A publication Critical patent/KR20210074422A/ko
Application granted granted Critical
Publication of KR102326377B1 publication Critical patent/KR102326377B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl

Abstract

반응관 내에 제1 가스를 공급하는 제1 가스 공급계; 반응관 내에 제2 가스를 공급하는 제2 가스 공급계; 상기 제1 가스 공급계와 상기 반응관의 하방(下方)에 설치되는 노구부(爐口部)의 경계에 설치되고, 상기 노구부의 벽면에 직결(直結)되도록 구성되는 제1 차단부; 상기 제2 가스 공급계와 상기 반응관의 하방에 설치되는 노구부의 경계에 설치되고, 상기 노구부의 벽면에 직결되도록 구성되는 제2 차단부; 상기 제1 차단부의 상류측에 설치되는 제1 가스 절체부(切替部); 상기 제2 차단부의 상류측에 설치되는 제2 가스 절체부; 및 상기 제1 차단부를 개방하여 상기 반응관 내의 기판에 대하여 상기 제1 가스를 공급하면서 상기 제2 가스 절체부와 상기 제2 차단부 사이의 배관을 퍼지하거나, 또는 상기 제2 차단부를 개방하여 상기 반응관 내의 기판에 대하여 상기 제2 가스를 공급하면서 상기 제1 가스 절체부와 상기 제1 차단부 사이의 배관을 퍼지하는 것이 가능한 제어부를 구비한 구성이 제공된다.

Description

기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램{SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND PROGRAM}
본 발명은 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램에 관한 것이다.
기판 처리 장치의 일례로서의 반도체 제조 장치에는, 종형(縱型) 장치가 있는 것이 알려져 있다. 최근, 이 종류의 반도체 제조 장치는 다양한 성막을 가능하게 하기 위해서 개폐 밸브의 개수도 많고, 가스 공급계도 2계통 이상인 경우가 많다. 종래에는 도 4에 도시하는 바와 같이 노구부(爐口部)와 가장 가까운 개폐 밸브로부터 노구부까지의 배관은 플렉시블 배관을 포함하는 배관으로 이루어져 있었다. 장치의 레이아웃에 따라 다르지만, 이 배관의 길이는 500mm 내지 3,000mm 정도였다.
이 개폐 밸브까지의 플렉시블 배관을 포함하는 배관내에 부착된 부생성물에 기인하여 파티클이 반응실 내에 방출되고 기판 상에 부착되는 것에 의해 디바이스 특성에 영향을 미치는 사실이 알려져 있다. 그래서 이 문제를 방지하는 수단으로서 성막 가스를 공급하지 않는 배관에 N2 가스를 성막 가스의 공급과 동시에 흘리는 방법이 실시되고 있다. 그런데 이 N2 가스(이후, 카운터 N2 가스라고 부르는 경우가 있다.)를 공급하는 것에 의해 성막 가스 농도가 반응실 내에서 불균일해져 기판 처리에서 막 두께 균일성이 악화된다.
여기서 카운터 N2 가스를 불필요로 하는 구성으로서 가스 공급계 내의 처리로와 가까운 배관에 개폐 밸브를 설치하는 방법을 생각해볼 수 있지만, 밸브 설치 공간의 제한, 밸브 내열 온도의 제한 등이 원인으로 실현되지 않고 있다. 한편, 특허문헌 1 및 특허문헌 2에는 개폐 밸브가 노구부와 가까운 배관에 설치되는 구성이 개시된다. 단, 이들 선행기술문헌에는 카운터 N2 가스를 불필요로 하는 구성에 대해서는 언급되어 있지 않다.
1: 일본 특개 2011-187485호 공보 2: 일본 특개 2005-285922호 공보
본 발명의 목적은 상기 문제점을 해결하기 위해서 노구부의 근방(近傍)에 개폐 밸브를 설치하는 구성을 제공하는 데 있다.
본 발명의 일 형태에 따르면, 반응관 내에 제1 가스를 공급하는 제1 가스 공급계; 반응관 내에 제2 가스를 공급하는 제2 가스 공급계; 상기 제1 가스 공급계와 상기 반응관의 하방(下方)에 설치되는 노구부(爐口部)의 경계에 설치되고, 상기 노구부의 벽면에 직결(直結)되도록 구성되는 제1 차단부; 상기 제2 가스 공급계와 상기 반응관의 하방에 설치되는 노구부의 경계에 설치되고, 상기 노구부의 벽면에 직결되도록 구성되는 제2 차단부; 상기 제1 차단부의 상류측에 설치되는 제1 가스 절체부(切替部); 상기 제2 차단부의 상류측에 설치되는 제2 가스 절체부; 및 상기 제1 차단부를 개방하여 상기 반응관 내의 기판에 대하여 상기 제1 가스를 공급하면서 상기 제2 가스 절체부와 상기 제2 차단부 사이의 배관을 퍼지하거나, 또는 상기 제2 차단부를 개방하여 상기 반응관 내의 기판에 대하여 상기 제2 가스를 공급하면서 상기 제1 가스 절체부와 상기 제1 차단부 사이의 배관을 퍼지하는 것이 가능한 제어부를 구비한 구성이 제공된다.
본 발명에 따르면, 노구부의 근방에 개폐 밸브가 설치된 구성을 제공할 수 있다.
도 1은 본 발명의 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 개략 구성도이며, 처리로 부분의 종단면도(縱斷面圖).
도 2는 본 발명의 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 일부의 개략 구성도이며, 반응관의 횡단면도(橫斷面圖).
도 3은 본 발명의 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 컨트롤러의 개략 구성도.
도 4는 종래의 노구부 근방의 배관 구성도.
도 5는 본 발명의 실시 형태에 바람직하게 이용되는 차단부와 가스 공급관과 노즐과의 관계를 도시하는 모식도.
도 6은 본 발명의 실시 형태에 바람직하게 이용되는 차단부와 가스 공급관과 노즐의 관계를 도시하는 모식도.
도 7은 본 발명의 실시 형태에 바람직하게 이용되는 노구부의 외관도.
도 8은 본 발명의 실시 형태에 바람직하게 이용되는 노구부의 외관도.
도 9는 본 발명의 실시 형태에 바람직하게 이용되는 차단 밸브의 도시예.
도 10은 본 발명의 실시 형태에 바람직하게 이용되는 차단 밸브의 구성을 도시하는 도시예.
도 11은 본 발명의 실시 형태에 바람직하게 이용되는 차단 밸브를 동작시켜서 처리 가스를 공급하는 기판 처리 흐름을 도시하는 도면.
도 12는 본 발명의 실시 형태에 바람직하게 이용되는 차단 밸브를 동작시켜서 처리 가스를 공급하여 기판을 처리한 결과를 도시하는 도면.
도 13은 본 발명의 실시 형태에 바람직하게 이용되는 성막 시퀀스에서의 차단 밸브의 유무 비교를 도시하는 도시예.
<본 발명의 일 실시 형태>
본 발명의 일 실시 형태에서의 기판 처리 장치는 반도체 장치의 제조에 사용되는 반도체 제조 장치의 일례로서 구성된다. 구체적으로는 반응관과 상기 반응관의 하부에 설치되는 노구부로 적어도 구성되는 처리실과, 노구부에 설치되고, 노구부로부터 반응관 내까지 상승한 노즐과, 노즐의 상류측에 설치되는 처리 가스 공급계와, 상기 처리 가스 공급계와 노즐의 경계에 설치되도록 구성되는 차단부와, 차단부를 처리 가스 공급계와 연동시켜서 노즐로부터 처리실 내에 가스를 공급하도록 처리 가스 공급계 및 차단부를 각각 제어하는 컨트롤러를 적어도 포함하는 구성이다.
또한 노구부는 노구부의 내벽으로부터 반응관 내까지 상승한 노즐에 접속되는 차단부가 노구부의 외벽과의 사이에 배관을 설치하지 않도록 부착되도록 구성된다. 이와 같이 처리로의 거의 직하(直下)(노구부 근방)에 차단부가 설치되므로, 냉각 기구를 구비하고 차단 밸브를 냉각할 수 있도록 하는 것이 바람직하다. 또한 노구부의 열 축적 대책으로서 특히 국소적인 배기가 가능한 노구 유닛을 설치하는 것이 바람직하다. 또한 이들 냉각 기구 및 노구 유닛에 대해서는 후술한다.
여기서 본 실시 형태에서 노구부와 차단 밸브를 일체로 한(예컨대 노구부와 차단 밸브 사이에 플렉시블 배관을 포함하는 배관을 설치하지 않는) 구조를 단순히 노구부라고 부르는 경우가 있다.
이하, 본 발명의 실시 형태에 대해서 도 1, 도 2 등을 이용하여 설명한다. 우선, 도 1에 도시하는 바와 같이 처리로(202)는 가열부(가열 기구)로서의 히터(207)를 포함한다. 히터(207)는 원통 형상이며, 미도시의 히터 소선(素線)과 단열재를 포함하는 구성이다. 히터(207)의 하부는 보지판(保持板)으로서의 히터 베이스(미도시)에 지지되는 것에 의해 수직으로 설치된다. 또한 히터(207)는 처리 가스를 열로 활성화[여기(勵起)]시키는 활성화 기구(여기부)로서도 기능한다.
히터(207)의 내측에는 히터(207)와 동심원 형상으로 반응 용기(처리 용기)를 구성하는 단관 구조의 반응관(203)이 배설(配設)된다. 반응관(203)은 예컨대 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료로 형성된다. 반응관(203)은 하단부가 개방되고, 상단부가 평탄 형상의 벽체로 폐색(閉塞)된 천장이 있는 형상으로 형성된다. 반응관의 상단부(이후, 천장부라고도 부른다.)는 강도 확보라는 관점에서 두껍게 구성된다. 반응관(203)의 측벽은 원통 형상으로 형성된 원통부와, 원통부의 외벽에 설치된 가스 공급 영역(222)과 가스 배기 영역(224)을 구비한다. 가스 공급 영역(222)과 가스 배기 영역(224)을 포함하는 반응관(203)의 내부에는 처리실(201)이 형성된다. 처리실(201)은 반응관(203)과 반응관(203)의 하부에 설치되는 노구부로 적어도 구성된다. 처리실(201)은 기판으로서의 웨이퍼(200)를 처리 가능하도록 구성된다. 또한 처리실(201)은 웨이퍼(200)를 수평 자세로 수직 방향에 다단으로 정렬한 상태에서 보지 가능한 보트(217)를 수용 가능하도록 구성된다. 그리고 히터(207)는 반응관(203)을 둘러싸도록 배치되고, 반응관(203)[또는 처리실(201)] 내의 보트(217)에 재치된 복수 매의 웨이퍼(200)를 소정의 온도로 가열할 수 있다. 보트(217)는 기판 보지 부재라고도 지칭된다.
가스 공급 영역(222)은 철부(凸部)가 원통부의 일측벽의 외측에 돌출하도록 형성된다. 가스 공급 영역(222)의 외벽은 반응관(203)의 원통부의 외벽의 일부로서의 일측벽의 외측에 원통부의 외경보다 크고, 원통부와 동심원 형상으로 형성된다. 가스 공급 영역(222)은 하단부가 개방되고, 상단부가 평탄 형상의 벽체로 폐색된 천장이 있는 형상으로 구성된다. 가스 공급 영역(222)에는 그 길이 방향(상하 방향)을 따라 후술하는 노즐부(340a 내지 340c)가 수용되고, 가스 공급 영역(222)과 원통부 사이의 경계를 구성하는 경계벽(254)에는 가스 공급 슬릿(235)이 형성된다. 경계벽(254)은 원통부의 일측벽이며, 그 외측면은 가스 공급 영역(222)에 면하는 측면 부분을 구성한다. 이후, 예컨대 노즐부(340a 내지 340c)를 총칭하여 노즐부(340)라고 기재하는 경우가 있고, 또한 다른 부호에 대해서도 이와 같이 총칭하여 기재하는 경우가 있다.
가스 배기 영역(224)은, 철부가 원통부의 가스 공급 영역(222)이 형성된 일측벽에 대향하는 타측벽의 외측에 돌출하도록 형성된다. 가스 배기 영역(224)은 가스 공급 영역(222) 사이에 처리실(201)의 웨이퍼(200)가 수용되는 영역을 개재하도록 배치된다. 가스 배기 영역(224)의 외벽은 원통부의 외벽의 일부로서의 타측벽의 외측에 원통부의 외경보다 크고, 원통부와 동심원 형상으로 형성된다. 가스 배기 영역(224)은 하단부와 상단부가 평탄 형상의 벽체로 폐색된 천장이 있는 형상으로 구성된다. 가스 배기 영역(224)과 원통부 사이의 경계를 구성하는 벽체인 경계벽(252)에는 가스 배기 슬릿(236)이 형성된다. 경계벽(252)은 원통부의 일부이며, 그 외측면은 가스 배기 영역(224)에 면하는 측면 부분을 구성한다.
반응관(203)의 하단측은 노구부로서의 원통체 형상의 매니폴드(226)에 의해 지지된다. 매니폴드(226)는 예컨대 니켈 합금이나 스텐레스 등의 금속으로 형성되거나, 또는 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료로 형성된다. 매니폴드(226)의 상단부에는 플랜지가 형성되고, 이 플랜지 상에 반응관(203)의 하단부를 설치하여 지지한다. 이 플랜지와 반응관(203)의 하단부 사이에는 O링 등의 기밀 부재(220)를 개재시켜서 반응관(203) 내를 기밀 상태로 한다.
매니폴드(226)의 하단의 개구부에는 씰 캡(219)이 O링 등의 기밀 부재(220)를 개재하여 기밀하게 설치되고, 반응관(203)의 하단의 개구부측, 즉 매니폴드(226)의 개구부를 기밀하게 폐색하도록 이루어진다. 씰 캡(219)은 예컨대 니켈 합금이나 스텐레스 등의 금속으로 형성되고, 원반 형상으로 형성된다.
씰 캡(219) 상에는 보트(217)를 지지하는 보트 지지대(218)가 설치된다. 보트 지지대(218)는 예컨대 석영이나 탄화규소 등의 내열성 재료로 구성되고 단열부로서 기능하는 것과 함께 보트(217)를 지지하는 지지체로 이루어진다. 보트(217)는 보트 지지대(218)에 고정된 저판(底板)과 그 상방(上方)에 배치된 천판(天板)을 포함하고, 저판과 천판 사이에 복수 개의 지주가 가설(架設)된 구성을 포함한다. 보트(217)는 예컨대 석영이나 탄화규소 등의 내열성 재료로 구성된다.
씰 캡(219)의 처리실(201)과 반대측에는 보트(217)를 회전시키는 보트 회전 기구(267)가 설치된다. 보트 회전 기구(267)의 회전축은 씰 캡을 관통하여 보트 지지대(218)에 접속되고, 보트 회전 기구(267)에 의해 보트 지지대(218)를 개재하여 보트(217)를 회전시키는 것에 의해 웨이퍼(200)를 회전시킨다. 씰 캡(219)은 반응관(203)의 외부에 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되고, 이에 의해 보트(217)를 처리실(201) 내에 대하여 반입반출하는 것이 가능하도록 이루어진다.
매니폴드(226)에는 노즐부(340)를 지지하는 노즐 지지부(350)가 L자 형상에 굴곡되어 매니폴드(226)를 관통하도록 설치된다. 여기서는 3개의 노즐 지지부(350a 내지 350c)가 설치된다. 노즐 지지부(350)는 예컨대 니켈 합금이나 스텐레스 등의 재료로 형성된다. 노즐 지지부(350)의 반응관(203)측의 일단(一端)에는 반응관(203) 내에 가스를 공급하는 가스 공급관(310)이 차단 밸브로서의 차단부(101)를 개재하여 각각 접속된다. 차단부(101)는 차단 밸브(101)라고도 지칭된다.
또한 노즐 지지부(350a 내지 350c)의 타단(他端)에는 노즐부(340a 내지 340c)가 각각 접속된다. 노즐부(340)는 예컨대 석영 또는 SiC 등의 내열성 재료로 형성된다. 또한 노즐 지지부(350)와 노즐부(340)로 노즐이 구성되고, 이 노즐과 가스 공급관(310)의 경계에 설치된 차단 밸브(101)가 매니폴드(226)의 근방에 고정된다. 또한 노즐 형상은 노즐부(340)와 노즐 지지부(350)가 일체화된 구성이어도 좋다.
노즐부(340)는 가스 공급 영역(222) 내의 하부로부터 상부에 그 길이 방향(상하 방향)을 따라 설치된다. 노즐부(340a, 340c)는 I자형의 롱 노즐로서 각각 구성된다. 노즐부(340a, 340c)의 측면에는 가스를 공급하는 가스 공급공(234a, 234c)이 각각 설치된다. 가스 공급공(234a, 234c)은 각각 반응관(203)의 중심을 향하도록 개구된다. 노즐부(340b)는 I자형의 단관 노즐(쇼트 노즐)로서 구성된다. 노즐부(340b)는 개구부(234b)를 포함하고, 노즐부(340b)의 선단(先端)은 개방된다. 가스 공급 영역(222)에는 3개의 노즐부(340a 내지 340c)가 설치되고, 처리실(201) 내에 복수 종류의 가스를 공급할 수 있도록 구성된다. 또한 노즐부(340)의 형상은 예컨대 I자형이 아니라 L자형이어도 좋고, 형상에 한정되지 않는다.
이상의 처리로(202)에서는 뱃치(batch) 처리되는 복수 매의 웨이퍼(200)가 보트(217)에 대하여 다단으로 적재된 상태에서 보트(217)가 보트 지지대(218)로 지지되면서 처리실(201)에 삽입되고, 히터(207)가 처리실(201)에 삽입된 웨이퍼(200)를 소정의 온도로 가열하도록 이루어진다.
가스 공급관(310a)에는 상류 방향부터 순서대로 제1 처리 가스(제1 가스)를 공급하는 제1 처리 가스 공급원, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(320a) 및 개폐 밸브인 밸브(330a)가 각각 설치된다. 또한 차단 밸브(101a)는 가스 공급관(310a)과 노즐 지지부(350a) 사이의 경계에 설치되고, 매니폴드(226)의 외측에 근접한 상태로 설치된다. 예컨대 매니폴드(226)와 차단 밸브(101a) 사이에 플렉시블 배관을 설치하지 않고, 매니폴드(226)와 차단 밸브(101a)가 일체적으로 설치된다. 또한 후술하는 배기부(102a)가 차단 밸브(101a)와 인접하도록 설치되어도 좋다.
가스 공급관(310b)에는 상류 방향부터 순서대로 제2 처리 가스(제2 가스)를 공급하는 제2 처리 가스 공급원, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(320b) 및 개폐 밸브인 밸브(330b)가 각각 설치된다. 또한 차단 밸브(101b)는 가스 공급관(310b)과 노즐 지지부(350b) 사이의 경계에 설치되고, 매니폴드(226)의 외측에 근접한 상태로 설치된다. 예컨대 매니폴드(226)와 차단 밸브(101b) 사이에 플렉시블 배관을 설치하지 않고, 매니폴드(226)와 차단 밸브(101b)가 일체적으로 설치된다. 또한 후술하는 배기부(102b)가 차단 밸브(101b)와 인접하도록 설치되어도 좋다.
가스 공급관(310c)에는 상류 방향부터 순서대로 제3 처리 가스(제3 가스)를 공급하는 제3 처리 가스 공급원, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(320c) 및 개폐 밸브인 밸브(330c)가 각각 설치된다. 또한 차단 밸브(101c)는 가스 공급관(310c)과 노즐 지지부(350c) 사이의 경계에 설치되고, 매니폴드(226)의 외측에 근접한 상태로 설치된다. 예컨대 매니폴드(226)와 차단 밸브(101c) 사이에 플렉시블 배관을 설치하지 않고, 매니폴드(226)와 차단 밸브(101c)가 일체적으로 설치된다. 또한 후술하는 배기부(102c)가 차단 밸브(101c)와 인접하도록 설치되어도 좋다.
가스 공급관(310a 내지 310c)의 밸브(330a 내지 330c)보다 하류측에 불활성 가스를 공급하는 가스 공급관(310d 내지 310f)이 각각 접속된다. 가스 공급관(310d 내지 310f)에는 상류 방향부터 순서대로 유량 제어기(유량 제어부)인 MFC(320d 내지 320f) 및 개폐 밸브인 밸브(330d 내지 330f)가 각각 설치된다.
주로 가스 공급관(310a), MFC(320a), 밸브(330a)에 의해 제1 처리 가스 공급계가 구성된다. 또한 제1 처리 가스 공급원, 노즐 지지부(350a), 노즐부(340a), 차단 밸브(101a)를 제1 처리 가스 공급계에 포함시켜서 생각하고, 제1 처리 가스 공급계는 가스 공급관(310a), MFC(320a), 밸브(330a)에 의해 구성되는 제1 배관부와, 적어도 제1 차단부(101a)를 포함하는 제1 경계부와, 노즐 지지부(350a)와 노즐부(340a)로 적어도 구성되는 제1 노즐을 포함하는 구성으로 해도 좋다. 예컨대 본 실시 형태에서 제1 처리 가스 공급계는 제1 처리 가스로서 반응 가스가 공급되도록 구성된다.
주로 가스 공급관(310b), MFC(320b), 밸브(330b)에 의해 제2 처리 가스 공급계가 구성된다. 또한 제2 처리 가스 공급원, 노즐 지지부(350b), 노즐부(340b), 차단 밸브(101b)를 제2 처리 가스 공급계에 포함시켜서 생각하고, 제2 처리 가스 공급계는 가스 공급관(310b), MFC(320b), 밸브(330b)에 의해 구성되는 제2 배관부와, 적어도 제2 차단부(101b)를 포함하는 제2 경계부와, 노즐 지지부(350b)와 노즐부(340b)에서 적어도 구성되는 제2 노즐을 포함하는 구성으로 해도 좋다. 단, 노즐부(340b)는 선단이 개방된 쇼트 노즐이기 때문에 노즐 지지부(350b)와 노즐부(340b)가 일체로 이루어진 형상이 바람직하다. 예컨대 본 실시 형태에서 제2 처리 가스 공급계는 제2 처리 가스로서 원료 가스가 공급되도록 구성된다.
주로 가스 공급관(310c), MFC(320c), 밸브(330c)에 의해 제3 처리 가스 공급계가 구성된다. 또한 제3 처리 가스 공급원, 노즐 지지부(350c), 노즐부(340c), 차단 밸브(101c)를 제3 처리 가스 공급계에 포함시켜서 생각하고, 제3 처리 가스 공급계는 가스 공급관(310c), MFC(320c), 밸브(330c)에 의해 구성되는 제3 배관부와, 적어도 제3 차단부(101c)를 포함하는 제3 경계부와, 노즐 지지부(350c)와 노즐부(340c)로 적어도 구성되는 제3 노즐을 포함하는 구성으로 해도 좋다. 예컨대 본 실시 형태에서 제3 처리 가스 공급계는 제3 처리 가스로서 반응 가스 또는 기판 처리에 기여하지 않는 불활성 가스가 공급되도록 구성된다. 또한 이들 처리 가스 공급계의 구성 및 차단 밸브(101)의 상세는 후술한다.
또한 본 명세서에서 처리 가스라는 단어를 사용한 경우는 제1 처리 가스만을 포함하는 경우, 제2 처리 가스만을 포함하는 경우, 제3 처리 가스만을 포함하는 경우, 또는 그것들을 모두 포함하는 경우가 있다. 또한 처리 가스 공급계라는 단어를 사용한 경우는 제1 처리 가스 공급계만을 포함하는 경우, 제2 처리 가스 공급계만을 포함하는 경우, 제3 처리 가스 공급계만을 포함하는 경우, 또는 그것들을 모두 포함하는 경우가 있다. 처리 가스 공급계는 단순히 가스 공급계라고도 지칭될 수 있다.
가스 배기 영역(224)의 하부에는 배기구(230)가 설치된다. 배기구(230)는 배기관(232)에 접속된다. 배기관(232)에는 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(244)를 개재하여 진공 배기 장치로서의 진공 펌프(246)가 접속되고, 처리실(201) 내의 압력이 소정의 압력(진공도)이 되도록 배기하도록 구성된다. 또한 APC 밸브(244)는 밸브를 개폐하여 처리실(201) 내의 진공 배기·진공 배기 정지를 할 수 있고, 또한 밸브 개도(開度)를 조절해서 컨덕턴스를 조정하여 처리실(201) 내의 압력을 조정할 수 있도록 이루어진 개폐 밸브다. 주로 배기관(232), APC 밸브(244), 압력 센서(245)에 의해 배기계가 구성된다. 또한 진공 펌프(246)도 배기계에 포함시켜도 좋다.
이와 같이 후술하는 컨트롤러(280)는 후술하는 프로세스 레시피를 실행하여, 전술한 바와 같이 (A) 반송계[보트 엘리베이터(115), 보트 회전 기구(267) 등], (B) 온도 제어계[히터(207) 등], (C) 처리 가스 공급계[차단부(101), MFC(320), 밸브(330) 등], (D) 가스 배기계[APC 밸브(244), 압력 센서(245) 등]를 제어하도록 구성된다.
또한 도 2에 도시하는 바와 같이 반응관(203)의 외측에 온도 검출기로서의 온도 센서(1)(이후, 열전대라고도 부른다.)가 설치된다. 온도 센서(1)에 의해 검출된 온도 정보에 기초하여 히터(207)로의 공급 전력이 조정되고, 처리실(201)의 온도가 원하는 온도 분포가 되도록 구성된다.
또한 도 2에 도시하는 바와 같이 열전대(1)가 반응관(203)의 외측에 보호 부재로서의 커버(2)로부터 설치된다. 커버(2)는 석영부재로 구성된다. 본 실시 형태에서 열전대(1)가 처리실(201)의 외측에 설치되고, 가열부로서의 히터(207)와 대향하도록 설치된다. 예컨대 열전대(1)는 반응관(203)과 커버(2)에 의해 고정된다.
도 2에서는 열전대(1)가 1개만 도시되지만, 열전대(1)는 복수 개 설치해도 좋다. 또한 열전대(1)와 반응관(203) 사이에 완충 부재를 설치하는 것이 가능하다. 또한 도 2의 열전대(1)는 반응관의 측벽에 설치되지만, 열전대(1)는 반응관(203)의 천장부에 설치해도 좋다.
다음으로 도 5 및 도 6은 본 실시 형태에서의 처리 가스 공급계를 설명하기 위한 모식도다. 이 도 5 및 도 6은 가스 공급관(310)과 경계부[차단 밸브(101)]와 노즐 사이의 관계의 설명을 보다 알기 쉽게, 도 1에서의 처리 가스 공급계를 2계통으로 하여 도시한 도면이다. 그리고 컨트롤러(280)는 후술하는 프로세스 레시피를 실행하여, (C) 처리 가스 공급계[차단부(101), 배기부(102), 절체부(103) 등]를 제어하도록 구성된다. 또한 이 절체부(切替部)(절체 밸브)의 상류측은 처리 가스 공급원, MFC(320), 밸브(330) 등을 포함하는 처리 가스 공급계가 설치되지만, 도 5 및 도 6에서는 생략된다.배기 밸브(102)라고도 지칭될 수 있다.
또한 가스 박스 내에서 가장 노구부와 가까운 밸브(절체 밸브)는 기판 처리에 기여하는 가스와 클리닝 가스를 절체하는 밸브다. 이 절체부(절체 밸브)의 상류측은 미도시의 처리 가스 공급계 및 미도시의 클리닝 가스 공급계가 설치된다.
본 실시 형태에서의 가스 공급 시스템은 노구부(226)로부터 반응관(203) 내까지 상승한 노즐과, 적어도 차단부(101)를 포함하는 경계부와, 기판 처리에 기여하는 가스와 클리닝 가스를 절체하는 절체용 밸브(절체 밸브)(103)로 구성되는 절체부가 설치되는 가스 공급관(310)을 포함하고, 또한 경계부는 가스 공급관(310)에 접속되고, 절체부와 차단부(101) 사이의 가스 공급관(310)을 포함하는 공급 배관을 배기하는 후술하는 배기부(102)를 설치하는 것이 바람직하다.
바람직하게는 노구부(226)로부터 반응관 내까지 상승한 노즐(제1 노즐 및 제2 노즐)과, 노즐(제1 노즐)의 상류측에 설치되는 가스 공급관(310a)을 포함하는 처리 가스 공급계(제1 가스 공급계)와, 노즐(제2 노즐)의 상류측에 설치되는 가스 공급관(310b)을 포함하는 처리 가스 공급계(제2 가스 공급계)와, 제1 노즐과 제1 가스 공급계의 경계에 설치되도록 구성되는 차단부(101a)(제1 차단부)와, 제2 노즐과 제2 가스 공급계의 경계에 설치되도록 구성되는 차단부(101b)(제2 차단부)와, 제1 차단부를 제1 가스 공급계와 연동시켜서 반응관 내에 제1 가스로서 반응 가스를 공급하고, 또한 제2 차단부를 제2 가스 공급계와 연동시켜서 반응관 내에 제2 가스로서 원료 가스를 공급하도록 구성된다. 이들 제1 가스 공급계, 제1 차단부, 제2 가스 공급계, 제2 차단부는 도 5 및 도 6에서 컨트롤러(280)에 의해 제어하도록 구성된다.
이러한 구성이므로, 차단 밸브(101)(경계부)을 설치하고, 차단 밸브(101a)는 열림(開), 차단 밸브(101b)는 닫힘(閉)으로 하는 것에 의해, 가스 공급관(310a)으로부터 제1 노즐을 개재하여 제1 처리 가스를 공급할 때 가스 공급관(310b)과 반응관(203) 내를 차단하여 제1 처리 가스의 가스 공급관(310b)으로의 역(逆)확산을 억제할 수 있는 한편, 차단 밸브(101b)는 열림, 차단 밸브(101a)는 닫힘으로 하는 것에 의해, 가스 공급관(310b)으로부터 제2 노즐을 개재하여 제2 처리 가스를 공급할 때 가스 공급관(310a)과 반응관(203) 내를 차단하여 제2 처리 가스의 가스 공급관(310a)으로의 역확산을 억제할 수 있다.
특히 본 실시 형태와 같이 제2 처리 가스로서 원료 가스를 사용하는 경우, 차단 밸브(101b)를 개방하여 제2 노즐로부터 원료 가스를 반응관(203) 내에 공급하는 동안 차단 밸브(101a)는 닫힘으로 하는 것에 의해, 가스 공급관(310a)과 반응관(203) 내를 차단하여 원료 가스의 가스 공급관(310a)으로의 역확산을 완전히 억제할 수 있으므로 가스 공급 배관(310)에서 발생하는 부생성물에 기인하는 파티클을 저감할 수 있다.
또한 도 5에 긴 쇄선으로 도시되는 바와 같이 이 노구부(226)를 둘러싸도록 노구부(226)의 국소 배기를 실시하기 위한 노구 박스를 설치해도 좋다. 노구 박스는 노구부(226)의 가스 리크 및 열 축적 대책에 이용된다. 노구 박스 내는 50℃ 내지 200℃의 고온 분위기가 된다. 일반적으로 밸브의 내열 온도가 150℃ 정도이기 때문에 내열 사양의 밸브(내열 온도 250℃ 내지 300℃)를 이용하는 것이 생각된다. 단, 내열 사양의 밸브의 동작 수명이 현저하게 저하하고, 교환 빈도가 짧아질 것으로 생각된다. 이 대책으로서 차단부(101)로의 냉각 기구를 추가하는 것에 의해 밸브의 내열 온도를 초과하는 경우에도 노구 박스 내에 밸브를 배치 가능하다.
또한 냉각 방법으로서는 후술하는 도 9에 도시하는 바와 같이 냉각수를 이용한 방열 방법[예컨대 냉각 블록으로 차단 밸브(101) 피복한 형태]이 생각된다. 또한 밸브의 내열 온도 이하로 할 수 있으면 되기 때문에 그 냉각 방법은 무엇이든지 상관없다. 예컨대, 냉각 기구(냉각부)는 차단부(101)에 냉각 유체를 공급할 수 있다.
또한 반응관(203) 내의 가스를 배출하는 배기계를 구비하고, 제어부(280)는 반응관(203) 내의 기판에 대한 반응 가스 또는 원료 가스의 공급이 종료되면, 제1 차단부 및 제2 차단부를 폐색하여 반응관(203) 내로부터 미반응의 원료 가스 또는 반응 가스를 배출하도록 배기계를 제어하도록 구성된다. 반대로 제어부(280)는 제1 차단부 및 제2 차단부를 개방시킨 상태에서 반응관(203) 내에 공급하는 불활성 가스의 유량을 변화시켜서 사이클 퍼지하도록 제1 가스 공급계, 제1 차단부, 제2 가스 공급계, 제2 차단부, 배기계를 제어하도록 구성된다.
또한 도 5에 도시하는 바와 같이 절체부와 경계부 사이의 가스 공급관(310)은 형상을 구부리는 것이 가능한 플렉시블 배관을 포함하는 구성으로 이루어진다. 여기서 플렉시블 배관은 가스 공급관(310)에 설치되고, 예컨대 주름 형상[蛇腹狀]이어도 좋다. 그리고 차단부(101)는 노구부(226)의 측벽에 일체형(또는 직결(直結))으로 설치된다.
또한 도 5에서는 노구 박스 내에 플렉시블 배관이 설치된다. 단, 플렉시블 배관은 이 형태에 한정되지 않고, 절체부가 설치되는 가스 박스와 차단부(101)가 설치되는 노구 박스 사이의 배관에 설치된다. 가스 박스와 노구 박스 사이의 배관의 설치[引回]는 현지(예컨대 반도체 공장)에서 접속되기 때문에 장치 레이아웃, 공장 내의 설비, 장치의 설치 환경 등에 따라 크게 좌우되며, 배관(예컨대 금속제)과 배관(예컨대 금속제) 사이를 조정할 필요가 있다. 이 조정은 금속제의 배관으로는 불가능하며, 배관 형상을 변형하는 것이 가능한 플렉시블 배관이 필수적이다.
종래, 도 4에 도시하는 바와 같이 노구부(226)와 절체부 사이에 설치된 배관은 플렉시블 배관을 포함하는 구성이었지만, 본 실시 형태에서 노구부(226)와 차단부(101) 사이에 설치된 배관은 플렉시블 배관을 포함하지 않는다. 또한 도 6에서도 차단부(101)의 상류측에 가스 공급관(310)이 설치되지만, 가스 공급관(310) 내에 설치되는 플렉시블 배관은 생략된다.
도 6은 도 5에 도시하는 가스 공급 시스템의 차단부(101)에 인접하도록 배기부(102)를 더 설치한 구성을 도시하는 모식도다. 바꿔 말하면 배기부(102)를 제외하는 구성은 도 5와 마찬가지의 구성이므로 배기부(102)에 대해서 설명한다. 도 6에서는 차단부(101)의 상류측에서 공급 배관을 분기하는 배기부(102)가 설치되고, 상기 배기부(102)에 의해 배기관(232)에 벤트 배관이 접속되도록 구성된다. 이러한 구성이므로 반응관(203)을 개재하지 않고, 절체부로부터 차단부(101) 사이의 플렉시블 배관을 포함하는 가스 공급관(310)을 사이클 퍼지할 수 있다.
예컨대 후술하는 성막 시퀀스 내에서 가스 공급관(310b)으로부터 반응관(203) 내에 원료 가스를 공급할 때 가스 공급관(310a)을 사이클 퍼지 가능하도록 하고, 보다 가스 공급관(310a) 내의 청정도를 향상시킬 수 있다. 또한 후술하는 성막 시퀀스 종료 후의 기판 반송 공정에서 반응관(203) 내가 대기압 개방 상태가 되어도 가스 공급관(310) 내를 개별로 사이클 퍼지할 수 있고, 보다 가스 공급관(310) 내의 청정도를 향상시킬 수 있다.
또한 장치 구성 상 매니폴드(226) 주변의 공간이 작아 차단부(101) 및 배기부(102)의 설치가 곤란하지만, 경계부와 노구부(226)를 일체형으로 하는 것에 의해 공간을 절약한 배치를 실현할 수 있고, 또한 메인터넌스성의 향상을 달성할 수 있다.
다음으로 도 7 내지 도 10을 주로 이용하여 노구부(226)의 외측에 근접해서 설치되는 차단 밸브(101)의 구성에 대해서 구체적으로 서술한다.
본 실시 형태에서의 노구부(226)와 차단부(101) 사이의 구성은 도 7과 같이 노구부(226)와 차단 밸브(101)가 직결된 구성(외관에서 배관이 포함되는 것을 알 수 있는 구성)이어도 좋고, 도 8과 같이 노구부(226)와 차단 밸브(101a, 101b)가 일체형의 구성(외관에서는 배관을 설치하지 않은 구성)이어도 좋다. 또한 도 7 및 도 8은 차단부(101)가 부가된 노구부(226)를 도시하는 도면이기도 하다.
도시되지 않지만 배기부(102)가 차단부(101)에 인접된 상태에서 노구부(226)에 일체적으로 구성할 수 있다. 또한 도 9에 도시하는 바와 같이 차단부(101)가 냉각 기구에 부착되도록 구성되어도 개의치 않는다.
또한 도 4의 노구부(226)와 절체부 사이에 설치된 배관의 길이(배관 길이)와, 본 실시 형태에서의 노구부(226)와 차단부(101) 사이에 설치된 배관의 길이(배관 길이)를 비교한다. 도 7의 실시예의 배관 길이를 100mm로 가정하고, 그 배관 길이의 길이 비율이 1/5 내지 1/30 정도, 또한 도 8의 실시예에서는 도시되지 않지만 접속부를 배관에 포함시키면 대강 50mm로 가정되며, 그 배관 길이의 길이 비율이 1/10 내지 1/60 정도다. 또한 이상적(理想的)인 배관 길이는 제로(배관을 설치하지 않는 구성)이다.
도 8에서 노구부(226)에 차단부(101)가 일체적으로 설치된 구성, 즉 노구부(226)의 측벽에 배관을 설치하지 않도록 설치되는 차단부(101)의 상세를 도 10에 도시한다. 또한 도 10에서 차단부(101)가 도시하지 않지만 마찬가지의 구성으로 복수 개가 노구부(226)에 설치된다.
차단부(101)는 일단에서는 노구부(226)의 내측에 배치되는 노즐[또는 노즐 지지부(350)]과 접속되고, 타단에서는 노구부(226)의 외측에서 배관(본 실시 형태에서는 가스 공급관)과 접속된다. 도 10은 차단부(101)가 열림 상태일 때의 도면이다. 도 10에 가스의 유로가 가스 공급관(310)으로부터 차단부(101) 내를 개재하여 노즐부(340)까지 연통하도록 구성된다.
또한 가스 공급관(310)으로의 처리 가스 역확산의 영향을 최저한으로 하기 위해서는 노즐 지지부(350)와 차단부(101) 사이에 배관을 설치하지 않는 것이 이상적이지만, 차단부(101)의 구성 상 무리이기 때문에, 도 10과 같이 차단부(101)와 노구부(226) 사이를 일체 구조로 하는 것이 바람직하다.
도 3에 도시하는 바와 같이 제어부(제어 수단)인 컨트롤러(280)는 CPU(Central Processing Unit)(121a), RAM(Random Access Memory)(121b), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성된다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는 내부 버스(121e)를 개재하여 CPU(121a)과 데이터 교환 가능하도록 구성된다. 컨트롤러(280)에는 예컨대 터치패널 등으로서 구성된 입출력 장치(122)가 접속된다.
기억 장치(121c)는 예컨대 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성된다. 기억 장치(121c) 내에는 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 기판 처리의 순서나 조건 등이 기재된 프로세스 레시피로서의 후술하는 성막 시퀀스 등이 판독 가능하도록 격납된다. 프로세스 레시피는 후술하는 기판 처리 공정에서의 각 순서를 컨트롤러(280)에 실행시켜 소정의 결과를 얻을 수 있도록 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여 단순히 프로그램이라고도 부른다. 본 명세서에서 프로그램이라는 단어를 사용한 경우는 프로세스 레시피 단체(單體)만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그 양방(兩方)을 포함하는 경우가 있다. RAM(121b)은 CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 보지되는 메모리 영역(work area)으로서 구성된다.
I/O 포트(121d)는 전술한 MFC(320a 내지 320f), 밸브(330a 내지 330f), 차단 밸브(101a 내지 101c), 배기 밸브(102a 내지 102c), 압력 센서(245), APC 밸브(244), 진공 펌프(246), 히터(207), 온도 센서(열전대)(1), 보트 회전 기구(267), 보트 엘리베이터(115) 등에 접속된다.
CPU(121a)은 기억 장치(121c)로부터 제어 프로그램을 판독하여 실행하는 것과 함께, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라 기억 장치(121c)로부터 프로세스 레시피를 판독하도록 구성된다. CPU(121a)은 판독한 제어 프로그램이나 프로세스 레시피의 내용을 따르도록 MFC(320a 내지 320f)에 의한 각종 가스의 유량 조정 동작, 밸브(330a 내지 330f)의 개폐 동작, 차단 밸브(101a내지 101c) 의 개폐 동작, APC 밸브(244)의 개폐 동작 및 압력 센서(245)에 기초하는 APC 밸브(244)에 의한 압력 조정 동작, 진공 펌프(246)의 기동 및 정지, 온도 센서(1)에 기초하는 히터(207)의 온도 조정 동작, 보트 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작 등을 제어하도록 구성된다.
컨트롤러(280)는 외부 기억 장치(123)[예컨대 자기(磁氣) 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광(光) 디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리]에 격납된 전술한 프로그램을 실행할 수 있다. 한편, 기억 장치(121c)나 외부 기억 장치(123)는 컴퓨터 판독 가능한 기록 매체로서 구성할 수 있다. 이하, 이들을 총칭하여 단순히 기록 매체라고도 부른다. 본 명세서에서 기록 매체라는 단어를 사용한 경우는 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는 그 양방을 포함하는 경우가 있다. 또한 컨트롤러(280)로의 프로그램의 제공은 외부 기억 장치(123)를 이용하지 않고, 인터넷이나 전용 회선 등의 통신 수단을 이용하여 수행해도 좋다.
다음으로 본 발명과 관련된 기판 처리 장치의 동작 개요에 대해서 설명한다. 또한 기판 처리 장치는 컨트롤러(280)에 의해 제어된다.
소정 매수의 웨이퍼(200)가 재치된 보트(217)가 반응관(203) 내에 삽입되고, 씰 캡(219)에 의해 반응관(203)이 기밀하게 폐색된다. 기밀하게 폐색된 반응관(203) 내에서는 웨이퍼(200)가 가열되어 소정의 온도로 유지되는 것과 함께 처리 가스가 반응관(203) 내에 공급되고 웨이퍼(200)에 가열 등의 열처리가 이루어진다.
열처리로서 예컨대 본 실시 형태에서의 성막 처리에서는 프로세스 레시피로서 도 11에 도시하는 성막 시퀀스를, 처리실(201) 내의 웨이퍼(200)에 대하여 HCDS 가스를 공급하는 공정과, 처리실(201) 내로부터 HCDS 가스(잔류 가스)를 제거하는 공정과, 처리실(201) 내의 웨이퍼(200)에 대하여 NH3 가스를 공급하는 공정과, 처리실(201) 내에서 NH3 가스(잔류 가스)를 제거하는 공정을 비동시에 수행하는 사이클을 소정 횟수(1회 이상) 수행하는 것에 의해 웨이퍼(200) 상에 SiN막을 형성한다.
또한 본 명세서에서 「기판」이라는 단어를 사용한 경우는 「웨이퍼」라는 단어를 사용한 경우와 같은 의미다.
(웨이퍼 차지 및 보트 로드)
복수 매의 웨이퍼(200)가 보트(217)에 장전(裝塡)(웨이퍼 차지)되면, 보트(217)는 보트 엘리베이터에 의해 처리실(201) 내에 반입(보트 로드)된다. 이때 씰 캡(219)은 O링을 개재하여 반응관(203)의 하단을 기밀하게 폐색(씰)한 상태가 된다.
(압력 조정 및 온도 조정)
처리실(201) 내, 즉 웨이퍼(200)가 존재하는 공간이 소정의 압력(진공도)이 되도록, 진공 펌프(246)에 의해 진공으로 배기된다. 이때 처리실(201) 내의 압력은 압력 센서(245)로 측정되고, 이 측정된 압력 정보에 기초하여 APC 밸브(244)가 피드백 제어된다. 진공 펌프(246)는 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안은 상시 작동시킨 상태를 유지한다.
또한 처리실(201) 내의 웨이퍼(200)가 소정의 온도가 되도록 히터(207)에 의해 가열된다. 이때 처리실(201)이 소정의 온도 분포가 되도록 온도 센서가 검출한 온도 정보에 기초하여 히터(207)로의 통전 상태가 피드백 제어된다. 히터(207)에 의한 처리실(201) 내의 가열은 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안은 계속해서 수행된다.
또한 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전을 시작한다. 회전 기구(267)에 의해 보트(217)가 회전되는 것에 의해 웨이퍼(200)가 회전된다. 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전은 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안은 계속해서 수행된다.
(성막 처리)
처리실(201)의 온도가 미리 설정된 처리 온도로 안정되면, 이하 다음 2개의 스텝, 즉 스텝 1 내지 스텝 2를 순차 실행한다.
[스텝 1]
이 스텝에서는 처리실(201) 내의 웨이퍼(200)에 대하여, 원료 가스(HCDS 가스)를 공급한다. 이 스텝 1은 프리퍼지 공정과, 원료 가스 공급 공정과, 원료 가스 배기 공정과, 퍼지 공정을 적어도 포함한다. 이하, 각각의 공정에 대해서 설명한다.
(프리퍼지 공정)
우선, 밸브(330b, 330e)를 열고 가스 공급관(310b) 내에 HCDS 가스를 흘린다. 단, 이 공정에서는 차단 밸브(101b)를 닫고 처리실(201)에는 공급하지 않는다. 이때 동시에 밸브(330d 및 330f)를 열고 가스 공급관(310a 및 310c) 내에 N2 가스를 흘린다. 또한 차단 밸브(101a 및 101c)를 열고 N2 가스를 MFC에 의해 유량 조정된 소정의 유량으로 처리실(201) 내에 공급하고 배기관(232)으로부터 배기해도 좋다. 여기서 배기 밸브(102b)를 차단 밸브(101b)에 인접해서 설치하고, 배기 밸브(102b)를 열고 HCDS 가스를 가스 공급관(310b)으로부터 배기 밸브(102b)를 개재하여 배기관(232)에 배기할 수 있도록 구성하는 것이 바람직하다.
(원료 가스 공급 공정)
계속해서 밸브(330b, 330e)를 연 상태에서 차단 밸브(101b)를 열고 처리실(201) 내에 HCDS 가스를 흘린다. 이때 HCDS 가스는 MFC에 의해 유량 조정되고, 노즐부(340b)를 개재하여 처리실(201) 내에 공급되고 배기관(232)으로부터 배기된다. 한편, 차단 밸브(101a), 차단 밸브(101c)는 닫힌다. 이에 의해 가스 공급관(310a, 310c)에 HCDS 가스가 역확산하는 것을 억제할 수 있다.
(원료 가스 배기 공정)
다음으로 계속 차단 밸브(101a 및 101c)를 닫은 상태에서 차단 밸브(101b)를 닫는다. 이때 APC 밸브(244)는 연 상태로 하여 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하고, 처리실(201) 내에 잔류하는 미반응 또는 제1층으로서의 실리콘(Si)함유층의 형성에 기여한 후의 HCDS 가스를 처리실(201) 내로부터 배출한다.
그리고 이 원료 가스 공급 공정과 원료 가스 배기 공정을 순차(본 실시예에서는 3회) 실행한다. 이때 웨이퍼(200)의 최표면(最表面) 상에 제1층이 형성된다. 또한 원료 공급 공정과 원료 배기 공정을 1사이클로서 복수 사이클 실행하는 것이 바람직하다. 본 실시예에서는 HCDS 가스를 처리실(201) 내에 공급하는 노즐의 선단이 개방된 단관 노즐로 구성되고, 가스 농도 분포의 균일화를 도모하기 위해서 이러한 사이클릭한 공급(사이클 플로우)으로 한다. 단, 가스의 공급의 방법은 노즐 형상에 따라 적절히 설정된다.
(애프터 퍼지 공정)
제1층이 형성된 후, 밸브(330b)를 닫고 HCDS 가스의 공급을 정지한다. 이때 밸브(330d 내지 330f) 및 차단 밸브(101a 내지 101c)를 열고 N2 가스의 처리실(201) 내로의 공급을 재개한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 가스를 처리실(201) 내로부터 배출하는 효과를 높일 수 있다.
(가스 퍼지 공정)
애프터 퍼지 공정에 이어서, 밸브(330d 내지 330f) 및 차단 밸브(101a 내지 101c)를 연 상태로 N2 가스의 처리실(201) 내로의 공급을 계속하고, 소정 주기로 가스 유량을 다르게 한다. 예컨대 유량A와 유량B(유량A>유량B)의 절체를 미리 정해진 횟수 수행한다. 본 실시 형태에서는 2회 수행하도록 제어된다.
본 실시 형태에서는 반응 가스를 공급하기 전에 확실하게 처리실(201) 내에 잔류하는 가스를 처리실(201) 내로부터 배출하는 가스 퍼지 공정을 스텝 1에 포함시키지만, 성막 시퀀스를 도 13에 도시하도록 스텝 1 내지 스텝 4로 분할해도 좋다. 도 13에 대해서는 후술한다.
[스텝 2]
스텝 1이 종료된 후, 처리실(201) 내의 웨이퍼(200), 즉 웨이퍼(200) 상에 형성된 제1층에 대하여 반응 가스로서 NH3 가스를 공급한다. NH3 가스는 열로 활성화되어 웨이퍼(200)에 대하여 공급된다.
이 스텝에서는 밸브(330a, 330d, 101a)의 개폐 제어를 스텝 1에서의 밸브(330b, 330e, 101b)의 개폐 제어와 마찬가지의 순서로 수행한다. NH3 가스는 MFC에 의해 유량 조정되고, 노즐부(340a)를 개재하여 처리실(201) 내에 공급되고 배기관(232)으로부터 배기된다. 이때 웨이퍼(200)에 대하여 NH3 가스가 공급된다. 웨이퍼(200)에 대하여 공급된 NH3 가스는 스텝 1에서 웨이퍼(200) 상에 형성된 제1층, 즉 Si 함유층의 적어도 일부와 반응한다. 이에 의해 제1층은 논 플라즈마로 열적으로 질화되고, Si 및 N을 포함하는 제2층, 즉 실리콘 질화층(SiN층)으로 변화된다(개질된다). 또한 이때 플라즈마 여기시킨 NH3 가스를 웨이퍼(200)에 대하여 공급하여 제1층을 플라즈마 질화하는 것에 의해 제1층을 제2층(SiN층)으로 변화시켜도 좋다.
제2층이 형성된 후, 밸브(330a, 330d)를 닫고 NH3 가스의 공급을 정지한다. 그리고 스텝 1과 마찬가지의 처리 순서에 의해 처리실(201) 내에 잔류하는 미반응 또는 제2층의 형성에 기여한 후의 NH3 가스나 반응 부생성물을 처리실(201) 내로부터 배출한다.
(가스 퍼지 공정)
반응 가스를 공급한 후, 확실하게 처리실(201) 내에 잔류하는 가스를 처리실(201) 내로부터 배출하는 공정을 스텝 2에 포함시키는 경우가 있다.
순서는 스텝 1 때와 마찬가지로 밸브(330d 내지 330f) 및 차단 밸브(101a 내지 101c)를 열고 N2 가스의 처리실(201) 내로의 공급을 계속하여 소정 주기로 유량을 다르게 한다. 예컨대 유량A와 유량B(유량A>유량B)로 절체를 미리 결정된 횟수 수행한다. 본 실시 형태에서는 4회 수행하도록 제어된다.
(애프터 퍼지 공정)
소정 횟수 종료된 후, 밸브(330d 내지 330f) 및 차단 밸브(101a 내지 101c)를 연 상태에서 소정 유량으로 조정한 N2 가스를 소정 시간 처리실(201) 내에 공급하여 퍼지 공정을 종료한다. 이것으로 성막 시퀀스를 종료한다.
(소정 횟수 실시)
전술한 2개의 스텝(도 11에 도시하는 성막 시퀀스)을 비동시에, 즉 동기시키지 않고 수행하는 사이클을 소정 횟수(n회) 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 SiN막을 형성할 수 있다. 또한 전술한 사이클은 복수 회 반복하는 것이 바람직하다. 즉 전술한 사이클을 1회 수행할 때 형성되는 제2층(SiN층)의 두께를 소정의 막 두께보다 작게 하고, 제2층(SiN층)을 적층하는 것에 의해 형성되는 SiN막의 막 두께가 소정의 막 두께가 될 때까지 전술한 사이클을 복수 회 반복하는 것이 바람직하다.
(퍼지 및 대기압 복귀)
성막 처리가 완료된 후, 밸브(310e 및 310f)를 열고 가스 공급관(310b 및 310c)으로부터 N2 가스를 처리실(201) 내에 공급하고 배기관(232)으로부터 배기한다. 이에 의해 처리실(201) 내가 퍼지되고, 처리실(201) 내에 잔류하는 가스나 반응 부생성물이 처리실(201) 내로부터 제거된다(퍼지). 그 후 처리실(201) 내의 분위기가 불활성 가스로 치환되고(불활성 가스 치환), 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).
(보트 언로드 및 웨이퍼 디스차지)
보트 엘리베이터(115)에 의해 씰 캡(219)이 하강되어 반응관(203)의 하단이 개구된다. 그리고 처리 완료된 웨이퍼(200)가 보트(217)에 지지된 상태에서 반응관(203)의 하단으로부터 반응관(203)의 외부에 반출된다(보트 언로드). 처리 완료된 웨이퍼(200)는 보트(217)로부터 취출(取出)된다(웨이퍼 디스차지).
본 실시 형태에 따르면, 노즐과 가스 공급계의 경계에 설치되는 차단 밸브(101)를 개폐시키면서 HCDS 가스를 반응관(203) 내에 공급할 수 있으므로, HCDS 가스를 공급하는 처리 가스 공급계 이외의 처리 가스 공급계에 접속되는 차단 밸브(101)를 닫는 것에 의해 HCDS 가스가 다른 처리 가스 공급계에 확산되지 않는다. 따라서 가스 공급관(310) 등의 배관 내의 부생성물에 기인한 파티클을 저감할 수 있다.
본 실시 형태에 따르면, HCDS 가스 이외의 처리 가스 공급계의 차단 밸브(101)를 닫는 것에 의해 HCDS 가스의 역확산을 억제할 수 있으므로, HCDS 가스를 공급하는 처리 가스 공급계를 구성하는 배관을 가열하는 범위를 대폭 축소할 수 있다.
또한 HCDS 가스를 공급하는 처리 가스 공급계 이외의 처리 가스 공급계도 마찬가지로 HCDS 가스가 확산되는 배관을 가열했지만, 가스에 따라서는 배관을 가열할 필요가 없고, 또한 배관 가열이 필요한 가스이어도 적절한 온도로 가열하면 좋고, 지금까지 HCDS의 액화 방지 때문에 고온 가열했던 범위를 축소할 수 있고, 히터 비용의 삭감으로 연결된다.
도 12은 도 1에 도시하는 처리 가스 공급계(3계통)에서 성막 가스 공급계 이외의 2계통에 의해 카운터 N2의 유량을 변화시킨 N2 가스 유량 의존성을 도시하는 도면이다.
이때의 처리 조건은 예컨대 다음과 같다.
웨이퍼(200)의 온도: 100℃ 내지 800℃(바람직하게는 400℃ 내지 750℃, 본 실시 형태에서는 630℃)
처리실 내 압력: 5Pa 내지 4,000Pa(바람직하게는 10Pa 내지 1,332Pa)
HCDS 가스 공급 유량: 1sccm 내지 2,000sccm(바람직하게는 50sccm 내지 500sccm)
NH3 가스 공급 유량: 100sccm 내지 30,000sccm
N2 가스 공급 유량: 1sccm 내지 50,000sccm
SiN막의 막 두께: 0.2nm 내지 100nm
도 12는 카운터 N2의 유무 및 유량에 대하여, 기판 처리 영역의 TOP, CNT, BTM의 각각의 위치에 배치된 웨이퍼(200)의 막 두께 평균값과 면내(面內) 균일성을 비교한 표이며, 또한 카운터 N2의 유무 및 유량에 의해 면간(面間) 평균값을 비교한 표다.
도 12에 도시하는 카운터 N2 없음이 본 실시 형태에 상당한다. 즉 본 실시 형태에 따르면, HCDS 가스나 NH3 가스가 공급되는 동안, 지금까지 카운터 N2을 처리실(201) 내에 공급하고 있던 가스 공급계에 설치된 차단 밸브(101)를 닫힘으로 해두는 것에 의해, 가스 공급관(310)측에 이들 HCDS 가스나 NH3 가스가 역확산하는 것을 방지할 수 있으므로 카운터 N2이 필요가 없다.
또한 카운터 N2이 없는 경우에 기판 처리 영역의 TOP, CNT, BTM의 각각의 위치에 배치된 웨이퍼(200)의 막 두께 평균값이 가장 높아진다. 이는 카운터 N2에 의해 희석되지 않아도 되므로 처리실(201) 내의 HCDS 가스나 NH3 가스의 농도가 높아지기 때문에다.
또한 카운터 N2이 없는 경우에 기판 처리 영역의 TOP, CNT, BTM의 각각의 위치에 배치된 웨이퍼(200)의 면내 균일성이 가장 낮은 값이 된다. 이는 카운터 N2의 영향을 받지 않고, 처리실(201) 내의 HCDS 가스나 NH3 가스가 웨이퍼(200) 표면에 균등하게(또는 전면에) 접촉할 수 있기 때문이다.
여기서 TOP는 기판 처리 영역에 배치된 웨이퍼(200) 중 최상부에 배치된 웨이퍼(200)이며, BTM은 기판 처리 영역에 배치된 웨이퍼(200) 중 최하부에 배치된 웨이퍼(200)이며, CNT는 기판 처리 영역에 배치된 웨이퍼(200) 중 중심부에 배치된 웨이퍼(200)다. 예컨대 미니 뱃치로(모두 33Slot)에서 Slot1 내지 Slot4 및 Slot30 내지 Slot33에 더미 웨이퍼(사이드 더미 웨이퍼)가 배치되면, TOP: 29Slot, CNT: 17Slot, BTM: 5Slot가 된다.
또한 면내 균일성은 웨이퍼(200) 면내의 소정 개소(箇所)의 막 두께를 계측해서 평균한다. 그리고 면간 균일성은 이 면내 균일성을 한층 더 TOP 내지 BTM까지의 Slot에 재치된 웨이퍼(200)의 매수만큼의 평균값을 구하는 것에 의해 산출한다. 상기 미니 뱃치로에 따르면, 25Slot 만큼의 면내 평균값으로부터 면간 평균값을 산출하게 된다.
본 실시예에 따르면, 카운터 N2의 공급을 없애는 것에 의해 면내 균일성 및 면간 균일성 중 어느 하나에서도 개선할 수 있었다. 특히 면간 균일성은 확연히 향상된다는 것을 알았다.
다음으로 도 13에 차단 밸브가 없는 현행의 성막 시퀀스와 차단 밸브를 포함하는 실시 형태에서의 성막 시퀀스와의 비교를 도시한다. 명백히 처리 가스 공급 후의 퍼지 공정(가스 치환 공정)에서 반응관 내의 가스 치환에 소요되는 시간이 대폭 향상된다.
도 4에 도시하는 바와 같이 노구부(226)와 가장 직근(直近)의 개폐 밸브까지 배관이 있고, 종래의 퍼지 공정에서는 이 개폐 밸브까지의 배관을 배기하도록 이루어져 있었다. 따라서 이 배관까지 진공 펌프(246)로 배기했기 때문에 배기 효율이 나빠지고, 가스 치환 공정에 시간을 소비할 필요가 있었다. 한편, 본 실시 형태에 따르면, 차단부(101)를 폐색하는 것에 의해 노즐부(340)까지 진공 펌프(246)로 배기하기 때문에 현행의 시퀀스에 비해 배기 효율이 확연히 향상한다. 특히 도 13에 도시하는 바와 같이 처리 가스 공급 후의 사이클 퍼지 공정의 시간을 대폭 단축할 수 있다.
예컨대 도 13에 도시하는 시퀀스 비교에서는 현행의 성막 시퀀스의 1사이클에 소요되는 시간이 51sec인 데 대하여, 차단 밸브(101)를 포함하는 본 실시 형태에서의 성막 시퀀스의 1사이클에 소요되는 시간이 41sec가 되고, 하나의 사이클로 약 20%(10sec) 단축할 수 있다.
이와 같이 본 실시 형태에 따르면, 처리 가스 공급 후의 퍼지 공정에서 차단 밸브를 닫는 것에 의해 반응관 내의 가스 치환 효율을 대폭 향상시킬 수 있다. 따라서 성막 시퀀스에서의 퍼지 공정에 소요되는 시간을 단축할 수 있다. 또한 성막 시퀀스의 단축에 의해 스루풋 향상을 기대할 수 있다.
또한 본 실시 형태에 따르면, 이하에 나타내는 하나 또는 복수의 효과를 얻을 수 있다.
(a) 본 실시 형태에 따르면, 매니폴드의 외측에 차단 밸브를 설치하여 일체화하는 것에 의해 차단 밸브보다 공급 가스 배관 상류측으로의 가스 역확산을 억제할 수 있다.
(b) 본 실시 형태에 따르면, 차단 밸브가 노구부의 측벽에 근접해서 설치되도록 구성되므로, 다른 가스 공급관으로부터 반응관 내에 처리 가스가 공급되는 동안에 차단 밸브를 폐색하는 것에 의해 가스 공급관 내로의 처리 가스의 역확산을 억제할 수 있다.
(c) 본 실시 형태에 따르면, 가스 공급관 상류측으로의 처리 가스의 역확산을 억제하는 것에 의해 예컨대 염화암모니아 등의 부생성물이 배관 내부에 부착되는 것을 억제하고, 그것들에 기인하는 파티클을 저감할 수 있다.
(d) 본 실시 형태로 따르면, 가스 공급관 내로의 처리 가스의 역확산을 억제할 수 있으므로, 다른 가스 공급관으로부터 반응관 내에 성막 가스가 공급될 때 역확산을 억제하기 위한 불활성 가스(본 실시 형태에서의 카운터 N2 가스)를 반응관 내에 공급할 필요가 없어, 불활성 가스의 불필요한 낭비를 억제할 수 있다.
(e) 본 실시 형태에 따르면, 반응실과 각 가스 공급관의 분위기를 차단하는 것에 의해 배관 가열 범위 축소와 각각의 배관 가열 온도의 적정화를 할 수 있다.
(f) 본 실시 형태에 따르면, 차단 밸브를 설치하므로 일정 가스 공급관으로부터 공급되는 기화 가스에 의한 다른 가스 공급관 내로의 역확산을 억제할 수 있다. 따라서 다른 가스 공급관에 공급되는 가스에 따라 달라지만, 다른 가스 공급관 자체는 가열할 필요가 없는 경우에는 배관의 가열 범위를 축소할 수 있다.
(g) 본 실시 형태에 따르면, 가스 공급관 자체도 가열할 필요가 있는 경우, 가스 공급관만큼 고온에서 온도 균일성의 요구값이 높지 않은 경우에도 가스 공급관의 온도로 설정할 필요가 있었지만, 차단 밸브를 추가하는 것에 의해 가스 공급관만큼 고온에서 온도 균일성을 좋게 할 필요가 없으며, 저렴한 비교적 저온의 히터나, 단열구조도 간단한 히터를 선정할 수 있다.
(h) 본 실시 형태에 따르면, 카운터 N2 대신에 차단 밸브를 닫는 것에 의해 결과적으로 막 두께 균일성을 향상할 수 있다.
(i) 본 실시 형태에 따르면, 차단 밸브를 닫고 공급 가스 배관 상류측으로의 가스 역확산을 억제하는 것에 의해 처리실 내의 가스 치환 효율을 향상하고, 성막 시퀀스 시간을 단축할 수 있다.
본 실시 형태에서는 기판 처리 장치의 일종인 종형의 반도체 제조 장치에 관해서 구체적으로 설명했지만 이에 한정되지 않고, 예컨대 횡형(橫型)의 반도체 제조 장치에 대해서도 본 발명을 적용할 수 있다.
예컨대 전술한 실시 형태에서는 원료 가스로서 HCDS 가스를 이용하는 예에 대해서 설명했다. 하지만 본 발명은 이러한 형태에 한정되지 않는다. 예컨대 원료 가스로서는 HCDS 가스 외에 모노클로로실란(SiH3Cl, 약칭: MCS) 가스, 디클로로실란(SiH2Cl2, 약칭: DCS) 가스, 트리클로로실란(SiHCl3, 약칭: TCS) 가스, 테트라클로로실란, 즉 실리콘테트라클로라이드(SiCl4, 약칭: STC) 가스, 옥타클로로트리실란(Si3Cl8, 약칭: OCTS) 가스 등의 무기계 할로실란 원료 가스나, 트리스디메틸아미노실란{Si[N(CH3)2]3H, 약칭: 3DMAS} 가스, 테트라키스디메틸아미노실란{Si[N(CH3)2]4, 약칭: 4DMAS} 가스, 비스디에틸아미노실란{Si[N(C2H5)2]2H2, 약칭: BDEAS} 가스, 비스터셔리부틸아미노실란{SiH2[NH(C4H9)]2, 약칭: BTBAS} 가스 등의 할로겐기 비함유의 아미노계(아민계) 실란 원료 가스를 이용할 수 있다. 또한 원료 가스로서는 모노실란(SiH4, 약칭: MS) 가스, 디실란(Si2H6, 약칭: DS) 가스, 트리실란(Si3H8, 약칭: TS) 가스 등의 할로겐기 비함유의 무기계 실란 원료 가스를 이용할 수 있다.
또한 예컨대 전술한 실시 형태에서는 반응 가스로서 NH3 가스를 이용하는 예에 대해서 설명했다. 하지만 본 발명은 이러한 형태에 한정되지 않는다. 예컨대 반응 가스로서는 NH3 가스 외에 디아젠(N2H2) 가스, 히드라진(N2H4) 가스, N3H8 가스 등의 질화수소계 가스나, 이들의 화합물을 포함하는 가스 등을 이용할 수 있다. 또한 반응 가스로서는 트리에틸아민[(C2H5)3N, 약칭: TEA] 가스, 디에틸아민[(C2H5)2NH, 약칭: DEA] 가스, 모노에틸아민(C2H5NH2, 약칭: MEA) 가스 등의 에틸아민계 가스나, 트리메틸아민[(CH3)3N, 약칭: TMA] 가스, 디메틸아민[(CH3)2NH, 약칭: DMA] 가스, 모노메틸아민(CH3NH2, 약칭: MMA) 가스 등의 메틸아민계 가스 등을 이용할 수 있다. 또한 반응 가스로서는 트리메틸히드라진[(CH3)2N2(CH3)H, 약칭: TMH] 가스 등의 유기 히드라진계 가스 등을 이용할 수 있다.
또한 예컨대 전술한 실시 형태에서는 원료 가스로서 HCDS 가스를 이용하고, 반응 가스로서 NH3 가스와 같은 질소(N) 함유 가스(질화 가스)를 이용하여 SiN막을 형성하는 예에 대해서 설명했다. 하지만 본 발명은 이러한 형태에 한정되지 않는다. 예컨대 이들 외에, 또는 이들에 더해 산소(O2) 가스 등의 산소(O) 함유 가스(산화 가스), 프로필렌(C3H6) 가스 등의 탄소(C) 함유 가스, 3염화붕소(BCl3) 가스 등의 붕소(B) 함유 가스 등을 이용하여 SiO막, SiON막, SiOCN막, SiOC막, SiCN막, SiBN막, SiBCN막 등을 형성할 수 있다. 또한 각 가스를 흘리는 순서는 적절히 변경할 수 있다. 이들의 성막을 수행하는 경우에도 전술한 실시 형태와 마찬가지의 처리 조건으로 성막을 수행할 수 있고, 전술한 실시 형태와 마찬가지의 효과를 얻을 수 있다.
또한 각 가스를 흘리는 순서는 적절히 변경할 수 있다. 이들의 성막을 수행하는 경우에도 전술한 실시 형태와 마찬가지의 처리 조건으로 성막을 수행할 수 있고, 전술한 실시 형태와 마찬가지의 효과를 얻을 수 있다. 즉 본 발명은 반도체 원소나 금속 원소 등의 소정 원소를 포함하는 막을 형성하는 경우에 바람직하게 적용할 수 있다.
또한 전술한 실시 형태에서는 기판 상에 막을 퇴적시키는 예에 대해서 설명했다. 하지만 본 발명은 이러한 형태에 한정되지 않는다. 예컨대 기판이나 기판 상에 형성된 막 등에 대하여, 산화 처리, 확산 처리, 어닐링 처리, 에칭 처리 등의 처리를 수행하는 경우에도 바람직하게 적용 가능하다. 또한 전술한 실시 형태나 변형예는 적절히 조합해서 이용할 수 있다. 이때의 처리 조건은 예컨대 전술한 실시 형태나 변형예와 마찬가지의 처리 조건으로 할 수 있다.
이상, 본 발명의 실시 형태를 구체적으로 설명했지만 본 발명은 전술한 실시 형태에 한정되지 않고, 그 요지를 일탈하지 않는 범위에서 다양한 변경이 가능하다.
본 발명은 기판 상에 막을 형성시키는 기판 처리 장치에 적용 가능하다.
101: 차단 밸브(차단부) 102: 배기 밸브(배기부)
200: 웨이퍼(기판) 203: 반응관
226: 매니폴드(노구부) 310: 가스 공급관
340: 노즐부 350: 노즐 지지부

Claims (14)

  1. 반응관 내에 제1 가스를 공급하는 제1 가스 공급계;
    반응관 내에 제2 가스를 공급하는 제2 가스 공급계;
    상기 제1 가스 공급계와 상기 반응관의 하방(下方)에 설치되는 노구부(爐口部)의 경계에 설치되고, 상기 노구부의 벽면에 직결(直結)되도록 구성되는 제1 차단부;
    상기 제2 가스 공급계와 상기 반응관의 하방에 설치되는 노구부의 경계에 설치되고, 상기 노구부의 벽면에 직결되도록 구성되는 제2 차단부;
    상기 제1 차단부의 상류측에 설치되는 제1 가스 절체부(切替部);
    상기 제2 차단부의 상류측에 설치되는 제2 가스 절체부; 및
    상기 제1 차단부를 개방하여 상기 반응관 내의 기판에 대하여 상기 제1 가스를 공급하면서 상기 제2 가스 절체부와 상기 제2 차단부 사이의 배관을 퍼지하거나, 또는 상기 제2 차단부를 개방하여 상기 반응관 내의 기판에 대하여 상기 제2 가스를 공급하면서 상기 제1 가스 절체부와 상기 제1 차단부 사이의 배관을 퍼지하는 것이 가능한 제어부
    를 구비하는 기판 처리 장치.
  2. 제1항에 있어서,
    상기 제1 차단부 및 상기 제2 차단부는 각각 상기 노구부의 벽면 사이에 배관을 설치하지 않도록 구성되는 기판 처리 장치.
  3. 제1항에 있어서,
    상기 제1 가스 공급계 및 상기 제2 가스 공급계는 상기 제1 가스 절체부 및 상기 제2 가스 절체부와 상기 제1 차단부 및 상기 제2 차단부 사이의 배관 내의 배기를 실시하는 배기부를 구비하는 기판 처리 장치.
  4. 제1항에 있어서,
    상기 제1 차단부 및 상기 제2 차단부 중 적어도 일방(一方)의 냉각을 실시하는 냉각부를 더 구비하고,
    상기 냉각부는 상기 제1 차단부 및 상기 제2 차단부 중 적어도 일방에 냉각 유체를 공급하도록 구성되는 기판 처리 장치.
  5. 제1항에 있어서,
    상기 노구부의 국소 배기를 실시하는 노구 박스부를 더 구비하고,
    상기 제1 차단부 및 상기 제2 차단부는 상기 노구 박스부 내에 설치되도록 구성되는 기판 처리 장치.
  6. 제1항에 있어서,
    상기 제1 가스는 반응 가스이며, 상기 제2 가스는 원료 가스인 기판 처리 장치.
  7. 제1항에 있어서,
    상기 반응관 내의 가스를 배출하는 배기계를 더 구비하고,
    상기 제어부는 상기 반응관 내의 기판에 대한 상기 제1 가스 또는 상기 제2 가스의 공급이 종료되면, 상기 제1 차단부 및 상기 제2 차단부를 폐색(閉塞)하여 상기 반응관 내로부터 상기 제1 가스 또는 상기 제2 가스를 배출하도록 상기 배기계를 제어하는 기판 처리 장치.
  8. 제1항에 있어서,
    상기 반응관 내의 가스를 배출하는 배기계를 더 구비하고,
    상기 제어부는 상기 제1 차단부 및 상기 제2 차단부를 각각 개방한 상태에서 상기 반응관 내를 사이클 퍼지하도록 상기 제1 가스 공급계, 상기 제1 차단부, 상기 제2 가스 공급계, 상기 제2 차단부, 상기 배기계를 제어하는 기판 처리 장치.
  9. 제3항에 있어서,
    상기 반응관 내의 가스를 배출하는 배기계를 더 구비하고,
    상기 배기부는 상기 제1 차단부 및 상기 제2 차단부의 상류측에서 공급 배관을 분기하도록 구성되고, 상기 반응관을 우회하여 상기 배기계에 접속되도록 구성되는 기판 처리 장치.
  10. 제1항에 있어서,
    상기 제1 가스 절체부 및 상기 제2 가스 절체부와 상기 제1 차단부 및 제2 차단부 사이의 배관의 일부는 플렉시블 배관을 포함하도록 구성되는 기판 처리 장치.
  11. 제1항에 있어서,
    상기 제어부는, 상기 제1 차단부를 개방하여 상기 반응관 내의 기판에 대하여 상기 제1 가스를 공급하는 동안 상기 제2 차단부를 폐색하고, 상기 제2 차단부를 개방하여 상기 반응관 내의 기판에 대하여 상기 제2 가스를 공급하는 동안 상기 제1 차단부를 폐색하는 것이 가능하도록 구성되는 기판 처리 장치.
  12. 반응관 내에 제1 가스를 공급하는 제1 가스 공급계;
    반응관 내에 제2 가스를 공급하는 제2 가스 공급계;
    상기 제1 가스 공급계와 상기 반응관의 하방에 설치되는 노구부의 경계에 설치되고, 상기 노구부의 벽면에 직결되도록 구성되는 제1 차단부;
    상기 제2 가스 공급계와 상기 반응관의 하방에 설치되는 노구부의 경계에 설치되고, 상기 노구부의 벽면에 직결되도록 구성되는 제2 차단부;
    상기 제1 차단부의 상류측에 설치되는 제1 가스 절체부; 및
    상기 제2 차단부의 상류측에 설치되는 제2 가스 절체부
    를 구비하고,
    상기 제1 차단부를 개방하여 상기 반응관 내의 기판에 대하여 상기 제1 가스를 공급하면서 상기 제2 가스 절체부와 상기 제2 차단부 사이의 배관을 퍼지하거나, 또는 상기 제2 차단부를 개방하여 상기 반응관 내의 기판에 대하여 상기 제2 가스를 공급하면서 상기 제1 가스 절체부와 상기 제1 차단부 사이의 배관을 퍼지하는 것이 가능하도록 구성되는 가스 공급 시스템.
  13. 반응관 내에 제1 가스를 공급하는 제1 가스 공급계 및 반응관 내에 제2 가스를 공급하는 제2 가스 공급계와 각각 상기 반응관의 하방에 설치되는 노구부의 경계에 설치되고, 상기 노구부의 벽면에 직결되는 제1 차단부 및 제2 차단부를 개재하여, 상기 제1 가스 또는 상기 제2 가스를 공급하면서 상기 반응관 내의 기판을 처리하는 공정을 포함하는 반도체 장치의 제조 방법으로서,
    상기 기판을 처리하는 공정에서는,
    상기 제1 차단부의 상류측에 설치되는 제1 가스 절체부에 의해 상기 제2 가스로부터 상기 제1 가스로 절체하는 공정;
    상기 제2 차단부의 상류측에 설치되는 제2 가스 절체부에 의해 상기 제1 가스로부터 상기 제2 가스로 절체하는 공정; 및
    상기 제1 차단부를 개방하여 상기 반응관 내의 기판에 대하여 상기 제1 가스를 공급하면서 상기 제2 가스 절체부와 상기 제2 차단부 사이의 배관을 퍼지하거나, 또는 상기 제2 차단부를 개방하여 상기 반응관 내의 기판에 대하여 상기 제2 가스를 공급하면서 상기 제1 가스 절체부와 상기 제1 차단부 사이의 배관을 퍼지하는 공정
    을 포함하는 반도체 장치의 제조 방법.
  14. 반응관 내에 제1 가스를 공급하는 제1 가스 공급계 및 반응관 내에 제2 가스를 공급하는 제2 가스 공급계와 각각 상기 반응관의 하방에 설치되는 노구부의 경계에 설치되고, 상기 노구부의 벽면에 직결되는 제1 차단부 및 제2 차단부를 개재하여, 상기 제1 가스 또는 상기 제2 가스를 공급하면서 상기 반응관 내의 기판을 처리하는 단계에서는,
    상기 제1 차단부의 상류측에 설치되는 제1 가스 절체부에 의해 상기 제2 가스로부터 상기 제1 가스로 절체하는 단계;
    상기 제2 차단부의 상류측에 설치되는 제2 가스 절체부에 의해 상기 제1 가스로부터 상기 제2 가스로 절체하는 단계; 및
    상기 제1 차단부를 개방하여 상기 반응관 내의 기판에 대하여 상기 제1 가스를 공급하면서 상기 제2 가스 절체부와 상기 제2 차단부 사이의 배관을 퍼지하거나, 또는 상기 제2 차단부를 개방하여 상기 반응관 내의 기판에 대하여 상기 제2 가스를 공급하면서 상기 제1 가스 절체부와 상기 제1 차단부 사이의 배관을 퍼지하는 단계
    를 컨트롤러에 실행시켜서 기판 처리 장치로서 기능시키는 기록 매체에 기록된 프로그램.
KR1020217018250A 2016-06-07 2016-06-07 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램 KR102326377B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/JP2016/066915 WO2017212546A1 (ja) 2016-06-07 2016-06-07 基板処理装置、炉口部および半導体装置の製造方法並びにプログラム
KR1020187034914A KR20190002659A (ko) 2016-06-07 2016-06-07 기판 처리 장치, 노구부, 반도체 장치의 제조 방법 및 프로그램

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020187034914A Division KR20190002659A (ko) 2016-06-07 2016-06-07 기판 처리 장치, 노구부, 반도체 장치의 제조 방법 및 프로그램

Publications (2)

Publication Number Publication Date
KR20210074422A KR20210074422A (ko) 2021-06-21
KR102326377B1 true KR102326377B1 (ko) 2021-11-15

Family

ID=60577743

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217018250A KR102326377B1 (ko) 2016-06-07 2016-06-07 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR1020187034914A KR20190002659A (ko) 2016-06-07 2016-06-07 기판 처리 장치, 노구부, 반도체 장치의 제조 방법 및 프로그램

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020187034914A KR20190002659A (ko) 2016-06-07 2016-06-07 기판 처리 장치, 노구부, 반도체 장치의 제조 방법 및 프로그램

Country Status (5)

Country Link
US (3) US10640872B2 (ko)
JP (1) JP6616895B2 (ko)
KR (2) KR102326377B1 (ko)
CN (1) CN109075070A (ko)
WO (1) WO2017212546A1 (ko)

Families Citing this family (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
CN109075070A (zh) * 2016-06-07 2018-12-21 株式会社国际电气 基板处理装置、炉口部以及半导体装置的制造方法及程序
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
JP7134020B2 (ja) * 2018-08-17 2022-09-09 東京エレクトロン株式会社 バルブ装置、処理装置、および制御方法
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11703229B2 (en) * 2018-12-05 2023-07-18 Yi-Ming Hung Temperature adjustment apparatus for high temperature oven
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
JP6966499B2 (ja) * 2019-03-06 2021-11-17 Ckd株式会社 ガス供給ユニット及びガス供給方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
CN111834247B (zh) * 2019-04-23 2023-09-08 北京北方华创微电子装备有限公司 冷却装置和半导体处理设备
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210043810A (ko) * 2019-10-14 2021-04-22 삼성전자주식회사 반도체 제조 장비
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7446189B2 (ja) * 2020-09-17 2024-03-08 東京エレクトロン株式会社 処理装置及び処理方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
JP2022076382A (ja) * 2020-11-09 2022-05-19 東京エレクトロン株式会社 処理装置及び処理方法
KR102418948B1 (ko) * 2020-11-24 2022-07-11 주식회사 유진테크 기판 처리 시스템
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011187485A (ja) 2010-03-04 2011-09-22 Hitachi Kokusai Electric Inc 基板処理装置

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2564592B1 (fr) * 1984-05-16 1986-09-12 Francais Ciments Dispositif automatique pour la determination de certaines caracteristiques du ciment telles que finesse des grains, teneur en gypse et en filler calcaire
JPH03142823A (ja) * 1989-10-27 1991-06-18 Fujitsu Ltd 気相成長装置
JP3003016B2 (ja) * 1992-12-25 2000-01-24 東京エレクトロン株式会社 処理装置及び処理方法
JP3468577B2 (ja) * 1994-04-14 2003-11-17 東京エレクトロン株式会社 熱処理装置
JPH11186248A (ja) * 1997-12-22 1999-07-09 Sony Corp シリコン酸化膜の形成方法及びシリコン酸化膜形成装置
JP4252142B2 (ja) * 1999-01-12 2009-04-08 東京エレクトロン株式会社 ガス処理装置およびそれに用いられる原料供給系のパージ機構
US7033446B2 (en) * 2001-07-27 2006-04-25 Surface Combustion, Inc. Vacuum carburizing with unsaturated aromatic hydrocarbons
JP3670628B2 (ja) * 2002-06-20 2005-07-13 株式会社東芝 成膜方法、成膜装置、および半導体装置の製造方法
JP4342895B2 (ja) * 2003-10-06 2009-10-14 東京エレクトロン株式会社 熱処理方法及び熱処理装置
JP4434807B2 (ja) 2004-03-29 2010-03-17 株式会社日立国際電気 半導体装置の製造方法
KR20080033406A (ko) * 2005-07-29 2008-04-16 에비자 테크놀로지, 인크. 반도체 처리용 증착 장치
KR100980126B1 (ko) * 2005-08-02 2010-09-03 도쿄엘렉트론가부시키가이샤 성막 방법, 성막 장치 및 기억매체
JP4718274B2 (ja) * 2005-08-25 2011-07-06 東京エレクトロン株式会社 半導体製造装置,半導体製造装置の流量補正方法,プログラム
US8677680B2 (en) * 2005-09-13 2014-03-25 Bruce Schuster Fire ant suppression system
JP4464949B2 (ja) * 2006-11-10 2010-05-19 株式会社日立国際電気 基板処理装置及び選択エピタキシャル膜成長方法
JP5233562B2 (ja) * 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2010171388A (ja) * 2008-12-25 2010-08-05 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法及び基板処理用反応管
JP5774822B2 (ja) * 2009-05-25 2015-09-09 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
JP5573666B2 (ja) * 2010-12-28 2014-08-20 東京エレクトロン株式会社 原料供給装置及び成膜装置
US8496020B1 (en) * 2011-01-20 2013-07-30 Layne T. Westerberg Tap and draining device for a filter cartridge
JP6042656B2 (ja) * 2011-09-30 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
JP2013197207A (ja) * 2012-03-16 2013-09-30 Hitachi Kokusai Electric Inc 基板処理装置
JP6042160B2 (ja) * 2012-10-03 2016-12-14 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5852147B2 (ja) * 2014-01-23 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP2015198185A (ja) * 2014-04-02 2015-11-09 東京エレクトロン株式会社 成膜方法及び成膜装置
US9478934B1 (en) * 2015-07-24 2016-10-25 Lightmachinery Inc. Excimer gas purification
US20170252762A1 (en) * 2016-03-01 2017-09-07 Jay S. Derman No Pump Sprayer
CN109075070A (zh) * 2016-06-07 2018-12-21 株式会社国际电气 基板处理装置、炉口部以及半导体装置的制造方法及程序

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011187485A (ja) 2010-03-04 2011-09-22 Hitachi Kokusai Electric Inc 基板処理装置

Also Published As

Publication number Publication date
JP6616895B2 (ja) 2019-12-04
KR20190002659A (ko) 2019-01-08
US20190106787A1 (en) 2019-04-11
KR20210074422A (ko) 2021-06-21
CN109075070A (zh) 2018-12-21
WO2017212546A1 (ja) 2017-12-14
US20200232097A1 (en) 2020-07-23
US20220275515A1 (en) 2022-09-01
JPWO2017212546A1 (ja) 2019-02-28
US10640872B2 (en) 2020-05-05
US11365482B2 (en) 2022-06-21

Similar Documents

Publication Publication Date Title
KR102326377B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP7213859B2 (ja) 温度センサ、基板処理装置、および半導体装置の製造方法
KR102207020B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP7050985B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
WO2017037937A1 (ja) 反応管、基板処理装置および半導体装置の製造方法
KR102126146B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP6741780B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR102276870B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
KR102345397B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
JP2018157095A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
CN111771263A (zh) 清洁方法、半导体装置的制造方法、基板处理装置以及程序
TWI824410B (zh) 基板處理裝置及半導體裝置的製造方法
JP6613213B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP2018101687A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
US20220262632A1 (en) Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
JP6768134B2 (ja) 基板処理装置および半導体装置の製造方法並びにプログラム
JP7186909B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US20240038576A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
TWI814084B (zh) 基板處理裝置,半導體裝置的製造方法及程式
TWI831204B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
US20220415659A1 (en) Method of processing substrate, substrate processing apparatus, recording medium, and method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant